From 4c06fdd66a99ea1243aec3ccde5adf0f3bbb12a3 Mon Sep 17 00:00:00 2001
From: aaron <aaron.sommer@fau.de>
Date: Tue, 28 Feb 2023 17:07:20 +0100
Subject: [PATCH] Stand 28.2

---
 TopModule_tb_behav.wcfg                       |    95 +
 .../1/8/186611fea06d2870/186611fea06d2870.xci |   295 +
 .../2022.2/1/8/186611fea06d2870/clk_wiz_0.dcp |   Bin 0 -> 11809 bytes
 .../186611fea06d2870/clk_wiz_0_sim_netlist.v  |   258 +
 .../clk_wiz_0_sim_netlist.vhdl                |   196 +
 .../1/8/186611fea06d2870/clk_wiz_0_stub.v     |    21 +
 .../1/8/186611fea06d2870/clk_wiz_0_stub.vhdl  |    30 +
 game.cache/sim/ssm.db                         |    12 +
 game.cache/wt/project.wpc                     |     3 +
 game.cache/wt/synthesis.wdf                   |    47 +
 game.cache/wt/synthesis_details.wdf           |     3 +
 game.cache/wt/webtalk_pa.xml                  |    21 +
 game.cache/wt/xsim.wdf                        |     4 +
 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp |   Bin 0 -> 11896 bytes
 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v   |    90 +
 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.vho |    92 +
 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc |    60 +
 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml |  4999 ++++++++
 .../ip/clk_wiz_0/clk_wiz_0_board.xdc          |     2 +
 .../ip/clk_wiz_0/clk_wiz_0_clk_wiz.v          |   202 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc  |    58 +
 .../ip/clk_wiz_0/clk_wiz_0_sim_netlist.v      |   258 +
 .../ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl   |   196 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v   |    20 +
 .../ip/clk_wiz_0/clk_wiz_0_stub.vhdl          |    29 +
 .../clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt  |   286 +
 .../ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh |   671 +
 .../ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh  |   531 +
 .../ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh |   671 +
 .../ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh  |   530 +
 .../mmcm_pll_drp_func_us_plus_mmcm.vh         |   861 ++
 .../mmcm_pll_drp_func_us_plus_pll.vh          |   536 +
 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.v   |    90 +
 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.vho |    92 +
 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc |    60 +
 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xml |  4945 ++++++++
 .../ip/clk_wiz_1/clk_wiz_1_board.xdc          |     2 +
 .../ip/clk_wiz_1/clk_wiz_1_clk_wiz.v          |   202 +
 .../sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc  |    58 +
 .../clk_wiz_1/doc/clk_wiz_v6_0_changelog.txt  |   286 +
 .../ip/clk_wiz_1/mmcm_pll_drp_func_7s_mmcm.vh |   671 +
 .../ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh  |   531 +
 .../ip/clk_wiz_1/mmcm_pll_drp_func_us_mmcm.vh |   671 +
 .../ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh  |   530 +
 .../mmcm_pll_drp_func_us_plus_mmcm.vh         |   861 ++
 .../mmcm_pll_drp_func_us_plus_pll.vh          |   536 +
 game.hw/game.lpr                              |     8 +
 game.hw/hw_1/hw.xml                           |    17 +
 game.ip_user_files/README.txt                 |     1 +
 game.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho |    92 +
 game.ip_user_files/ip/clk_wiz_1/clk_wiz_1.vho |    92 +
 .../clk_wiz_0/activehdl/README.txt            |    49 +
 .../clk_wiz_0/activehdl/clk_wiz_0.sh          |   149 +
 .../clk_wiz_0/activehdl/clk_wiz_0.udo         |     0
 .../clk_wiz_0/activehdl/compile.do            |    22 +
 .../clk_wiz_0/activehdl/file_info.txt         |     4 +
 .../sim_scripts/clk_wiz_0/activehdl/glbl.v    |    84 +
 .../clk_wiz_0/activehdl/simulate.do           |    20 +
 .../sim_scripts/clk_wiz_0/activehdl/wave.do   |     2 +
 .../sim_scripts/clk_wiz_0/modelsim/README.txt |    49 +
 .../clk_wiz_0/modelsim/clk_wiz_0.sh           |   165 +
 .../clk_wiz_0/modelsim/clk_wiz_0.udo          |     0
 .../sim_scripts/clk_wiz_0/modelsim/compile.do |    22 +
 .../clk_wiz_0/modelsim/file_info.txt          |     4 +
 .../sim_scripts/clk_wiz_0/modelsim/glbl.v     |    84 +
 .../clk_wiz_0/modelsim/simulate.do            |    19 +
 .../sim_scripts/clk_wiz_0/modelsim/wave.do    |     2 +
 .../sim_scripts/clk_wiz_0/questa/README.txt   |    49 +
 .../sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh |   172 +
 .../clk_wiz_0/questa/clk_wiz_0.udo            |     0
 .../sim_scripts/clk_wiz_0/questa/compile.do   |    22 +
 .../sim_scripts/clk_wiz_0/questa/elaborate.do |     1 +
 .../clk_wiz_0/questa/file_info.txt            |     4 +
 .../sim_scripts/clk_wiz_0/questa/glbl.v       |    84 +
 .../sim_scripts/clk_wiz_0/questa/simulate.do  |    19 +
 .../sim_scripts/clk_wiz_0/questa/wave.do      |     2 +
 .../sim_scripts/clk_wiz_0/riviera/README.txt  |    49 +
 .../clk_wiz_0/riviera/clk_wiz_0.sh            |   151 +
 .../clk_wiz_0/riviera/clk_wiz_0.udo           |     0
 .../sim_scripts/clk_wiz_0/riviera/compile.do  |    22 +
 .../clk_wiz_0/riviera/file_info.txt           |     4 +
 .../sim_scripts/clk_wiz_0/riviera/glbl.v      |    84 +
 .../sim_scripts/clk_wiz_0/riviera/simulate.do |    20 +
 .../sim_scripts/clk_wiz_0/riviera/wave.do     |     2 +
 .../sim_scripts/clk_wiz_0/vcs/README.txt      |    49 +
 .../sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh    |   234 +
 .../sim_scripts/clk_wiz_0/vcs/file_info.txt   |     4 +
 .../sim_scripts/clk_wiz_0/vcs/glbl.v          |    84 +
 .../sim_scripts/clk_wiz_0/vcs/simulate.do     |     2 +
 .../sim_scripts/clk_wiz_0/xcelium/README.txt  |    48 +
 .../clk_wiz_0/xcelium/clk_wiz_0.sh            |   176 +
 .../clk_wiz_0/xcelium/file_info.txt           |     4 +
 .../sim_scripts/clk_wiz_0/xcelium/glbl.v      |    84 +
 .../sim_scripts/clk_wiz_0/xcelium/run.f       |    13 +
 .../sim_scripts/clk_wiz_0/xcelium/simulate.do |     2 +
 .../sim_scripts/clk_wiz_0/xsim/README.txt     |    49 +
 .../sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh   |   219 +
 .../sim_scripts/clk_wiz_0/xsim/cmd.tcl        |    12 +
 .../sim_scripts/clk_wiz_0/xsim/file_info.txt  |     2 +
 .../sim_scripts/clk_wiz_0/xsim/glbl.v         |    84 +
 .../sim_scripts/clk_wiz_0/xsim/vhdl.prj       |     4 +
 .../sim_scripts/clk_wiz_0/xsim/vlog.prj       |     4 +
 .../clk_wiz_1/activehdl/README.txt            |    49 +
 .../clk_wiz_1/activehdl/clk_wiz_1.sh          |   149 +
 .../clk_wiz_1/activehdl/clk_wiz_1.udo         |     0
 .../clk_wiz_1/activehdl/compile.do            |    19 +
 .../clk_wiz_1/activehdl/file_info.txt         |     3 +
 .../sim_scripts/clk_wiz_1/activehdl/glbl.v    |    84 +
 .../clk_wiz_1/activehdl/simulate.do           |    20 +
 .../sim_scripts/clk_wiz_1/activehdl/wave.do   |     2 +
 .../sim_scripts/clk_wiz_1/modelsim/README.txt |    49 +
 .../clk_wiz_1/modelsim/clk_wiz_1.sh           |   165 +
 .../clk_wiz_1/modelsim/clk_wiz_1.udo          |     0
 .../sim_scripts/clk_wiz_1/modelsim/compile.do |    19 +
 .../clk_wiz_1/modelsim/file_info.txt          |     3 +
 .../sim_scripts/clk_wiz_1/modelsim/glbl.v     |    84 +
 .../clk_wiz_1/modelsim/simulate.do            |    19 +
 .../sim_scripts/clk_wiz_1/modelsim/wave.do    |     2 +
 .../sim_scripts/clk_wiz_1/questa/README.txt   |    49 +
 .../sim_scripts/clk_wiz_1/questa/clk_wiz_1.sh |   172 +
 .../clk_wiz_1/questa/clk_wiz_1.udo            |     0
 .../sim_scripts/clk_wiz_1/questa/compile.do   |    19 +
 .../sim_scripts/clk_wiz_1/questa/elaborate.do |     1 +
 .../clk_wiz_1/questa/file_info.txt            |     3 +
 .../sim_scripts/clk_wiz_1/questa/glbl.v       |    84 +
 .../sim_scripts/clk_wiz_1/questa/simulate.do  |    19 +
 .../sim_scripts/clk_wiz_1/questa/wave.do      |     2 +
 .../sim_scripts/clk_wiz_1/riviera/README.txt  |    49 +
 .../clk_wiz_1/riviera/clk_wiz_1.sh            |   151 +
 .../clk_wiz_1/riviera/clk_wiz_1.udo           |     0
 .../sim_scripts/clk_wiz_1/riviera/compile.do  |    19 +
 .../clk_wiz_1/riviera/file_info.txt           |     3 +
 .../sim_scripts/clk_wiz_1/riviera/glbl.v      |    84 +
 .../sim_scripts/clk_wiz_1/riviera/simulate.do |    20 +
 .../sim_scripts/clk_wiz_1/riviera/wave.do     |     2 +
 .../sim_scripts/clk_wiz_1/vcs/README.txt      |    49 +
 .../sim_scripts/clk_wiz_1/vcs/clk_wiz_1.sh    |   230 +
 .../sim_scripts/clk_wiz_1/vcs/file_info.txt   |     3 +
 .../sim_scripts/clk_wiz_1/vcs/glbl.v          |    84 +
 .../sim_scripts/clk_wiz_1/vcs/simulate.do     |     2 +
 .../sim_scripts/clk_wiz_1/xcelium/README.txt  |    48 +
 .../clk_wiz_1/xcelium/clk_wiz_1.sh            |   176 +
 .../clk_wiz_1/xcelium/file_info.txt           |     3 +
 .../sim_scripts/clk_wiz_1/xcelium/glbl.v      |    84 +
 .../sim_scripts/clk_wiz_1/xcelium/run.f       |    10 +
 .../sim_scripts/clk_wiz_1/xcelium/simulate.do |     2 +
 .../sim_scripts/clk_wiz_1/xsim/README.txt     |    49 +
 .../sim_scripts/clk_wiz_1/xsim/clk_wiz_1.sh   |   212 +
 .../sim_scripts/clk_wiz_1/xsim/file_info.txt  |     1 +
 .../sim_scripts/clk_wiz_1/xsim/glbl.v         |    84 +
 game.runs/.jobs/vrs_config_1.xml              |     9 +
 game.runs/.jobs/vrs_config_10.xml             |    12 +
 game.runs/.jobs/vrs_config_11.xml             |    12 +
 game.runs/.jobs/vrs_config_12.xml             |    12 +
 game.runs/.jobs/vrs_config_13.xml             |    12 +
 game.runs/.jobs/vrs_config_14.xml             |    12 +
 game.runs/.jobs/vrs_config_15.xml             |    12 +
 game.runs/.jobs/vrs_config_16.xml             |    12 +
 game.runs/.jobs/vrs_config_17.xml             |    12 +
 game.runs/.jobs/vrs_config_18.xml             |    12 +
 game.runs/.jobs/vrs_config_19.xml             |     9 +
 game.runs/.jobs/vrs_config_2.xml              |     9 +
 game.runs/.jobs/vrs_config_20.xml             |    12 +
 game.runs/.jobs/vrs_config_21.xml             |    12 +
 game.runs/.jobs/vrs_config_22.xml             |    12 +
 game.runs/.jobs/vrs_config_23.xml             |    12 +
 game.runs/.jobs/vrs_config_24.xml             |    12 +
 game.runs/.jobs/vrs_config_25.xml             |    12 +
 game.runs/.jobs/vrs_config_26.xml             |    12 +
 game.runs/.jobs/vrs_config_27.xml             |    12 +
 game.runs/.jobs/vrs_config_28.xml             |    12 +
 game.runs/.jobs/vrs_config_29.xml             |    12 +
 game.runs/.jobs/vrs_config_3.xml              |    12 +
 game.runs/.jobs/vrs_config_30.xml             |    12 +
 game.runs/.jobs/vrs_config_31.xml             |    12 +
 game.runs/.jobs/vrs_config_32.xml             |    12 +
 game.runs/.jobs/vrs_config_33.xml             |    12 +
 game.runs/.jobs/vrs_config_34.xml             |    12 +
 game.runs/.jobs/vrs_config_35.xml             |    12 +
 game.runs/.jobs/vrs_config_36.xml             |    12 +
 game.runs/.jobs/vrs_config_37.xml             |    12 +
 game.runs/.jobs/vrs_config_38.xml             |    12 +
 game.runs/.jobs/vrs_config_39.xml             |    12 +
 game.runs/.jobs/vrs_config_4.xml              |    12 +
 game.runs/.jobs/vrs_config_40.xml             |    12 +
 game.runs/.jobs/vrs_config_41.xml             |    12 +
 game.runs/.jobs/vrs_config_42.xml             |    12 +
 game.runs/.jobs/vrs_config_43.xml             |    12 +
 game.runs/.jobs/vrs_config_44.xml             |    12 +
 game.runs/.jobs/vrs_config_45.xml             |    12 +
 game.runs/.jobs/vrs_config_46.xml             |    12 +
 game.runs/.jobs/vrs_config_47.xml             |    12 +
 game.runs/.jobs/vrs_config_48.xml             |    12 +
 game.runs/.jobs/vrs_config_49.xml             |    12 +
 game.runs/.jobs/vrs_config_5.xml              |    12 +
 game.runs/.jobs/vrs_config_50.xml             |    12 +
 game.runs/.jobs/vrs_config_51.xml             |    12 +
 game.runs/.jobs/vrs_config_52.xml             |    12 +
 game.runs/.jobs/vrs_config_53.xml             |    12 +
 game.runs/.jobs/vrs_config_54.xml             |    12 +
 game.runs/.jobs/vrs_config_55.xml             |    12 +
 game.runs/.jobs/vrs_config_56.xml             |    12 +
 game.runs/.jobs/vrs_config_57.xml             |    12 +
 game.runs/.jobs/vrs_config_58.xml             |    12 +
 game.runs/.jobs/vrs_config_6.xml              |    12 +
 game.runs/.jobs/vrs_config_7.xml              |    12 +
 game.runs/.jobs/vrs_config_8.xml              |    12 +
 game.runs/.jobs/vrs_config_9.xml              |     9 +
 .../.Vivado_Synthesis.queue.rst               |     0
 .../.Xil/clk_wiz_0_propImpl.xdc               |     4 +
 game.runs/clk_wiz_0_synth_1/.vivado.begin.rst |     5 +
 game.runs/clk_wiz_0_synth_1/.vivado.end.rst   |     0
 game.runs/clk_wiz_0_synth_1/ISEWrap.js        |   269 +
 game.runs/clk_wiz_0_synth_1/ISEWrap.sh        |    84 +
 .../__synthesis_is_complete__                 |     0
 game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp     |   Bin 0 -> 11896 bytes
 game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl     |   246 +
 game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds     |   280 +
 .../clk_wiz_0_utilization_synth.pb            |   Bin 0 -> 242 bytes
 .../clk_wiz_0_utilization_synth.rpt           |   173 +
 game.runs/clk_wiz_0_synth_1/dont_touch.xdc    |    32 +
 game.runs/clk_wiz_0_synth_1/gen_run.xml       |    45 +
 game.runs/clk_wiz_0_synth_1/htr.txt           |     9 +
 game.runs/clk_wiz_0_synth_1/project.wdf       |    32 +
 game.runs/clk_wiz_0_synth_1/rundef.js         |    40 +
 game.runs/clk_wiz_0_synth_1/runme.bat         |    11 +
 game.runs/clk_wiz_0_synth_1/runme.log         |   278 +
 game.runs/clk_wiz_0_synth_1/runme.sh          |    39 +
 game.runs/clk_wiz_0_synth_1/vivado.jou        |    13 +
 game.runs/clk_wiz_0_synth_1/vivado.pb         |   Bin 0 -> 36694 bytes
 .../impl_1/.Vivado_Implementation.queue.rst   |     0
 game.runs/impl_1/.init_design.begin.rst       |     5 +
 game.runs/impl_1/.init_design.end.rst         |     0
 game.runs/impl_1/.opt_design.begin.rst        |     5 +
 game.runs/impl_1/.opt_design.end.rst          |     0
 game.runs/impl_1/.phys_opt_design.begin.rst   |     5 +
 game.runs/impl_1/.phys_opt_design.end.rst     |     0
 game.runs/impl_1/.place_design.begin.rst      |     5 +
 game.runs/impl_1/.place_design.end.rst        |     0
 game.runs/impl_1/.route_design.begin.rst      |     5 +
 game.runs/impl_1/.route_design.end.rst        |     0
 game.runs/impl_1/.vivado.begin.rst            |     5 +
 game.runs/impl_1/.vivado.end.rst              |     0
 game.runs/impl_1/.write_bitstream.begin.rst   |     5 +
 game.runs/impl_1/.write_bitstream.end.rst     |     0
 game.runs/impl_1/ISEWrap.js                   |   269 +
 game.runs/impl_1/ISEWrap.sh                   |    84 +
 game.runs/impl_1/TopModule.bit                |   Bin 0 -> 3825894 bytes
 game.runs/impl_1/TopModule.tcl                |   336 +
 game.runs/impl_1/TopModule.vdi                |   641 +
 game.runs/impl_1/TopModule_bus_skew_routed.pb |   Bin 0 -> 30 bytes
 .../impl_1/TopModule_bus_skew_routed.rpt      |    15 +
 .../impl_1/TopModule_bus_skew_routed.rpx      |   Bin 0 -> 1064 bytes
 .../TopModule_clock_utilization_routed.rpt    |   192 +
 .../impl_1/TopModule_control_sets_placed.rpt  |    87 +
 game.runs/impl_1/TopModule_drc_opted.pb       |   Bin 0 -> 37 bytes
 game.runs/impl_1/TopModule_drc_opted.rpt      |    49 +
 game.runs/impl_1/TopModule_drc_opted.rpx      |   Bin 0 -> 1630 bytes
 game.runs/impl_1/TopModule_drc_routed.pb      |   Bin 0 -> 37 bytes
 game.runs/impl_1/TopModule_drc_routed.rpt     |    49 +
 game.runs/impl_1/TopModule_drc_routed.rpx     |   Bin 0 -> 1631 bytes
 game.runs/impl_1/TopModule_io_placed.rpt      |   366 +
 .../TopModule_methodology_drc_routed.pb       |   Bin 0 -> 52 bytes
 .../TopModule_methodology_drc_routed.rpt      |    56 +
 .../TopModule_methodology_drc_routed.rpx      |   Bin 0 -> 3895 bytes
 game.runs/impl_1/TopModule_opt.dcp            |   Bin 0 -> 277865 bytes
 game.runs/impl_1/TopModule_physopt.dcp        |   Bin 0 -> 377613 bytes
 game.runs/impl_1/TopModule_placed.dcp         |   Bin 0 -> 374627 bytes
 game.runs/impl_1/TopModule_power_routed.rpt   |   154 +
 game.runs/impl_1/TopModule_power_routed.rpx   |   Bin 0 -> 172372 bytes
 .../impl_1/TopModule_power_summary_routed.pb  |   Bin 0 -> 728 bytes
 game.runs/impl_1/TopModule_route_status.pb    |   Bin 0 -> 44 bytes
 game.runs/impl_1/TopModule_route_status.rpt   |    11 +
 game.runs/impl_1/TopModule_routed.dcp         |   Bin 0 -> 408338 bytes
 .../impl_1/TopModule_timing_summary_routed.pb |   Bin 0 -> 109 bytes
 .../TopModule_timing_summary_routed.rpt       | 10517 ++++++++++++++++
 .../TopModule_timing_summary_routed.rpx       |   Bin 0 -> 851902 bytes
 .../impl_1/TopModule_utilization_placed.pb    |   Bin 0 -> 242 bytes
 .../impl_1/TopModule_utilization_placed.rpt   |   214 +
 game.runs/impl_1/gen_run.xml                  |   193 +
 game.runs/impl_1/htr.txt                      |     9 +
 game.runs/impl_1/init_design.pb               |   Bin 0 -> 4646 bytes
 game.runs/impl_1/opt_design.pb                |   Bin 0 -> 12239 bytes
 game.runs/impl_1/phys_opt_design.pb           |   Bin 0 -> 2254 bytes
 game.runs/impl_1/place_design.pb              |   Bin 0 -> 25303 bytes
 game.runs/impl_1/project.wdf                  |    32 +
 game.runs/impl_1/route_design.pb              |   Bin 0 -> 15728 bytes
 game.runs/impl_1/rundef.js                    |    44 +
 game.runs/impl_1/runme.bat                    |    11 +
 game.runs/impl_1/runme.log                    |   639 +
 game.runs/impl_1/runme.sh                     |    43 +
 game.runs/impl_1/vivado.jou                   |    13 +
 game.runs/impl_1/vivado.pb                    |   Bin 0 -> 149 bytes
 game.runs/impl_1/write_bitstream.pb           |   Bin 0 -> 3219 bytes
 game.runs/synth_1/.Vivado_Synthesis.queue.rst |     0
 game.runs/synth_1/.Xil/TopModule_propImpl.xdc |    67 +
 game.runs/synth_1/.vivado.begin.rst           |     5 +
 game.runs/synth_1/.vivado.end.rst             |     0
 game.runs/synth_1/ISEWrap.js                  |   269 +
 game.runs/synth_1/ISEWrap.sh                  |    84 +
 game.runs/synth_1/TopModule.dcp               |   Bin 0 -> 114930 bytes
 game.runs/synth_1/TopModule.tcl               |   147 +
 game.runs/synth_1/TopModule.vds               |   394 +
 .../synth_1/TopModule_utilization_synth.pb    |   Bin 0 -> 242 bytes
 .../synth_1/TopModule_utilization_synth.rpt   |   184 +
 game.runs/synth_1/__synthesis_is_complete__   |     0
 game.runs/synth_1/gen_run.xml                 |   115 +
 game.runs/synth_1/htr.txt                     |     9 +
 game.runs/synth_1/incr_synth_reason.pb        |     1 +
 game.runs/synth_1/rundef.js                   |    40 +
 game.runs/synth_1/runme.bat                   |    11 +
 game.runs/synth_1/runme.log                   |   392 +
 game.runs/synth_1/runme.sh                    |    39 +
 game.runs/synth_1/vivado.jou                  |    13 +
 game.runs/synth_1/vivado.pb                   |   Bin 0 -> 57272 bytes
 game.sim/sim_1/behav/xsim/TopModule_tb.tcl    |    11 +
 .../sim_1/behav/xsim/TopModule_tb_behav.wdb   |   Bin 0 -> 38478 bytes
 .../sim_1/behav/xsim/TopModule_tb_vhdl.prj    |    12 +
 .../sim_1/behav/xsim/TopModule_tb_vlog.prj    |     7 +
 game.sim/sim_1/behav/xsim/compile.log         |     2 +
 game.sim/sim_1/behav/xsim/compile.sh          |    27 +
 game.sim/sim_1/behav/xsim/elaborate.log       |    34 +
 game.sim/sim_1/behav/xsim/elaborate.sh        |    21 +
 game.sim/sim_1/behav/xsim/glbl.v              |    84 +
 game.sim/sim_1/behav/xsim/simulate.log        |     1 +
 game.sim/sim_1/behav/xsim/simulate.sh         |    21 +
 game.sim/sim_1/behav/xsim/xelab.pb            |   Bin 0 -> 4207 bytes
 .../TopModule_tb_behav/Compile_Options.txt    |     1 +
 .../TopModule_tb_behav/TempBreakPointFile.txt |     1 +
 .../TopModule_tb_behav/obj/xsim_0.lnx64.o     |   Bin 0 -> 224648 bytes
 .../xsim.dir/TopModule_tb_behav/obj/xsim_1.c  |   345 +
 .../TopModule_tb_behav/obj/xsim_1.lnx64.o     |   Bin 0 -> 20064 bytes
 .../xsim/xsim.dir/TopModule_tb_behav/xsim.dbg |   Bin 0 -> 22448 bytes
 .../xsim/xsim.dir/TopModule_tb_behav/xsim.mem |   Bin 0 -> 19548 bytes
 .../xsim.dir/TopModule_tb_behav/xsim.reloc    |   Bin 0 -> 16729 bytes
 .../xsim/xsim.dir/TopModule_tb_behav/xsim.rlx |    12 +
 .../xsim.dir/TopModule_tb_behav/xsim.rtti     |   Bin 0 -> 682 bytes
 .../xsim.dir/TopModule_tb_behav/xsim.svtype   |   Bin 0 -> 47 bytes
 .../xsim.dir/TopModule_tb_behav/xsim.type     |   Bin 0 -> 18488 bytes
 .../xsim.dir/TopModule_tb_behav/xsim.xdbg     |   Bin 0 -> 5512 bytes
 .../TopModule_tb_behav/xsimSettings.ini       |    50 +
 .../xsim.dir/TopModule_tb_behav/xsimcrash.log |     0
 .../xsim/xsim.dir/TopModule_tb_behav/xsimk    |   Bin 0 -> 187328 bytes
 .../TopModule_tb_behav/xsimkernel.log         |     7 +
 .../xsim.dir/xil_defaultlib/background.vdb    |   Bin 0 -> 2768 bytes
 .../xsim.dir/xil_defaultlib/clk_wiz_0.vdb     |   Bin 0 -> 3007 bytes
 .../xil_defaultlib/clk_wiz_0_clk_wiz.vdb      |   Bin 0 -> 19731 bytes
 .../xsim/xsim.dir/xil_defaultlib/glbl.sdb     |   Bin 0 -> 5509 bytes
 .../xsim/xsim.dir/xil_defaultlib/priority.vdb |   Bin 0 -> 2776 bytes
 .../xsim/xsim.dir/xil_defaultlib/runner.vdb   |   Bin 0 -> 12786 bytes
 .../xsim.dir/xil_defaultlib/topmodule.vdb     |   Bin 0 -> 16278 bytes
 .../xsim.dir/xil_defaultlib/topmodule_tb.vdb  |   Bin 0 -> 5991 bytes
 .../xsim/xsim.dir/xil_defaultlib/vga.vdb      |   Bin 0 -> 10056 bytes
 .../xil_defaultlib/xil_defaultlib.rlx         |    12 +
 game.sim/sim_1/behav/xsim/xsim.ini            |   498 +
 game.sim/sim_1/behav/xsim/xsim.ini.bak        |   498 +
 game.sim/sim_1/behav/xsim/xvhdl.log           |     2 +
 game.sim/sim_1/behav/xsim/xvhdl.pb            |   Bin 0 -> 304 bytes
 game.sim/sim_1/behav/xsim/xvlog.log           |     0
 game.sim/sim_1/behav/xsim/xvlog.pb            |     4 +
 .../imports/PrASIC_Data/Nexys4_Master.xdc     |   722 ++
 game.srcs/sim_1/new/TopModule_tb.vhd          |    91 +
 game.srcs/sim_1/new/vga_tb.vhd                |    72 +
 game.srcs/sources_1/bd/design_1/design_1.bd   |    13 +
 .../sources_1/bd/design_1/ui/bd_1f5defd0.ui   |    12 +
 .../sources_1/ip/clk_wiz_0/clk_wiz_0.xci      |   671 +
 .../sources_1/ip/clk_wiz_1/clk_wiz_1.xci      |   671 +
 game.srcs/sources_1/new/TopModule.vhd         |   256 +
 game.srcs/sources_1/new/background.vhd        |    61 +
 game.srcs/sources_1/new/collision.vhd         |    68 +
 game.srcs/sources_1/new/header.vhd            |    62 +
 game.srcs/sources_1/new/obstacle.vhd          |   110 +
 game.srcs/sources_1/new/obstacle2.vhd         |   110 +
 game.srcs/sources_1/new/priority.vhd          |    67 +
 game.srcs/sources_1/new/runner.vhd            |   147 +
 game.srcs/sources_1/new/vga.vhd               |   144 +
 .../utils_1/imports/synth_1/TopModule.dcp     |   Bin 0 -> 116545 bytes
 game.xpr                                      |   346 +
 378 files changed, 48983 insertions(+)
 create mode 100644 TopModule_tb_behav.wcfg
 create mode 100644 game.cache/ip/2022.2/1/8/186611fea06d2870/186611fea06d2870.xci
 create mode 100644 game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0.dcp
 create mode 100755 game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.v
 create mode 100755 game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.vhdl
 create mode 100755 game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.v
 create mode 100755 game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.vhdl
 create mode 100644 game.cache/sim/ssm.db
 create mode 100644 game.cache/wt/project.wpc
 create mode 100644 game.cache/wt/synthesis.wdf
 create mode 100644 game.cache/wt/synthesis_details.wdf
 create mode 100644 game.cache/wt/webtalk_pa.xml
 create mode 100644 game.cache/wt/xsim.wdf
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.vho
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xml
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v
 create mode 100644 game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/doc/clk_wiz_v6_0_changelog.txt
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_mmcm.vh
 create mode 100755 game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_pll.vh
 create mode 100644 game.hw/game.lpr
 create mode 100644 game.hw/hw_1/hw.xml
 create mode 100644 game.ip_user_files/README.txt
 create mode 100755 game.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
 create mode 100755 game.ip_user_files/ip/clk_wiz_1/clk_wiz_1.vho
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vhdl.prj
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/elaborate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/questa/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.udo
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/compile.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/riviera/wave.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/vcs/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/vcs/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/vcs/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/vcs/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/vcs/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/glbl.v
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/run.f
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/simulate.do
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xsim/README.txt
 create mode 100755 game.ip_user_files/sim_scripts/clk_wiz_1/xsim/clk_wiz_1.sh
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xsim/file_info.txt
 create mode 100644 game.ip_user_files/sim_scripts/clk_wiz_1/xsim/glbl.v
 create mode 100644 game.runs/.jobs/vrs_config_1.xml
 create mode 100644 game.runs/.jobs/vrs_config_10.xml
 create mode 100644 game.runs/.jobs/vrs_config_11.xml
 create mode 100644 game.runs/.jobs/vrs_config_12.xml
 create mode 100644 game.runs/.jobs/vrs_config_13.xml
 create mode 100644 game.runs/.jobs/vrs_config_14.xml
 create mode 100644 game.runs/.jobs/vrs_config_15.xml
 create mode 100644 game.runs/.jobs/vrs_config_16.xml
 create mode 100644 game.runs/.jobs/vrs_config_17.xml
 create mode 100644 game.runs/.jobs/vrs_config_18.xml
 create mode 100644 game.runs/.jobs/vrs_config_19.xml
 create mode 100644 game.runs/.jobs/vrs_config_2.xml
 create mode 100644 game.runs/.jobs/vrs_config_20.xml
 create mode 100644 game.runs/.jobs/vrs_config_21.xml
 create mode 100644 game.runs/.jobs/vrs_config_22.xml
 create mode 100644 game.runs/.jobs/vrs_config_23.xml
 create mode 100644 game.runs/.jobs/vrs_config_24.xml
 create mode 100644 game.runs/.jobs/vrs_config_25.xml
 create mode 100644 game.runs/.jobs/vrs_config_26.xml
 create mode 100644 game.runs/.jobs/vrs_config_27.xml
 create mode 100644 game.runs/.jobs/vrs_config_28.xml
 create mode 100644 game.runs/.jobs/vrs_config_29.xml
 create mode 100644 game.runs/.jobs/vrs_config_3.xml
 create mode 100644 game.runs/.jobs/vrs_config_30.xml
 create mode 100644 game.runs/.jobs/vrs_config_31.xml
 create mode 100644 game.runs/.jobs/vrs_config_32.xml
 create mode 100644 game.runs/.jobs/vrs_config_33.xml
 create mode 100644 game.runs/.jobs/vrs_config_34.xml
 create mode 100644 game.runs/.jobs/vrs_config_35.xml
 create mode 100644 game.runs/.jobs/vrs_config_36.xml
 create mode 100644 game.runs/.jobs/vrs_config_37.xml
 create mode 100644 game.runs/.jobs/vrs_config_38.xml
 create mode 100644 game.runs/.jobs/vrs_config_39.xml
 create mode 100644 game.runs/.jobs/vrs_config_4.xml
 create mode 100644 game.runs/.jobs/vrs_config_40.xml
 create mode 100644 game.runs/.jobs/vrs_config_41.xml
 create mode 100644 game.runs/.jobs/vrs_config_42.xml
 create mode 100644 game.runs/.jobs/vrs_config_43.xml
 create mode 100644 game.runs/.jobs/vrs_config_44.xml
 create mode 100644 game.runs/.jobs/vrs_config_45.xml
 create mode 100644 game.runs/.jobs/vrs_config_46.xml
 create mode 100644 game.runs/.jobs/vrs_config_47.xml
 create mode 100644 game.runs/.jobs/vrs_config_48.xml
 create mode 100644 game.runs/.jobs/vrs_config_49.xml
 create mode 100644 game.runs/.jobs/vrs_config_5.xml
 create mode 100644 game.runs/.jobs/vrs_config_50.xml
 create mode 100644 game.runs/.jobs/vrs_config_51.xml
 create mode 100644 game.runs/.jobs/vrs_config_52.xml
 create mode 100644 game.runs/.jobs/vrs_config_53.xml
 create mode 100644 game.runs/.jobs/vrs_config_54.xml
 create mode 100644 game.runs/.jobs/vrs_config_55.xml
 create mode 100644 game.runs/.jobs/vrs_config_56.xml
 create mode 100644 game.runs/.jobs/vrs_config_57.xml
 create mode 100644 game.runs/.jobs/vrs_config_58.xml
 create mode 100644 game.runs/.jobs/vrs_config_6.xml
 create mode 100644 game.runs/.jobs/vrs_config_7.xml
 create mode 100644 game.runs/.jobs/vrs_config_8.xml
 create mode 100644 game.runs/.jobs/vrs_config_9.xml
 create mode 100644 game.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst
 create mode 100644 game.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
 create mode 100644 game.runs/clk_wiz_0_synth_1/.vivado.begin.rst
 create mode 100644 game.runs/clk_wiz_0_synth_1/.vivado.end.rst
 create mode 100755 game.runs/clk_wiz_0_synth_1/ISEWrap.js
 create mode 100755 game.runs/clk_wiz_0_synth_1/ISEWrap.sh
 create mode 100644 game.runs/clk_wiz_0_synth_1/__synthesis_is_complete__
 create mode 100644 game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
 create mode 100644 game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
 create mode 100644 game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
 create mode 100644 game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
 create mode 100644 game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
 create mode 100644 game.runs/clk_wiz_0_synth_1/dont_touch.xdc
 create mode 100644 game.runs/clk_wiz_0_synth_1/gen_run.xml
 create mode 100644 game.runs/clk_wiz_0_synth_1/htr.txt
 create mode 100644 game.runs/clk_wiz_0_synth_1/project.wdf
 create mode 100644 game.runs/clk_wiz_0_synth_1/rundef.js
 create mode 100644 game.runs/clk_wiz_0_synth_1/runme.bat
 create mode 100644 game.runs/clk_wiz_0_synth_1/runme.log
 create mode 100755 game.runs/clk_wiz_0_synth_1/runme.sh
 create mode 100644 game.runs/clk_wiz_0_synth_1/vivado.jou
 create mode 100644 game.runs/clk_wiz_0_synth_1/vivado.pb
 create mode 100644 game.runs/impl_1/.Vivado_Implementation.queue.rst
 create mode 100644 game.runs/impl_1/.init_design.begin.rst
 create mode 100644 game.runs/impl_1/.init_design.end.rst
 create mode 100644 game.runs/impl_1/.opt_design.begin.rst
 create mode 100644 game.runs/impl_1/.opt_design.end.rst
 create mode 100644 game.runs/impl_1/.phys_opt_design.begin.rst
 create mode 100644 game.runs/impl_1/.phys_opt_design.end.rst
 create mode 100644 game.runs/impl_1/.place_design.begin.rst
 create mode 100644 game.runs/impl_1/.place_design.end.rst
 create mode 100644 game.runs/impl_1/.route_design.begin.rst
 create mode 100644 game.runs/impl_1/.route_design.end.rst
 create mode 100644 game.runs/impl_1/.vivado.begin.rst
 create mode 100644 game.runs/impl_1/.vivado.end.rst
 create mode 100644 game.runs/impl_1/.write_bitstream.begin.rst
 create mode 100644 game.runs/impl_1/.write_bitstream.end.rst
 create mode 100755 game.runs/impl_1/ISEWrap.js
 create mode 100755 game.runs/impl_1/ISEWrap.sh
 create mode 100644 game.runs/impl_1/TopModule.bit
 create mode 100644 game.runs/impl_1/TopModule.tcl
 create mode 100644 game.runs/impl_1/TopModule.vdi
 create mode 100644 game.runs/impl_1/TopModule_bus_skew_routed.pb
 create mode 100644 game.runs/impl_1/TopModule_bus_skew_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_bus_skew_routed.rpx
 create mode 100644 game.runs/impl_1/TopModule_clock_utilization_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_control_sets_placed.rpt
 create mode 100644 game.runs/impl_1/TopModule_drc_opted.pb
 create mode 100644 game.runs/impl_1/TopModule_drc_opted.rpt
 create mode 100644 game.runs/impl_1/TopModule_drc_opted.rpx
 create mode 100644 game.runs/impl_1/TopModule_drc_routed.pb
 create mode 100644 game.runs/impl_1/TopModule_drc_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_drc_routed.rpx
 create mode 100644 game.runs/impl_1/TopModule_io_placed.rpt
 create mode 100644 game.runs/impl_1/TopModule_methodology_drc_routed.pb
 create mode 100644 game.runs/impl_1/TopModule_methodology_drc_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_methodology_drc_routed.rpx
 create mode 100644 game.runs/impl_1/TopModule_opt.dcp
 create mode 100644 game.runs/impl_1/TopModule_physopt.dcp
 create mode 100644 game.runs/impl_1/TopModule_placed.dcp
 create mode 100644 game.runs/impl_1/TopModule_power_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_power_routed.rpx
 create mode 100644 game.runs/impl_1/TopModule_power_summary_routed.pb
 create mode 100644 game.runs/impl_1/TopModule_route_status.pb
 create mode 100644 game.runs/impl_1/TopModule_route_status.rpt
 create mode 100644 game.runs/impl_1/TopModule_routed.dcp
 create mode 100644 game.runs/impl_1/TopModule_timing_summary_routed.pb
 create mode 100644 game.runs/impl_1/TopModule_timing_summary_routed.rpt
 create mode 100644 game.runs/impl_1/TopModule_timing_summary_routed.rpx
 create mode 100644 game.runs/impl_1/TopModule_utilization_placed.pb
 create mode 100644 game.runs/impl_1/TopModule_utilization_placed.rpt
 create mode 100644 game.runs/impl_1/gen_run.xml
 create mode 100644 game.runs/impl_1/htr.txt
 create mode 100644 game.runs/impl_1/init_design.pb
 create mode 100644 game.runs/impl_1/opt_design.pb
 create mode 100644 game.runs/impl_1/phys_opt_design.pb
 create mode 100644 game.runs/impl_1/place_design.pb
 create mode 100644 game.runs/impl_1/project.wdf
 create mode 100644 game.runs/impl_1/route_design.pb
 create mode 100644 game.runs/impl_1/rundef.js
 create mode 100644 game.runs/impl_1/runme.bat
 create mode 100644 game.runs/impl_1/runme.log
 create mode 100755 game.runs/impl_1/runme.sh
 create mode 100644 game.runs/impl_1/vivado.jou
 create mode 100644 game.runs/impl_1/vivado.pb
 create mode 100644 game.runs/impl_1/write_bitstream.pb
 create mode 100644 game.runs/synth_1/.Vivado_Synthesis.queue.rst
 create mode 100644 game.runs/synth_1/.Xil/TopModule_propImpl.xdc
 create mode 100644 game.runs/synth_1/.vivado.begin.rst
 create mode 100644 game.runs/synth_1/.vivado.end.rst
 create mode 100755 game.runs/synth_1/ISEWrap.js
 create mode 100755 game.runs/synth_1/ISEWrap.sh
 create mode 100644 game.runs/synth_1/TopModule.dcp
 create mode 100644 game.runs/synth_1/TopModule.tcl
 create mode 100644 game.runs/synth_1/TopModule.vds
 create mode 100644 game.runs/synth_1/TopModule_utilization_synth.pb
 create mode 100644 game.runs/synth_1/TopModule_utilization_synth.rpt
 create mode 100644 game.runs/synth_1/__synthesis_is_complete__
 create mode 100644 game.runs/synth_1/gen_run.xml
 create mode 100644 game.runs/synth_1/htr.txt
 create mode 100644 game.runs/synth_1/incr_synth_reason.pb
 create mode 100644 game.runs/synth_1/rundef.js
 create mode 100644 game.runs/synth_1/runme.bat
 create mode 100644 game.runs/synth_1/runme.log
 create mode 100755 game.runs/synth_1/runme.sh
 create mode 100644 game.runs/synth_1/vivado.jou
 create mode 100644 game.runs/synth_1/vivado.pb
 create mode 100644 game.sim/sim_1/behav/xsim/TopModule_tb.tcl
 create mode 100644 game.sim/sim_1/behav/xsim/TopModule_tb_behav.wdb
 create mode 100644 game.sim/sim_1/behav/xsim/TopModule_tb_vhdl.prj
 create mode 100644 game.sim/sim_1/behav/xsim/TopModule_tb_vlog.prj
 create mode 100644 game.sim/sim_1/behav/xsim/compile.log
 create mode 100755 game.sim/sim_1/behav/xsim/compile.sh
 create mode 100644 game.sim/sim_1/behav/xsim/elaborate.log
 create mode 100755 game.sim/sim_1/behav/xsim/elaborate.sh
 create mode 100644 game.sim/sim_1/behav/xsim/glbl.v
 create mode 100644 game.sim/sim_1/behav/xsim/simulate.log
 create mode 100755 game.sim/sim_1/behav/xsim/simulate.sh
 create mode 100644 game.sim/sim_1/behav/xsim/xelab.pb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/Compile_Options.txt
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/TempBreakPointFile.txt
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_0.lnx64.o
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.c
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.lnx64.o
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.dbg
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.mem
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.reloc
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rlx
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rtti
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.svtype
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.type
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.xdbg
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimSettings.ini
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimcrash.log
 create mode 100755 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimk
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimkernel.log
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/background.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/priority.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/runner.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule_tb.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/vga.vdb
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.ini
 create mode 100644 game.sim/sim_1/behav/xsim/xsim.ini.bak
 create mode 100644 game.sim/sim_1/behav/xsim/xvhdl.log
 create mode 100644 game.sim/sim_1/behav/xsim/xvhdl.pb
 create mode 100644 game.sim/sim_1/behav/xsim/xvlog.log
 create mode 100644 game.sim/sim_1/behav/xsim/xvlog.pb
 create mode 100644 game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc
 create mode 100644 game.srcs/sim_1/new/TopModule_tb.vhd
 create mode 100644 game.srcs/sim_1/new/vga_tb.vhd
 create mode 100644 game.srcs/sources_1/bd/design_1/design_1.bd
 create mode 100644 game.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
 create mode 100644 game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
 create mode 100644 game.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci
 create mode 100644 game.srcs/sources_1/new/TopModule.vhd
 create mode 100644 game.srcs/sources_1/new/background.vhd
 create mode 100644 game.srcs/sources_1/new/collision.vhd
 create mode 100644 game.srcs/sources_1/new/header.vhd
 create mode 100644 game.srcs/sources_1/new/obstacle.vhd
 create mode 100644 game.srcs/sources_1/new/obstacle2.vhd
 create mode 100644 game.srcs/sources_1/new/priority.vhd
 create mode 100644 game.srcs/sources_1/new/runner.vhd
 create mode 100644 game.srcs/sources_1/new/vga.vhd
 create mode 100755 game.srcs/utils_1/imports/synth_1/TopModule.dcp
 create mode 100644 game.xpr

diff --git a/TopModule_tb_behav.wcfg b/TopModule_tb_behav.wcfg
new file mode 100644
index 0000000..e651d2b
--- /dev/null
+++ b/TopModule_tb_behav.wcfg
@@ -0,0 +1,95 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="TopModule_tb_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="TopModule_tb" />
+            <top_module name="glbl" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="0.000 ns"></ZoomStartTime>
+      <ZoomEndTime time="1,932.082 ns"></ZoomEndTime>
+      <Cursor1Time time="1,000.000 ns"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="254"></NameColumnWidth>
+      <ValueColumnWidth column_width="66"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="18" />
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/clk25">
+      <obj_property name="ElementShortName">clk25</obj_property>
+      <obj_property name="ObjectShortName">clk25</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/btnCpuReset_i">
+      <obj_property name="ElementShortName">btnCpuReset_i</obj_property>
+      <obj_property name="ObjectShortName">btnCpuReset_i</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/hcounter_sig">
+      <obj_property name="ElementShortName">hcounter_sig</obj_property>
+      <obj_property name="ObjectShortName">hcounter_sig</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/vcounter_sig">
+      <obj_property name="ElementShortName">vcounter_sig</obj_property>
+      <obj_property name="ObjectShortName">vcounter_sig</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/fcounter_sig">
+      <obj_property name="ElementShortName">fcounter_sig</obj_property>
+      <obj_property name="ObjectShortName">fcounter_sig</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/vgaInterface/FStrobe">
+      <obj_property name="ElementShortName">FStrobe</obj_property>
+      <obj_property name="ObjectShortName">FStrobe</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/Hsync">
+      <obj_property name="ElementShortName">Hsync</obj_property>
+      <obj_property name="ObjectShortName">Hsync</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/Vsync">
+      <obj_property name="ElementShortName">Vsync</obj_property>
+      <obj_property name="ObjectShortName">Vsync</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/TopModule_tb/vgaRed_i">
+      <obj_property name="ElementShortName">vgaRed_i[3:0]</obj_property>
+      <obj_property name="ObjectShortName">vgaRed_i[3:0]</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/TopModule_tb/vgaBlue_i">
+      <obj_property name="ElementShortName">vgaBlue_i[3:0]</obj_property>
+      <obj_property name="ObjectShortName">vgaBlue_i[3:0]</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/TopModule_tb/vgaGreen_i">
+      <obj_property name="ElementShortName">vgaGreen_i[3:0]</obj_property>
+      <obj_property name="ObjectShortName">vgaGreen_i[3:0]</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/btnU_i">
+      <obj_property name="ElementShortName">btnU_i</obj_property>
+      <obj_property name="ObjectShortName">btnU_i</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/runnerObject/pos_object_y_target">
+      <obj_property name="ElementShortName">pos_object_y_target</obj_property>
+      <obj_property name="ObjectShortName">pos_object_y_target</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/runnerObject/pos_object_y_actual">
+      <obj_property name="ElementShortName">pos_object_y_actual</obj_property>
+      <obj_property name="ObjectShortName">pos_object_y_actual</obj_property>
+   </wvobject>
+   <wvobject type="other" fp_name="/TopModule_tb/DUT/runnerObject/fcount_edge">
+      <obj_property name="ElementShortName">fcount_edge</obj_property>
+      <obj_property name="ObjectShortName">fcount_edge</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/runnerObject/runner_status">
+      <obj_property name="ElementShortName">runner_status</obj_property>
+      <obj_property name="ObjectShortName">runner_status</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/runnerObject/runner_not_landed">
+      <obj_property name="ElementShortName">runner_not_landed</obj_property>
+      <obj_property name="ObjectShortName">runner_not_landed</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/TopModule_tb/DUT/runnerObject/runner_jumped">
+      <obj_property name="ElementShortName">runner_jumped</obj_property>
+      <obj_property name="ObjectShortName">runner_jumped</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/186611fea06d2870.xci b/game.cache/ip/2022.2/1/8/186611fea06d2870/186611fea06d2870.xci
new file mode 100644
index 0000000..65d1c67
--- /dev/null
+++ b/game.cache/ip/2022.2/1/8/186611fea06d2870/186611fea06d2870.xci
@@ -0,0 +1,295 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>ipcache</spirit:library>
+  <spirit:name>186611fea06d2870</spirit:name>
+  <spirit:version>0</spirit:version>
+  <spirit:componentInstances>
+    <spirit:componentInstance>
+      <spirit:instanceName>clk_wiz_0</spirit:instanceName>
+      <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/>
+      <spirit:configurableElementValues>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">181.828</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">104.359</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">25</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">clk_wiz_0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">9.125</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">36.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">resetn</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_LOW</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a100t</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">csg324</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+        <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">4e5828b5</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">186611fea06d2870</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">clk_wiz_0</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 3669142 $</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">984cb417</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">30</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">11</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2022.2</spirit:configurableElementValue>
+        <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
+      </spirit:configurableElementValues>
+    </spirit:componentInstance>
+  </spirit:componentInstances>
+</spirit:design>
diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0.dcp b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..bbccf5e41df65c4ba3e30721deac00481e21ad11
GIT binary patch
literal 11809
zcmWIWW@Zs#U|`^2xEUH9=Cn*b`~o8b!yXm}26hGphLq$2y^7qN-YK#9vkfF#*H4d6
zEZiD9g=y)@Ak~#j%XozwPdLnujbu6VXzGoLhMKq6$6wm<d(rG2wdXDS<K?~Q-PyLA
zOQvImW_s}1E4**Z%x3I}o@D>lGF8at&y{0R5kbd}h8S<T`QYvm&%X?h3}UV1m=d=s
z2fxj-Fgh;p`}Wh4`%4`g;(fe&<r^<wSjBLP&4RhSsYzq{fh~=R_hb6=*X3?#;oQJ;
z+A29rqiN-AjbqHVDXi@6lh;K*_<LdBjewtyk;aNs*PnHtnJHnket%5lx7H}lht*4;
zUlp!fc7J1`m_Xw3k6sfdZL7EbaFZ!>ZmyP5zRGvy<(j9H4Nt9l5vaWQ(o>&F-%5Fx
z{`lSTd`05-7Qu<0L4P#$AFMi+a`LF(*{fl%uNr-jHF2MR^^e5?y^_%VTa*-jZnNL|
zNYTFIUE!Q1eb;X8pLW*GUM9X@oU_)l%tGpB@@1p=_*dqanArXw&FNkxpUE5hru|0m
zp(D}iu`;O^_T@{ryWZ=U)PJVEzWLJY+->TAIpn^)-^CC#<?o}{8>I6h_pg!PwPN-%
z9r3@PIL_@Z3{3fZY5pUPBl(IS1Q*t?tvnxXbIANx^}E~hpB`zrZWJ$<Y`U^X&~oK}
z&sj15eoaqnKFE(6={GL_Tk(~Ffnhcy1A{OF14D97c6@neRlGrbaY<>CURg#;PHdl}
z_Ynhu*7rX}i$gY~MyFgnn;F?H!gcD^!Dx?LH)PFJRA;`p7N39X@U5y@lKafx@6~B;
zmUip^+qs~k=0@7nWjYU;d2gMTy|V3WVm^<Ix?{s)zFYM#_0rj<POod(wMy$;(uL2{
z_V2ateD-vwUB{vu_n!Y=_OK_@+e3NFGo5`O-E$b2nJY@?Jz%@UW?VFp>xQFLLFq%|
zubNYHk~01;eku6&%k~t`yXSV^jnCM%G~eH2*U}fJEL~i8p6{Bf@@a*Vs!i0wo}BYe
zJH0oBpOe(pjrUj=!Lv!2*^k$`X<PiNYi^naeD@Bn;$c&eH%<5Yp0WJVoWD${p~Pe%
zZ1aGHfk9A!fq@q}l=O;H5|>WT&cAIY()N6TgP_@4gLTg4%(wp}#>?J)W5Ktpbl;Io
zsry;#x$TJp8J!zDJr+3xt=oQo)8DO(ztycL&2jV1(FnD-2-ErW@b{$l=M!QVb=-Y?
zXkJOQZU6rGpuqdrFRpu(cyzJ%tEvD03cj_vH}&tlzp1aEroWhN$GvV>$fnAK?Y56%
z&i?2+^{GDfi_1UB6|Vi2B_1_eh4ODDtlnkXgxs=zwlQJp-bC+5MQ5-07T>=TBM}p=
z7vn$q(8Wa!KaU8;Wcx=kS65ltyp+G)9F}f$tKIB}NCw+Gk;QY%k4s)*2vD>8nG%v-
zVrXMO@5bN2)w2)IWjuH2cx&PFxzQV<7;k*c-fb+^6FvFOoL+{o<ICssyq^2bt?c`v
ztM9+K&)v-PLG_kl>78@TK?iN@{?EAis%*LV+ibBq)=PW^=KWhDZ++RGSaz-<RqE#&
z-&L0)c6ajC@a&TM;VSpKPw&P2sVs_V_mq!p^ZuprN4Wi3y2Y6z^^ZPm=s4W{ukzSK
z0hOyCPTQPO^PK%HaMS+TKYv#X^E+u<|J~7ErX%}5ie;*)q`JZ8dGimL6~24-c1D`y
z&n;*6ct7*@4RAd&XO+vW!XM`>e3sc?E1Tedd&%3pRR<*A@+$vVD}8@Os*of4JIBMC
zy_a1+NU)fHSZ#Ah?cnUof_05vY<9Q!rt~E&WOCI?UC#B^eB)1-AZeMXA3w{SF7>wF
z()#ka|Lu-GvEQ-w?@y=BS%3EMpPIc_!_1~Wj1M?@<m}ruxqZjd)vq5t+|_-&>30Y>
z>%=n`pKVxha{gaSUXzo`4+Ao#H?HMR{rl`>oc+?>CvwE@xZb?`LuRVZof~~OlTJOX
z<Jjwe!O!>jTHR?bA}4x&JYitE*OPYHOGI;5P>*`?<;I;WAJ5<3@Xpvg^Tbs<)h7`P
zR&aErTVMH{GV5v9N6oa&T`|^Y(huI@6L$7BC}~*xHYv~jz3+qTfh+sIzO~K2oe}@#
z-1SFi&x_jcwmTcydq`tt%xwd{tk=e#FBSIZ-O)_H&ObA=^KWFUYwMwK@11dTZro2y
zoD!l^&{-gSg;UG)Q-4hPpSxOJ+0|PQ&ARsO($ntjNa0ofh9Lq!Cz#4ePcvNjHQ=Sd
zwhG4fnZi$RCG6ec_~W2j)5_Nib{$WA(zoRH@snFuSlD%UH>v5kP5mz5CFLU;Xtb|O
zwmRh)Yv2{%Q)YJ_tF2%DR6QeKEdI=`no_BlPoM7dUx?!>o185j^82{YJhr|CcDy1V
z3p|fkzj&y^mC%3b$Nu#f?)`KMcy!83Wo5VG>%uP#uXY{z{L1Pn^V><RTbSZiK3%zZ
z@jYYsHlCG-^(0IGuLybUo$|DKr<bXUQ>d2qaz~!n@V+_GX=}4WR=siSdRsg_?8nno
z*7{yK&RH+yf*(F$|Fv)4i8n15x1L#U?swVjY6Hs;!&{{@7xX20ckS2szqxr{+S}W9
zp#rr+w`}KZv|J-Gr+gKg-s0uXtDZzJ6Jy@CD6gNzCg`R0jQ)2ebM{y-Ht9M3kMr*X
z<CnrubynOix|?Yt-6|s1+`auwX!jOnnKci>Y!y~dnfB+KERV=f@x}$kY76ZG%FnR!
zumoIqAmG^^^Hu4*^rt+l?Vj89FY56;uMB>;^i96tv=1`fvjRLr=bxWBdCyNd+sWJ+
zr|viJ{!#1Q_%w1!#wRJY!h<U$zPK5r{WPp%2~1{Ya+~y@8MP=h_tQFal7oSv-Wa_o
zOif9<8l7Fd=!De2dGQyYwhOO4<;jqL^2)AIHiJa>gG@eZ)?2T(-qNz>5=+wCUHb3$
z%e*PwO=c4;OUqWdS%0w0w}0QdZ%=Qi$=39QO@A2gzuB))&gd{TrqcD^u`*c&2EKx=
zf3MnAxt_jz-~Ph!*{^-WuUX~jmv7G&zuKGs^Y&TR75gf;y;p8msHtw{xgWK*@c?J(
z0ZxYu=6TG$Vi})8p5H2dK5r}2RQ2CiXYCRVxchlULPvpaNLEPRqjg~?J~}?F%hs=L
zcpv}GMmj@S{sZp;(|soI_nAm1D9l`<{czKyHmUlC)87sA{7(LT#r5-=^$X3~nanfx
zrCS#V?2O!@U9$PxnqJ$TGoyF-+O2zjV&}~r(fLhg6ZUVsZocsUqHABZ6{Uku*LOwj
z$Yy=z__k@*we82}J*{mxdZjJ<eB8}_f4esPK3Mp`L*YWo!yVq`{}@-DD>HSQ*OIoa
z+408YD~E4HX6$6)UnR2TProS>|0b>1T@o4x7jC>LT`*z)7W29f;!}fCH?Nm?=ErsV
zW?%oFnWl~j^_=XtzSewTSQUKRS@_mkGoyB8;}>)O+%|m?-g9xX#y=Og!%RKz-1oN6
z_wclK%~W4}BvoW;>(-{s|DPlH*&aEFC4^V~>b!IG-}QU8&(0(qiu*Dz^qRrehXK=W
z91%60Zn5w1MsK5_W91cPo@Gm!cB|~ZWCbGFHm6LE+;mhSa;wexL(E&+Wo*nDJefs3
zf1fkoUr-;C)sTNxqQqm@mqQBIt{++N6KQ6m;yYE6C3BN@R?Mddoc%1KrV|s@p9pyt
zJDr>G`?)Ir-`i7FWOvV~sm*8g%TuqJv(D|1t&zt`o_!W6OA@TS!j4=H^*G=8VhJ}d
zui821=GHG40zv{Oxw4&1kF83(utK_X;q=_J7nciPEkDcY^Eoo<OX}jaE9P5HZf*J2
z<0p``>CFVwpYrQWKX(0R-y5ISTDfJN?c+X?O19%NZIcu3uAOhT+4Y~|p?SOA=KW{f
z_w{Q2hV?6qRJ4COe3q%KU@pEb@-8W^H_Fjp?v}!R%f7?v<<2~t=1wX6viVo%9IL{O
z8y>UI?tU=o?Sr@Tn(z92=T?!Eo8>ywo5@S>!tT=w)hF(EA4yvp=B<*xV)D8l#-*2b
z?<`F!Kl`A<+Ipcy#I^aC=DfRA{Z`r}zO87>P3c#s91N$bTi)2SEMe23i+LZnpDmM_
zn#$W}yJe%}XJIi`?|=V$U6aahh>C7r-DM~dXFVg}%`5eUMT;DbUcXUXW_N0u8k?i%
zyYl!4!Q1V-z8*<UHeud8Y1b~1$Ex3VE#5b?_oe5{yCv?+rgfg;%G!Hw`)wabRpl%8
zir(+@ZZp+iTW~dNQ`5CCqLZ}MeY)O%<dSG>{=LTIS#ruuGn0*(`%ecgOLg7j7`~(8
zTm$nQs}16g@7Gl>JXv^CQ$ne|KUR6(mg_Qq%jGPLJa`He+Spd~#g=#K*c?5x<|$jM
zm0Co`Va0iYTO;0m*4g`L>UY5jtnE9C0)pm=c=lDVxVKA<FX>?48F7t$9V&Mh^+_IN
zz2jZTy0?4dpK~`}-1M*!TiNhP>=Uc*6YmI(CtCwFk{1SCa9Y^X`gM=kwbKz1`WcCP
zTUvQ-*rk)E&zK=R{jzbd(Y#ENFFuP~(q~ob=1kkTA@ahZG*0g5O5L2P8#jbrI22~c
zz4c%~Sns-(85>2Pyzh^)uYPv?-htRn)~<#NcC0pV+*3Zibn=z6-)cWjf1GpKU`j{`
z*TE(sZ$XW<J4F~?wapY?dfN8K?4((n<g8yF5`6COX5++Z8PGQIhT4~1waV2i+gjPB
zIN!&`ObNXsUlSrHcfR3Js{ahhmN2zrZ(cpi;F!O=>*Snmk*8m!t=2SoR9)DXYPv<S
zJ}FaH<m4y)RiaaGZ2ms4VCMtlyVFvArSq%vW=CF2SeAJ6b9}+0q+kmUt^5CfsAl|+
zTG{w&{<^mdGS@^${ZdG~;2zX5$7!AFpGgVQhgNC3Xa(=Sq_4StTF?cZ)e8c{9QUqa
zTDXR3-x?;p{Y?v)=8L<u_0O3xVI|WKqk~~yOS^)!{HFwYE}C*mU};E|hHH`Xy>iR#
zAD{j?HoYXq^8ClAG3DW0wb5L@=N@z|=H_|zg+o{UnPO)}z|xYPcTYz9y*=m{lXu}?
zjGlf$xzBO7?ybpZw{Xtw_kCe=y2a1G@MeU|lgS<Gfhnod^A@CR4w`i8aq9OHGwZoO
zCEoCFQz-A^{wgs4w!PG1&h!kn^z@r=`gY&EzUgx2+tO!C4!qIo-6u8qa`^Fwex{F1
z&fk=1=4hLl{o$UA-@4;`%b!Q@?A$c@$_efu%SgFu6LIgVX<XvpY6`pVHfjrPIq^?p
zu2k(y1>x!43yZsCD?Jr9&(5i8d{nHHC2q)AP!~MS=H1fxFOMH&%=_x`@Baghe`e42
ztq}e4rPKZ6@?DB-wbue`vg{`Y`^z4k>=weskvyg5tkUDKHBJt3pH8gdPAOc^{q|b!
zA}^urP3N{P^!QU~StY@L^zrvia_m)kcdpO#EY%5H_Hl3SCa=kEzwVq7Ke^%ZIm6F$
z<rBUM<=mYv9q!^gT~Ssj<D#fmmVfsvm&Bylx6$i$=cL;7+wWMtU;SOyTPurN#YojM
zrI&Bn4t_m!X#TZJ^Q@mt{ma+MyLaOz*%ycGPv&#&;nQ8iI(==qO@&<SygCc((AllF
zpOedT+Dmp+ZvK1nP^~S~L-p&WY2RwfCG}H|d!KoJ_gz7_am%vg?52xfLjU^t@A}Vm
zay3Kv!<B{YGD-24w?#X3E7w`s6f#9-C!W9gZj11o-E88m&D~cR-${RZaHY05=}g8J
zrFfmYC;3fm%}$kHfBm8A#I&B%vU@ZuUYrhBs(dWWCs$JS=5FBbaP8AKqr5$09^MQV
zY4|^R_nGN3TVII(ToLPHzwg3D9(7g8MLyy)xLI6`Ze|#N=uj_fVb}eo7TNkNrnJk<
zf_0H$*DG7OT|sSY9E?<KUSxmpyv93e*{h5K{ns0t)c$62?ztUZo$xH+dR_A*A!eDs
zHIF5BZu<}-z;sVNxBIz8{id1MTaWE8e;WMhcJF_*?%%&!PH84~1_ljY1_pkV?q6|6
zVnJ$dc=U8>cfonn%C;`O=#h2xjcfKJ1wBUQ&drmqXG<2G$S{fG^p;9ZOL;GKLScRC
z`V*T9_ss6zDRt=R1ct(fhQ`AWpL}5Af6^FzuJOr-2j+*8e{k9y3ht9%xU1T2`UKl_
z)33Fmv9Y1CcQ1c-3A_C7vz6Tz(_7MS?So(O&DZ<6yLt8DpxOe99TpZ6_u@Cn@2~oM
z>&2;ei7PjS#py>@SF3XJdtGm1Kb-B)t1oLm>x#)+yI<GkW-OooDbxAHtg<S5p*6{o
zswR<K@BaPXx_f5zgZ&@n57*q~TC?HZ)^nD3H$2Npb3D8yz4XxBy9YDoGdnNVfA}CF
zy&!W&E6cLib^a?qPdMUpdTp!j_IF#>zLhz%P;X;P<&6F-`yOAFwddD7v%_ndqN%W$
zf&H;pnM?_Glhk9XlEiI&FP!xAmA<W*Vz7MKya2YqjghzS=G<gGkz}@QQV-|O&h;nk
zo#cu)PvNZ5idpKcF(FbW%0)5oQejRggYag-r8AT_be!Jy^mf?YmF`caR!>_NQuyLi
z;vpl}Tbnan*4|(7d$wco=>}1jleugxT^wI{VwEh`EE3F|)&GUHkfqmSniy+^_*A8?
zc{bbb=-g?}+?XOH`at95VgZ%I592;rI`5J0&Fq$$5+J`k{`BE$7k-z>9b0AgOurKR
zp*HaN2|<qc;-^Eo^-b=U#YTxfw2KM36}H%Lslh|$$$kr0TF-m?<YDuw$4Wf~Id=pF
zQxCN-lFDNfpVO7i9(KEhKe>)6HFwho!9dQ$8=w7k5B)u%UU*GAFL(ELCjJGMmp!}U
z+a7lqAM0hixcY;F-rl=0Sz!shXFbyr&*(c!a&O*K60i8(n9oUz_Ymi)t=^fZ-fduB
zznABAN9m=rmntnZS6|_rs>d%a^jq**L6?~2wcURkoI{09HFTKFIaaCkt5@`g#w;g}
zLw!%#`^0}nwDl`$-OG=DKBq(P!10xf7d?v=?u~3P7GAbyM!SLc`2e2jWvoAr=meWR
zOx&1s_Cj9N-F27wGUxhiQ2TAnC9=@$UeDu{oS8lm*{pTDQ>|rQWgKxZd8%Y_;<$^6
z4m)qwov`n7c$72_Jj+qqa&q?OPJzopiTVEOpH4=$30Z0j-JIu_B6@3w$=TkumL(T5
zuBqSFW{<t{H+TQzvZE(tcC0Y3UG3+^S<Q59t%RMB^Tq3G6-lrBURd((t__<t<H7lY
zS2CPOHagzDusx-Q?aRv(f`5GrB@6r*1ykhC1*^MW4C4H>p;dCyBNn3#z1+IycV3yk
zOf6(AU2oX=HJHgS>2C>-E00wTTksPPxv2hgVfz9b3buVZQWJJ%r(x{RgF4Pr_gnn_
zR=n%0$(si?yX8%!GIyvf&1*j_z^mC>_h4&|*DIs6oYSA5Fm2tHxufZG^t$x?<%#0Y
zt^cldGv!rQo$Gb@`IM3>M!CaF-fuPD(9T`9TfdCE{k-?{N21Z$yTdjx{GPEyd<|p2
zvOx5`8(P2FVq}?D{S!7^G2vMh&oxe&BMZD91T+bYzI<@fP=Ve5sDnj?72BbM#)d0?
zS;ZWm!XnGOPvGN|+YS0&8#e06)@SmZ_&7Z|VBy2~iHFUvSxf%V($m;Dt6AD7&fwHk
zt={touP;+r`|G;snmHns&mY{>^thF;QL#y9(y2q{l4qZ<+8Fs`n$x9ehqp_nU156{
zvCUn7+iagNE1kMO$nN^JA}k=+H;HZEX@jL^!iS1;E(QA*>c}=emz;b5;=Ru=JPHmi
z@H~GuO>p1w7a~3PU30J7Y2SO5mn*sN{DuX~W-fZ)DsK>@`dRGkpZ|+5ePB9l+26r*
zXAyTqZxG+&wu=v4+diA_k}dK5p?G-C)BN(>^EX4xH<|qDX#4hN+T>>W)kzn#LjHbU
z{Xyuk>vr*$wR&eIF8Ew2d%EG`gAc-5Q=`6qa=W`)@1VbA(dwryd&_Qcu3bCLUo@`i
zw(zz7NIv_;2cKy6<mq^SC|0{SWizYM192YlUw1dhey=ePOyOK``o-Gm|EtWMFBSf{
zJ$rkc=ZF2q_a5jQ=6!OnoV@<Cb<h4&KcxP%KbG_Vc;BFI=5AMAR`dM5dTeTpChJ7E
zP7>qhWLP$%a_+mMKL5C88mhYcFqv>EvF88#W-58m=1#@a^7NpB-`}4^v`g35e4Fq2
z>2S%9tE>0_DQ()Eq~tnJ_-M)_iNzDOe_4NLjMOWd+0*ebBB?iYYrC*-?+=kZHSWu1
z`)K}uoVhgUoU!jj8)jDJ$81^$_TLLAR8Q~=TC+gr!J%{ak{@R5k4b8qwba7B(11&Y
zwLI^Vg5WXvd#C1IJbf{}-S)=K+S}9JQs2t%H<>0BvUBkxZzb0JJO4gMM@pWGfA`PR
zBV^K{jQ<ZlIC3>S<98RIzo(}4yr_2@-@g|H5;6O&o}9FdeaxA3<>}FtA5x;kuXhNm
zTbzD9bMHmh>K)tFb0uFCC;cw`W{)~V(8kQZTAqo4;TQ`8gAj6c8DEr=sFziop9h*#
zxMd*H_Wrka-vqV5l|OShw993;+*VnTb>h^L%r2j#1BW-x%+=WPX#e%HTOT(XzUtQa
z_<PQG8F~4qKY6~aQmr`G+O$6BjBBUJ!!_5M(pN|BJ^MV{Q7w4s_U-ZlF||E&FYr76
z@Y6_K&HZ-5?gC#;6}AZz5;~6xiRZmddOfYk?;#_1<kOY56I8V<bYhdAwgp^|D=gug
zFV-SfAZcDYk0Fn>ad(V*?}7fP-qm5sO>ye1!dqV7bL5h~E|*@=?Ji)Z_#ry*P8Lhs
z-aD!L3o`q!s2cxyd#vA4M?yJ=sqjfpN0VM+x9As+V5=*4xpO~na#>?=@lct*_68N<
zX^L$xqJ%il<?9*kyf7o8Iar|6O(Z+ZvuKX3QlhZs{w3=!&;BG-UEHX0axdql_s<@_
z%33o0a^yFUc}Dh=vzIN4e6VxZ?YOHZ>O6-m%I>}T-c|GNy-NqvPPKz^^*J+_y|cfw
zFXxr<C$0QN2fkmuf6yRcy|3JiNnh>>>t#*574kv4;=Hg#i0*|S{ck-YHC&6>YHNd%
zL+7r`dgSNDeedj9AFpQ1mZwb9XK1=?o+K{uqoU?SdB=gBO5Qond{<Krif9(@Rq>f8
zov@v8nuJkg{Z+oDUv`+xk(+wyaZpkH-}P_LPw5wEHM{P7>8Q8Q!uNM9nA0n`{5X%w
z|6?!b{c3k#x9U=<foc7O?>FCVP_O;nUDVRLFC`$jF)%UTWL4YQHD}LnKFf`ooOUL(
z{XEOSz_5Xlfk6T#Ic4U>C+Fvtq*j#ZRiq>@J%5p_$v}kdLG}Dcdm0wH>Q9jHxOC)|
zk`td%zgpMkTsFad)yp_VE3aNHd+_J}ze3NAYEPCvV4Y!lGDamK+W7RQ2U8{<i}G0e
z;M{4Z&!_T~oB2C?v?kqEmE7!QGU;;li8U-)lJTBeP2vKLo}O-VGY-p$y){^5^?YU9
z?+@$tzUg<h-#R(zzNg8rNH5#)B`<!xmYy+PO`TWn@YTe<WzKixnZ>u|ysnEleC@_-
z^@l&s-2Ah)!uktS${EMwUu$o)w~HTKsblT5WODtVN6L>GQG<Zz{_Q6f3=9l28PH~F
z^oml8^Gl18Q;S2-8gd^p5MX`qx9+TJ=B0{gq1k-*E>1FgaLv(0=E%YS{Aw0E_rCx5
zpzyBeL}O;9g1d*?@Ap4{yMNN}?g>{oqXU=iOn+PBEVndHx>suVAOBNT-2&bd_>v#)
zS*!b!G4Yuyo1KvU%c3K*K8KiHN-^nBdAWXr(e{na`lt>qc(5_dmWzSGf{THH2RW|v
zD)JaDxQ>5~$f*c3v~YD&P*6}XOf)wE(F%!127jL)zmq$2vSk<Bt?%_`eU8{3F8^^?
zwQGu)1J~p2tA9^3vSGP+zo@lmVT?_YT*~ih$=6%1Y=~`8;`#r!a#8Q(eM{DJ$F6_Y
zeroOF<da{%Z`d<sN|LvjR-XL2bOVNKF6)C5wgo24>^+rRA$wgp$1f>DdCA6@aD}4=
zbxb{G=8yY5PCYH>XZG#*R#CQAFUT}nVxD8+j=G*FqDz$SyeJU4a=mPi@@eI0d;V{A
z88Xdw7wj8X-<b5|!QxeoMV9fak7@f{sE*@^y0h^_17q2iw(TrW!hHC>D-5bVi#{!M
zU#jMIc#7^-V=fo9%1tUQ-)?<6Aag!gJLKf-BRzTlX8xHUaGP<ym~L6-Z^juph7VKQ
zX1rX|TWHnhU9#o`v+%^cdjcH=k+(PPFqTS}&0nd%|CCHgPOE)_$jYxLg*8_1|DJv8
zpRS$z_igX3ce-rI;}$(QF-n(Nxm&#?v+c&sOa7WH-|V_R7x;g>;&SKR?zzqT^NjDa
zEe=mw&h!7|sjwT*yx!=ZwTWD4Zp-@h&P;|wDYiQo|1OTK_)@ptV(0e-7mh!<u}W>N
z;2zx%d&TW^SLO&+uik3lZMyJYSZUPb3MM<FmRBV=rW|vtTi<3RnsF?-{$GGpV4l&X
zh!@UQ%RHWb&)zB`5#%(5Pl6+}XyL})a)p^nx0&N_7$(12${n|Hm09cm%QGzvR_&`>
zBal%3WZABJy%O)Te?2}K92cMe;lqmQr@xg?`c->9@!H4Dd(Bt<u{4m`vfMqgMRWN*
zk2sgQ$M<@&3crW>&UX12$=PLmVAqbsme$qrk9!Jk-D0W`E7kh4ns4sD*_+;`ojjv&
zSM%VH>10vKUJkz_Clc4S%KNeWdHuy%W|z>F&2HbmGaufcUt6~S@rh!kea&LNX}&>E
z@4x0Xx8vF@<+Ci-_}~|H`6^qVv)<+*Z;z-RdB52y{g&fv3B$setx@*>7fwqFpS<nR
zyqO$rKPJd2Hhfth6kn9ak&-AIdaB<iS1a*d@7vr>o9C_kdS}MMjmhC%`QK8q50v>{
z<aAnb;g;Nn7WKr=Ppn4!pMQ^$P^_>Bnx}Sqf$fQ{ckW3~<8uo;Dwn>mNvf>)uf&Y|
z+_!D}?y@ad@S*wMiR~91`liOEO<}n7;(`Oi@rgV1!?v9%IHKaS^ObYXx<3)imMb{a
zs7FigPFpP)#$RA2T(RMboyNmAYZMkGyhxE3w(rPK-1YrtXMTbz_XmB3E$_?@JPqJq
z+RbFNZ&N-8XZJDQe<cg_kE9(GsrGO6t+*7~`61RlEGBpQQMUcl^qtO%+LiO<J{Ku?
zv*U!C=9)z(TzT(>PSY}<>%S#;acr&Nugd?+%_Sq(XuXn}b}dHs*pe3lEN)4^pSbV8
zrgDUPSMc$V@riHWeJXif@c;dc?UoByW!aXLubJKYba|{Zcbic6@(*Iqlc(?tu<&Ue
zzL5KC5qIR{PiD=*UM3qWvO9Mv-IQpF>rc3+85@@AeDv^iHICou9_9R&i4$_?s)z9U
zr<h$^=GJDh%p^$X`E^nA&tW!<UfV+QghN)IFHXvBe7Jww%X4g}S#5Vu|9tNG&eW2(
zQJKcm>!zNHci5=-y&+{4TlI?74N2;oH#aLhxvZ=8>+8y>BY}}8S@%Vs)XDoQ;%vS9
z=#>`_!WMGBNb{6$wRhQcvf=r<Rc8+5xf${Koazoe8PwQ&(<*k#4aT~Xg<CDZU;DfM
z&iWb_pMog2#+TW9H8r<;Fe`IcMjYIuS7<5KJ=ePWX8GF{M`E`6_I#Riu)k9!HS0v;
zr;c;`=RKUrXSyv}TmAa}F6(QPKXNZT@wjmb+bKJ{M5jC7Z_n5znZmI%b8d3k)!$iR
zrS?nj^c@Xa<mq#}i%;?5zCE_KM*k*1`7NzBb8gjVix1y@BW?&tXtVqD<i(ynJ9p(2
zQ=i~dDU&X7I{O^&cr=qOP4$h@p|wvwuYUHPZ)UZz*DcP?ZTlKdf822C)LJ3ONdk-4
zE3YZ^o_*55^L*FOhzp{5>gV@nyQN9n7S(*6={e`nHw}wr)16K+Eju>bQfJ|NKXWJD
z=Q7cWM^_ou9p5)MkFnxiQNp9H1$#NWBg^}qnZ6Hy{@Jc$y<t$t^J#)#OPJo=2<Hk|
z8JDH}bVlu;7UAP_lU6V~+h6rx8{rVYS#8nlZ3+4r!B;kX@SFGQ*jfiG0rphUle;FR
zrlsv+G!znx|4`;v$a?jE+XOR%9StsR(PAFVTaEAgdkMQv65lNJvAJo<-<T%DZ95HK
z{9Jd%n^}0y@?&M$3{U<)@Q&8{H=Fb7`u)|+cNrF%@&vJ5e^R6J@IpUp;|$Sd(ottw
z)P-c-D>}M(<iGZuUpn&!f9!Tf4PCL>WnRoZJaZOiZF;!2Bf{>zkhzCPxAU|^`{U|c
zR;Pv6%-(Be)2PNK&$mLqNi|x8MXltc>dD(apY9pX`?BM!Xrx6~#}VdhOHG(UbAm)#
zUzCW7-?+@Z=~k<oDcjaa{hUAg;h&HH(R{t+hhkD@^@POLp8ngW{pZi&Yvonq_KSYH
z*}Qw(+0~61m5D#+w<oVSIZb<O+`=}Gi1V3=1qTk_dYo|NY0`h!)XZR|1YHYd?E~j!
zrf<KnKfi`^tNfbZ%DP96e&sze@#1ne-A_8dLhof&wQZibb@i%WeNWzh{(E8H$L}X!
z9Q%2M?FXlO*1==UFWogNUKsst;d5SpGbdn;rpG^>&xe{FvKBABAu-ML*7I|B4BtGf
zet7!o&2BYy|E_gD$95|eJFna*cze?Gc`puCGrZJ%_PMqq$}-@mL?>Si>+vt1xArhC
zDqMS)YxbN+eJ&hN)`uJE*c{<Wy&w4N?xv!R$5M27G~WlU$#k3=`}g9tlkwU6?(OS1
z8(=ecZ}ODCif1cseMw#0DtzK)v7b=E>#IwBo>}=i#6K~4-DT}+#IbhA<}7<>agzd@
z;=O(8&95#AFP1uP`|_Pl?T7mxpG7C>Idy3Yo}DH9zwCm-&O>XbsokwQDR69E&<!=F
z1YWnY^$#pJuIqdn_9b}t@;`}EH_ODsz5dPQy;t(O$W8ar`Gq@p^&+<%_?$PZh3%Kv
zyMsXubMvceJ7?Kk=vCh2SAE&6qeo02VcNBO^VydBRCNU;nBV%uUpAjTd~ea813CNs
z!k(p1UR&VHb~ta!fomQor#9-En>~}=Hb>>z@5YRl+)&YvH}4<pTOz2o=<5B8{S7B9
zO&89)UsZGbMAYF}!7i(~uvFF~>k_ScmG!fv?z3A5c%5%dC^Ns@&3!tp*;n@b{0DRM
z<$t<&gjy&GW^B$%eY$+g{`kH<3wjDVw<uh>J|X>9q0%N{o1nI!NJY<=xgyrbrP7{d
z&vMV3_iFv6ezUUIH}&#M6+d2do~-nQ@$<&~Ey;Hpd49^bC4A7h?lx)O!SYFYANGg1
zRu%`>);#FSTDitbdhUgzN3P7&J<k6<viq3bj-BVl8p<T6{$Li|+AZ+PXrFPa_v;N=
zk!maNnM+^#x}oU&*OI!Gjiz3;uT?g4DKq~$`0RYs+6_rJBbQY^Ne<az;3fQT-n<J^
z^3O$fc0WXIX6|L!zp;mjfx%lDeG<AnCGBqH+q~NbJb(5t-}u$ht7`VKZ4G}j8+mVh
ze&2U)(n61oR|_Uz@ZgOws(j+9<hpYIG<o~|`}c19IJ+Y)^22E()9STSOHa+&t;TC~
zs%e|Y@!vX&)oNaEdg96cCB@_KcE8CCi4r-6TM`f4uU)I{65Rb*Ip_M+-_2|MXPF-S
zlDy{p4_6z@wmXW`zBoQ!z-0eOZ`(z2)7n$N)~&AZ{`>dZf3erqZU@AES06hd_B&pb
zRl259p8dxhUJU^cp{SOFRfhiJHj|~c9Q!8u(B@!(`Kv!pKRGvXKNjOSEZ@%{oyJgp
zPLNT$@ZnF6FyUoyRrfs+{<6!kcf<VFFjjxIcYi{BayE2#ru3LTzhXT<OTqo2@JYr~
z_pZ-$<vHr(qIhVY6Z5&57j@T|J=a-bwL9H#QM2Brs)Dme8ic>iaL~w`U9tJZ$Ftd@
ztR|m}8>=q8nj`pHF(pIz6~meUxtnb}PZgaqwzinTxR_;+SjRfaUKUgL&#Rb(w?4gm
zxtec5k>0emJ!0S1R4T6e9DC)H*<*F7oUK>gw!WzIU-Y7A1xM21S2^Ez2Obw(`2Xzj
zO=kV`k5;>MrgB?4=(;G*3+y*C4v5U&ebAg=^U(Qw;n$aD8E^c&wsGc)GdE8CHNBC0
zp0Vir{u^@Yr;ZrS|M*hG=*Nz05=BMl-#xRbN?$s?I&`*W;HJ~hcXjS=sQq|$V&9_O
z2ew{JXwJ7|tJ%BKB272+h1i3_uokK4=f?Xa7Z!Xx8}QQ1(yCwYnPY=-{|<Xgt?;Pu
zdsCSYg-K7nKUdYU<u}6tjcVgdHx5~|KbiSsZRI27u<NmJ*%nu@tDRb}e78x-NB{Yq
zY0)x=gxXgmKA3Uq>1o-$k?c98D{2d7m;S7O`RQ7;?xdiOg@4#klWpGw2Fdpf3=Fx9
z3=9Iuy`y-@V!P1(gMNn%1YF+#>#}STnWV<f@`|(Y)!J18kHT{2`fgs8?Y_-fdY_zi
zXUmR=dowGo%R(RC<()jeSUzz7J{x<}*~<=2m)Q87$$GW-9?52BJ{B2^4ga5Q3t6@5
z!$og~_;!njuWns5$P=pOIp@A*4R2-FBONQxY0C@?9A@Oau~adzO8>;UQMOvZuk8z~
zdb#^^o3h_V<!^X{6L>_Flqdcd>-M#HIC-x`xm&^F>wB&&<-M}a*zmlFgPHdvPowM|
zA+Jww-6@m*srZh~liAYAu?LplcZ)tV@5Zmf)eTR0lIJXyIW_6V8l8vxD_gg9<?b$7
z5sw-;fyYf32Qx4*^f53n@F53|US?i$5%<Y6XU}-|mwW1X_LQI2@A`hmThHsP?^$in
zGli#5>g#*!8eK6qHwx15(>>*L=HwbLos(zIZ{Fmk<8|(Yj(4bmNw`6P0dtbVA-3MY
z3W-Y@6Sx@yycwB9m~pRvWnf@nU}Rum*wP4ML07|~YeHW?2~rBt^pz3oYD#ni(3cj1
z3;^LRjnd3W27neCqH9H8iUZOHv0IG;S!-%a8oE~W87+`j5Z=-#C5WsQJimpm8GU>Y
zq!D7Trv#E__-G%xe)ItynEt<wGo+C9qYm$&n}R+j0yAYx<7{apQxGF0=mwyVRe%ft
z;Vq3WGROv0<e}?CuYN$fAOWyi4OwS7X27Et0SK*0nn+rq1p>Oo=y?R8yIu=PH#o-x
zc(byB<aro)816GMF#OYEV9;Z5H8BV=^T^3|4+t%aEGuv{b4m=1bPhKTNy!N|N-@$7
S^9?U4N^;Nk@+@>Vumu2BS*mOR

literal 0
HcmV?d00001

diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.v b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.v
new file mode 100755
index 0000000..59f0cff
--- /dev/null
+++ b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.v
@@ -0,0 +1,258 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+// Date        : Mon Feb 27 10:46:52 2023
+// Host        : LikeUE06 running 64-bit Linux Mint 20.3
+// Command     : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.v
+// Design      : clk_wiz_0
+// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
+//               or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device      : xc7a100tcsg324-1
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* NotValidForBitStream *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
+   (clk_out1,
+    resetn,
+    clk_in1);
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+
+  (* IBUF_LOW_PWR *) wire clk_in1;
+  wire clk_out1;
+  wire resetn;
+
+  decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz inst
+       (.clk_in1(clk_in1),
+        .clk_out1(clk_out1),
+        .resetn(resetn));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz
+   (clk_out1,
+    resetn,
+    clk_in1);
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+
+  wire clk_in1;
+  wire clk_in1_clk_wiz_0;
+  wire clk_out1;
+  wire clk_out1_clk_wiz_0;
+  wire clkfbout_buf_clk_wiz_0;
+  wire clkfbout_clk_wiz_0;
+  wire reset_high;
+  wire resetn;
+  wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_LOCKED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED;
+  wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED;
+
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkf_buf
+       (.I(clkfbout_clk_wiz_0),
+        .O(clkfbout_buf_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  (* CAPACITANCE = "DONT_CARE" *) 
+  (* IBUF_DELAY_VALUE = "0" *) 
+  (* IFD_DELAY_VALUE = "AUTO" *) 
+  IBUF #(
+    .CCIO_EN("TRUE"),
+    .IOSTANDARD("DEFAULT")) 
+    clkin1_ibufg
+       (.I(clk_in1),
+        .O(clk_in1_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkout1_buf
+       (.I(clk_out1_clk_wiz_0),
+        .O(clk_out1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  MMCME2_ADV #(
+    .BANDWIDTH("OPTIMIZED"),
+    .CLKFBOUT_MULT_F(9.125000),
+    .CLKFBOUT_PHASE(0.000000),
+    .CLKFBOUT_USE_FINE_PS("FALSE"),
+    .CLKIN1_PERIOD(10.000000),
+    .CLKIN2_PERIOD(0.000000),
+    .CLKOUT0_DIVIDE_F(36.500000),
+    .CLKOUT0_DUTY_CYCLE(0.500000),
+    .CLKOUT0_PHASE(0.000000),
+    .CLKOUT0_USE_FINE_PS("FALSE"),
+    .CLKOUT1_DIVIDE(1),
+    .CLKOUT1_DUTY_CYCLE(0.500000),
+    .CLKOUT1_PHASE(0.000000),
+    .CLKOUT1_USE_FINE_PS("FALSE"),
+    .CLKOUT2_DIVIDE(1),
+    .CLKOUT2_DUTY_CYCLE(0.500000),
+    .CLKOUT2_PHASE(0.000000),
+    .CLKOUT2_USE_FINE_PS("FALSE"),
+    .CLKOUT3_DIVIDE(1),
+    .CLKOUT3_DUTY_CYCLE(0.500000),
+    .CLKOUT3_PHASE(0.000000),
+    .CLKOUT3_USE_FINE_PS("FALSE"),
+    .CLKOUT4_CASCADE("FALSE"),
+    .CLKOUT4_DIVIDE(1),
+    .CLKOUT4_DUTY_CYCLE(0.500000),
+    .CLKOUT4_PHASE(0.000000),
+    .CLKOUT4_USE_FINE_PS("FALSE"),
+    .CLKOUT5_DIVIDE(1),
+    .CLKOUT5_DUTY_CYCLE(0.500000),
+    .CLKOUT5_PHASE(0.000000),
+    .CLKOUT5_USE_FINE_PS("FALSE"),
+    .CLKOUT6_DIVIDE(1),
+    .CLKOUT6_DUTY_CYCLE(0.500000),
+    .CLKOUT6_PHASE(0.000000),
+    .CLKOUT6_USE_FINE_PS("FALSE"),
+    .COMPENSATION("ZHOLD"),
+    .DIVCLK_DIVIDE(1),
+    .IS_CLKINSEL_INVERTED(1'b0),
+    .IS_PSEN_INVERTED(1'b0),
+    .IS_PSINCDEC_INVERTED(1'b0),
+    .IS_PWRDWN_INVERTED(1'b0),
+    .IS_RST_INVERTED(1'b0),
+    .REF_JITTER1(0.010000),
+    .REF_JITTER2(0.010000),
+    .SS_EN("FALSE"),
+    .SS_MODE("CENTER_HIGH"),
+    .SS_MOD_PERIOD(10000),
+    .STARTUP_WAIT("FALSE")) 
+    mmcm_adv_inst
+       (.CLKFBIN(clkfbout_buf_clk_wiz_0),
+        .CLKFBOUT(clkfbout_clk_wiz_0),
+        .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED),
+        .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED),
+        .CLKIN1(clk_in1_clk_wiz_0),
+        .CLKIN2(1'b0),
+        .CLKINSEL(1'b1),
+        .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED),
+        .CLKOUT0(clk_out1_clk_wiz_0),
+        .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED),
+        .CLKOUT1(NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED),
+        .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED),
+        .CLKOUT2(NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED),
+        .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED),
+        .CLKOUT3(NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED),
+        .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED),
+        .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED),
+        .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED),
+        .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED),
+        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DCLK(1'b0),
+        .DEN(1'b0),
+        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]),
+        .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED),
+        .DWE(1'b0),
+        .LOCKED(NLW_mmcm_adv_inst_LOCKED_UNCONNECTED),
+        .PSCLK(1'b0),
+        .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED),
+        .PSEN(1'b0),
+        .PSINCDEC(1'b0),
+        .PWRDWN(1'b0),
+        .RST(reset_high));
+  LUT1 #(
+    .INIT(2'h1)) 
+    mmcm_adv_inst_i_1
+       (.I0(resetn),
+        .O(reset_high));
+endmodule
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.vhdl b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.vhdl
new file mode 100755
index 0000000..f25af00
--- /dev/null
+++ b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_sim_netlist.vhdl
@@ -0,0 +1,196 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+-- Date        : Mon Feb 27 10:46:52 2023
+-- Host        : LikeUE06 running 64-bit Linux Mint 20.3
+-- Command     : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
+--               synthesized. This netlist cannot be used for SDF annotated simulation.
+-- Device      : xc7a100tcsg324-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz is
+  port (
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz is
+  signal clk_in1_clk_wiz_0 : STD_LOGIC;
+  signal clk_out1_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_buf_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_clk_wiz_0 : STD_LOGIC;
+  signal reset_high : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
+  attribute BOX_TYPE : string;
+  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
+  attribute CAPACITANCE : string;
+  attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
+  attribute IBUF_DELAY_VALUE : string;
+  attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
+  attribute IFD_DELAY_VALUE : string;
+  attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
+  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
+begin
+clkf_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clkfbout_clk_wiz_0,
+      O => clkfbout_buf_clk_wiz_0
+    );
+clkin1_ibufg: unisim.vcomponents.IBUF
+    generic map(
+      CCIO_EN => "TRUE",
+      IOSTANDARD => "DEFAULT"
+    )
+        port map (
+      I => clk_in1,
+      O => clk_in1_clk_wiz_0
+    );
+clkout1_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clk_out1_clk_wiz_0,
+      O => clk_out1
+    );
+mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
+    generic map(
+      BANDWIDTH => "OPTIMIZED",
+      CLKFBOUT_MULT_F => 9.125000,
+      CLKFBOUT_PHASE => 0.000000,
+      CLKFBOUT_USE_FINE_PS => false,
+      CLKIN1_PERIOD => 10.000000,
+      CLKIN2_PERIOD => 0.000000,
+      CLKOUT0_DIVIDE_F => 36.500000,
+      CLKOUT0_DUTY_CYCLE => 0.500000,
+      CLKOUT0_PHASE => 0.000000,
+      CLKOUT0_USE_FINE_PS => false,
+      CLKOUT1_DIVIDE => 1,
+      CLKOUT1_DUTY_CYCLE => 0.500000,
+      CLKOUT1_PHASE => 0.000000,
+      CLKOUT1_USE_FINE_PS => false,
+      CLKOUT2_DIVIDE => 1,
+      CLKOUT2_DUTY_CYCLE => 0.500000,
+      CLKOUT2_PHASE => 0.000000,
+      CLKOUT2_USE_FINE_PS => false,
+      CLKOUT3_DIVIDE => 1,
+      CLKOUT3_DUTY_CYCLE => 0.500000,
+      CLKOUT3_PHASE => 0.000000,
+      CLKOUT3_USE_FINE_PS => false,
+      CLKOUT4_CASCADE => false,
+      CLKOUT4_DIVIDE => 1,
+      CLKOUT4_DUTY_CYCLE => 0.500000,
+      CLKOUT4_PHASE => 0.000000,
+      CLKOUT4_USE_FINE_PS => false,
+      CLKOUT5_DIVIDE => 1,
+      CLKOUT5_DUTY_CYCLE => 0.500000,
+      CLKOUT5_PHASE => 0.000000,
+      CLKOUT5_USE_FINE_PS => false,
+      CLKOUT6_DIVIDE => 1,
+      CLKOUT6_DUTY_CYCLE => 0.500000,
+      CLKOUT6_PHASE => 0.000000,
+      CLKOUT6_USE_FINE_PS => false,
+      COMPENSATION => "ZHOLD",
+      DIVCLK_DIVIDE => 1,
+      IS_CLKINSEL_INVERTED => '0',
+      IS_PSEN_INVERTED => '0',
+      IS_PSINCDEC_INVERTED => '0',
+      IS_PWRDWN_INVERTED => '0',
+      IS_RST_INVERTED => '0',
+      REF_JITTER1 => 0.010000,
+      REF_JITTER2 => 0.010000,
+      SS_EN => "FALSE",
+      SS_MODE => "CENTER_HIGH",
+      SS_MOD_PERIOD => 10000,
+      STARTUP_WAIT => false
+    )
+        port map (
+      CLKFBIN => clkfbout_buf_clk_wiz_0,
+      CLKFBOUT => clkfbout_clk_wiz_0,
+      CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
+      CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
+      CLKIN1 => clk_in1_clk_wiz_0,
+      CLKIN2 => '0',
+      CLKINSEL => '1',
+      CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
+      CLKOUT0 => clk_out1_clk_wiz_0,
+      CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
+      CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
+      CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
+      CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
+      CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
+      CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
+      CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
+      CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
+      CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
+      CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
+      DADDR(6 downto 0) => B"0000000",
+      DCLK => '0',
+      DEN => '0',
+      DI(15 downto 0) => B"0000000000000000",
+      DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
+      DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
+      DWE => '0',
+      LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED,
+      PSCLK => '0',
+      PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
+      PSEN => '0',
+      PSINCDEC => '0',
+      PWRDWN => '0',
+      RST => reset_high
+    );
+mmcm_adv_inst_i_1: unisim.vcomponents.LUT1
+    generic map(
+      INIT => X"1"
+    )
+        port map (
+      I0 => resetn,
+      O => reset_high
+    );
+end STRUCTURE;
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  port (
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute NotValidForBitStream : boolean;
+  attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+begin
+inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz
+     port map (
+      clk_in1 => clk_in1,
+      clk_out1 => clk_out1,
+      resetn => resetn
+    );
+end STRUCTURE;
diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.v b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.v
new file mode 100755
index 0000000..74698fd
--- /dev/null
+++ b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.v
@@ -0,0 +1,21 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+// Date        : Mon Feb 27 10:46:51 2023
+// Host        : LikeUE06 running 64-bit Linux Mint 20.3
+// Command     : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.v
+// Design      : clk_wiz_0
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7a100tcsg324-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, resetn, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="clk_out1,resetn,clk_in1" */;
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+endmodule
diff --git a/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.vhdl b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.vhdl
new file mode 100755
index 0000000..0354892
--- /dev/null
+++ b/game.cache/ip/2022.2/1/8/186611fea06d2870/clk_wiz_0_stub.vhdl
@@ -0,0 +1,30 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+-- Date        : Mon Feb 27 10:46:51 2023
+-- Host        : LikeUE06 running 64-bit Linux Mint 20.3
+-- Command     : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7a100tcsg324-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
+
+architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,resetn,clk_in1";
+begin
+end;
diff --git a/game.cache/sim/ssm.db b/game.cache/sim/ssm.db
new file mode 100644
index 0000000..f642a51
--- /dev/null
+++ b/game.cache/sim/ssm.db
@@ -0,0 +1,12 @@
+################################################################################
+#                            DONOT REMOVE THIS FILE
+# Unified simulation database file for selected simulation model for IP
+#
+# File: ssm.db (Mon Feb 27 12:45:36 2023)
+#
+# This file is generated by the unified simulation automation and contains the
+# selected simulation model information for the IP/BD instances.
+#                            DONOT REMOVE THIS FILE
+################################################################################
+clk_wiz_0,rtl
+clk_wiz_1,rtl
diff --git a/game.cache/wt/project.wpc b/game.cache/wt/project.wpc
new file mode 100644
index 0000000..30d3330
--- /dev/null
+++ b/game.cache/wt/project.wpc
@@ -0,0 +1,3 @@
+version:1
+6d6f64655f636f756e7465727c4755494d6f6465:4
+eof:
diff --git a/game.cache/wt/synthesis.wdf b/game.cache/wt/synthesis.wdf
new file mode 100644
index 0000000..689475f
--- /dev/null
+++ b/game.cache/wt/synthesis.wdf
@@ -0,0 +1,47 @@
+version:1
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:546f704d6f64756c65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323873:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323230342e3231394d42:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3837392e3731354d42:00:00
+eof:3570996785
diff --git a/game.cache/wt/synthesis_details.wdf b/game.cache/wt/synthesis_details.wdf
new file mode 100644
index 0000000..78f8d66
--- /dev/null
+++ b/game.cache/wt/synthesis_details.wdf
@@ -0,0 +1,3 @@
+version:1
+73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
+eof:2511430288
diff --git a/game.cache/wt/webtalk_pa.xml b/game.cache/wt/webtalk_pa.xml
new file mode 100644
index 0000000..f02c8dd
--- /dev/null
+++ b/game.cache/wt/webtalk_pa.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Tue Feb 28 17:00:53 2023">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="62c9dceeeae7423dacdbf7c86449ea63" type="ProjectID"/>
+<property name="ProjectIteration" value="56" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="RTL" type="DesignMode"/>
+<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
+<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/game.cache/wt/xsim.wdf b/game.cache/wt/xsim.wdf
new file mode 100644
index 0000000..50afb2c
--- /dev/null
+++ b/game.cache/wt/xsim.wdf
@@ -0,0 +1,4 @@
+version:1
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00
+7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00
+eof:241934075
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..08b3e248e4bbd9eb3a5701ea43e6671ccdbfd720
GIT binary patch
literal 11896
zcmWIWW@Zs#U|`^2xD^^5rl(f@{|F-k!%P+i26hGphLq$2y^7qN-YMS2vkgRAuYX;C
zVMCU<qC<yldQLjeAw$U?8ylhWr3V$VOeGAREVk^oD_djdX`S=l^7-yv?UHKcZ}%~<
zAId&;YUQHUvx>~6ecs4=&ewMHW|XVXN@iV?aq>sV#VI!*96r(&%b#Q)7wO0FC&ho+
zsjCG``twVkep;fRbpCL@+R8Tn16dgp88eyJuyFe^da+*cT`+U|^~blfh1Vpo@SHU`
zH>0#6A;*2vM-P6_V+S5CS<Uvt!bE>tNX?{cE!;1&F9$Blp5^gcKfJ9{alXh#uUDBL
zd*WY|ubIp0@j4}XLZ$MI?lo%X`@_AL_bhT-a3^i4!rOk?phE2<mlkNg_w=k#^7yuV
zPs?j1LCeS0meaM(Ur&7=b<pOA&hz7p+ZO7cUd+mPar>*1O~O0mb{$U?i9U7z{PC?B
z+g?5Vkr^R&ar@T87f;B%Zqxhyd+wH&d-aC9*Sv7Q<siM=Zren8%h@Zs7pwZ6k6oEN
z?OSr&>7Tb=F1S)PJ!Fae!NzxsxD_Jr>F@iv`{A}X(@SfuUo6X<`Kj(F!@1ps^Hl#{
z;#d6iv6`dCWkr1Uwa;DZKm6+TYkzak5jg7jq9vA1-88$x>s9?EwdwootTrEfAc`8%
zH!lBM@s)vrVKyTJgD?XFLvl`be0gS7yg__%NokT^Sw>1uY@eg|5d(qN_di98LpG#F
zr(8Un8QCqub?Vi@XpdVrWX)7mXTG==pMUG{t*Tj)`^?|()oE^)cI*G!xuBxvM%vS5
zIuDt7Z=IICvh8eQK97vLW5Z&;TlFvX(%Gg?uWQ-0O6y$Gh0oLW@3rrI_H?IR$D$ke
zp8sC<uqV^oLwU<HoqZqOa~PPJD@x}*V7tU-Tr`pEhNDzL=|khMnp1O<GX5`qDfsrw
z_7u*$=XTzW&)Bsz-````(if&IU0ipb@0zOeX@!!iP1M4kobygQy*GuQlhoCX_gELf
zvq_lQkJq_rTl}hPZkh#r_YSV&VN;MdP51hqvHa1Tzf7p1l+PUV-i4Kc;jRD!121wY
z=@q3UE)Bn(ciT*2UNe*HjV*_F<lW`TxzKR;)}|-HF(t2Sd@fsTOFy35o+yyfxpC(U
zlSxH)Y)_YMJ{|kU_M1fY<iC^NxcTO21nSEetNr}=yY8QQS%-?-`Nzk0Tz+%&-qT5+
zvNpDSTl!qvEH=pc|9A6@`&;yTyua-ZJZ0}V|Le@^iwm31#x713{+#q|?TQosr@Qwb
zi4NDRI<t)VQ9$GG41ev1^IrW7sy&?ZY~7Xc98VqptKPffu7q;)g-_=`9mZ;Y^*}10
z;m+mTj-3roF0!$BRo;6!t=dNCkW>v%hE!g<v-Rs9p@8KKOCK+`cHY{4`Cw#u&X@MH
zeoXIJ9-P`STk_qSTHZC27}xw>d{J&*->k<=&bBdx9X(#o_FDFPn_K#=H`{+7{^rT_
zf%Vp9?;TUwJS;cw{rP*wS-*6n+}sTxycdKWo@=t??G-h9-brT6I<elzZynS6wpIK6
zf!GJ3$IoBhkoTeKucz08q+hx*Ip#~h-?0yh_{Y%7`M+O8E-ldF?R-@czJ?&y{T|IX
z-7=$PTtD9R=zpJE@gU0c^JBSN+S5PqM=qG8beLsX_+{Z6W^-n5lwCA+Ysr?DyR5}6
zr&g-e_@%n|TGuR=b$iCY^XjFG+X{Ej)G}~mZ$7Zo>u&YbsuzczM(-%Fy6@>y!P4^j
zN2=5vvqQHp3)b}&N!9I=4wy0Lr;x-+3G1|sJzPiKr$jB-&@=z7TgNuT_}HI*KMZ|N
zhdA$jT^OiyxMr>VvgfboZkn``r@p_)D(}s)TT3%aineIVJ>5{DW7EFMPQ7X7D;YhL
zU%_*N!$so`_-gU!PWifSO?+?9SB*ZUy%P<)CSCl&^Yqk?ebQ;|8kdV}Zq&AX(^9>2
zN3oNAoyUZJDS->iOr@T7OkARUa?c5~+zq~>_1l?Wm~HEfT~{PNJ$c=dJF43fgKmoz
zPFvj)d!|fmWzmeocXmE>Z<112(RO<G$(Zl=w2!Y}6eBvl?EN0S#inoPu08o$-m7lg
z%V(#)o(XV@YFl<y>-9X9aPRff=BI9^O)&6Yv3}0XDd%N(io8C}`BgTpO=!{%v4>$S
zr7cBYzf61GwlCYYP<Q>LnU|yDYHAa8vmL&kWP8fSm%@9wA-m^7l}0&-;ylO1MT=v&
z*QAMY*h{n+?<`yuZK7<h5u2}meTmcmGk#ptHVA0dHw0`+Q0f$U7`!HX^33C%OTt37
zJ(M;7S2(G@g)i;l;Wba!_T4Sk|9{-JM1VQ^;%44ef1TC(VkZZ;@0xVOBK#2hi+>gc
zif)-d?yJ9ekaJLTV~Y?+R^o{&xn1m~u@5Q}=YC>*J7;G|qrT9MPcJXekM);2v|P<P
z{mL&_&6a+Tr*kcqJ`mOuO-;Sl8K&hKeCBl3(gm8VWv)jHY`V4X{oL7P@5*n{ThXs!
z{&8>6Mv;p-zYn%Od#t_m<E$p{m_Gt<qkDpbH>n<#fBOH9bN}Q|yzO2e>=<9aJAXqa
zkLTCirnb*7J~nuLoOSZ3W^~|c_Za;NA7ySVHkNcPR-f|g;m!Mt*2}8ND4k{9I%}FK
zXPWc;L#Y*iOgBGKy2sHgx@VRDNiDbTy5B;4Tys{QemRG81^*SPN*O+;fCmXej_mW^
zux_*cV6`!KajyL0T8ner)bI3d-0u)^z(U1zS<|U~=ILoBpGB*b#5P>n%~JZXCb;QI
zq(Y_%uUm}4DgSC`3*-O!e6{CR@{5?UDFtl2$EEhc-m>I=iOz@muK%ZU<ClokecqwS
zbX@Vz+Mo?H6pUvdTBT~dve0t}cVxWgA1#~kozVg!il43}hF>t<8>ao`ChOcXZ_}$r
ze^V|W(hcI_KVk2}ufW7(z#ifIhaa`L-Qo5)`XmPfL%lJ2ahsZwb~QS?c+m-|fAium
zK5Z9Xd&-j`|Kycjp=<_;?gyEC)U3B&ZM~&s%_Wwkx4ZP;@0WQ~x|_@<SeBNpa<l$m
zmv8^Rb>E)eP?N3c37h^f-hZ=Sp`6iSYD}f;y<=sv3JiP&TmN3Qt8zVk_rCpw<FjA;
zhF`PF(J$YgEq=8(|L5(qtSk0aZhNoXu256m%5y(zZQ}vX(gU0h8O-yTd&M%iLZ06$
zem-w2(^U1}S7+@K4Y>PxMM6h`Zb(*0-lKJ4Cq6npt;^Q0ZFnF5%|<#ySpEa=0n>dZ
z@AsKVCn(HZqWy5wq&BJghST2-^ZZW!eZ}?jn)M6K+L_EV_N7}F2kea8p<S~1+nQe6
zoin3%_}Z;|eq!g%9ntwsW)t>ryl%em|DtPOwH2j<PuF)v?Z{?*<@mN~*0t@&=RK`$
zIC`Zm`+VHZeSf<){61Ltz(e6e%EKMr<^LF0ohvhSo7a-It=aL$<SU17L}u({;a?@P
z<xjsU6aOZy*Ig1C2N!O<C|xjN{}%JQ58_jUQa7)cc;?4-`etAMo|&eO3H6-px4zbV
zU|1D=+gbS5S~H_|W#bof{@gZw5#Dogvc^9bx5G?5@7(vc&-d`OcFj~@d?Zz5YU|df
z%>SPw_}Lygh$Vzq{p!4P^xyS+w$IKa9E$rgFZ7zh)`tPpZX6Lcoo=!3@J4T=pkw6~
zWu9eAnRct}zGMX=*fyt3j@)!qA#$tD`9sWG+GT9a89bRqJ%67w-(OH4lGTuZRieaW
z*Ox;I*RCH~?-OZeqT)MMk|lGKc2>-%2b}#ZqNWoQ)t?A?7CW7r@cX$c|KHnFRb+S1
zsHx3o^~+PQnX}IAkgbu&NuGTcDN7QpyuyxL4)r+S`C<t-FR$7;=jPTg7Xm^8C%Lkn
zOpmQfyRbsKbK&&dv=^5PUoAh&>GL@<=}YS3wJYXZPHt`a*5fCTwCT+R)1UI|Oh0!0
zXWtv2)>^q`o$cd3kxI7XGHsI+?yjA0w%PTc;-PuF-RAvg-1qfr{)Y7{j8wFLI((L?
ztY9v_E%GiYt~bijU+$K|eapVX>gCQno90d_{IdC1=NzlTjT;`b&+dLO>FtBJ^P2Da
zeCJk?lbhu_)0@dl@51iW3e_j>cOOYx8s@E%zGCvaAI7DZcJC}rDnI+6!rFSFMZ~rF
zm*%{?RsB}lB)+X^%T4K5ryLBYs$1UJvn*lLp^JGRx1TMOnVQPmXS-#i<7Z(pR_}lR
zdtH;tZ-|O+UfpFV5obLk;LR)bghh)Sjb6V|TxNG_ni`v<=ezRw2f^FzyS^StO*UcP
zJZaZ1k;kgvcP-vGv-hRv%ey7+%cgan;>y~4Zu@N?M^)u3_KM!`^KLWMUt4fBYg5y;
zFQSvQ)qT3&f8>&AYyQ2)<5_abOf!>>nfp%%ElYLX;~2i9;#>ps9IFlDj_=o1E<9Oy
zQ&U2zy+2lY-j?e!f6L`8j68S>6x!HU^u?BU>ew7Tv*syVs+C$q#$m;Ifm<Wqeb(9g
zXzF*t39Riqivoh?iFo!^uei5MjW6k7-x+a@eH|)y7xhUVWWD2E$hx<C<DYXkUflGs
z5nI{tNbD1<?i23_jVD_JG?EtvTyR?0()x9e*tOFU5&9X4ds|w0ZP=xgrq7rmJpHn9
zuhG0rkuN@rTheD$>gG(_xFPbwp)^kJ=t|w3sT((hUN{tH$i4MoKv?g(l^GjFpS<sn
zvaf!2{N91sP1df43wEqFaNJWqy>#-Gv)^hzPJf(p*<eaY2-m?TA#XvAwL3)^UbW2>
zUwYd1$Lyq8o8+ut9uj=+?`Gq~X&KNq@rK%$UA4;9E8AMxr8wWm#Y_pkBVQ9DCwIQ#
zP^$k7$(As+V{cwP%ix&5yX)kfZIP#6rLER9c~o84mTJ01u|6qNR^;R-{Z*n<Z*2ZP
zuVCi`<Ga&ReWmlO^JYh0OIVh8^K*Q`qoiO94z2tDf2d~sk6PLIYW}*n3o_S4NBvSr
zyWk$wF~@10>Yqso(uY=QyJ!XPzND|Yep=84oz)8h!yNanVOqF`Y2O+qz5PuKnC6SS
zwDr%KF<~Xs52J%&UQ4@zwEU+8c`llAN?>V7m4<7P^1X7)?H`~1IX1l{#`65fr!nQ>
zT(!|$zULlvE#~HV^@T%M{h4BCMZnUMop(=0`@KEr7?XG5UyPo9LAlRyw(hOTXSZ<9
z?e~3QbGpUPzwl;+%ah3+>VYY#((@LiYz~@q>T&A#5;N<$KPBGqZ&N7m;{GZy|F*r<
zV$Spow)FIyZ~AuMyuRsj=G)R|OAfrz>fI+b`EvO2hkmAyOwQkwXy#~}nf>9Oi{HBA
ze9NCl@9f+(`N|3IAj?R(Y7=qqs%c!}-)aiG?lx)*Z8`BzW3E)~O9kQS-3yDmWGg)t
zHqXwfYJ60zlO=A*SWp){&F0<G_%DwiWX${O@$dfwjelm(_N@^8@}<-L<MLgKY_-<{
zYqIPo2K&n%o$MCE#gROv=B(1=ur*E&ai31C;Z7-B&;9mV?jkRt>`mvkE%f+PXjvt}
zfAsP9O>*p2d3UbQ^DNZ~TlR5p?k2CvZolrF5kI-%@;SrLbLA7h3FX|KE*<XTJ6%y$
zDC452R+fMFE0@Hi*tgN^b?2no^xN-Py<h!Z)>|u!TE$4!GNqSq*$#d^bZGvyOY^Ls
zO#RE($-8&sCfOH<>`&%%?cvj1!#aI!xlM&!?7TV)>(JS)wx5&BbJ|OGRBrxz@=&cU
z(?j*^rD@-4$|dzvj(eYZe)nBLxN*y}<m{%4Uqb)-`S1GAb#gUB_`{Wj?J`O6mbXPa
zbt~6d*%UHGW+$G%`EHBwoZW2Vt<Bw67~e^MdT^z-IO$Br7NvNdyC?ZgY|T!UUw{3f
z>cq63)3SRsD_)!qSE_t0%qLe;_2zEi?r`nXH>12gVjkWM7HRlDdH0#=GFxAW|6CF4
zV!!XgMILok$wfZmGq_n?jBaKaf9OyzYhl;@r54%xET*)}%z|~1Vb?2Lxm`hRYaEPJ
zY+hu4@Vv%5Y1ylc0{zz;o7Dbha_+euU7heO;Cfy2Bq3&*zcr5~c5eF+BEWP{J-7S0
zMg69k*ISS6FMk^R>2~jbv@YhqT25&ub_NCwUIqq!lrCm*Mq)u~Zg})`X?MYS)5^9k
zz37p3^^I%xBLzK1=FZKNu4hXYoX9YV;`EkEO-p$%bwXi%>iQF#3ir(J-YIqH=mdts
zhK9z&51)Ks;(yW@eXjAzhX>||l7Dd8918A}U%0E<ZTbY;bknc3p|P={v3D<jb_u)u
z@3WQN7SmhOZ|#F$@y*x!xx0Dw;h@?Aiyamg68GXa$?vcFd+WuicZn-Eg~jPdR#&TX
z@_SuxV?Uhj&#NzMKkJIgTf1M^<z_6O|0&b?#H_L^d!aSSk*X$<T<`w<-nx5c^@IH%
z<qy}~<yy1h-PUuKcQ-uCNpn2BCB5{}+`9)e<}*7l)_?dQA-y1TMk~v**LD6YKTkN~
zb9!y7?)G<E*1nZFvruniOXZCIEBhW_m9^*BJhQ`VnWCw%n1TJVSD8!+c9Ya&s*=QQ
zeJ`B!^Oe4>m}0Pe*}MR@z>Sf&@8;ZOJ&|O#ZBh^C&d&8G?49I_H&5ZL(TZ8>tT7={
zCdx%I@KRw;D1-23!KE{lH*}od_Vjkx-IeZ7rB+W{7E<`)Q{o{b)?1r1T-M%S@q4yo
z@#zLpmXo<`EL|L5cw&_-)+`dtoYnt@wUDLPW11Lih4@sZu6Z`w?&#cU&fJ(HB>F((
z<zfMq!w=&=Svv2L?#=9$nGztsJpT0IY8QT&$Q@f{_DsJL{Gm4R_z6Ld_u{8Rx%Ex%
zmc>SiKD3JoxfQn9aH+vV=E;5wS6a_|`{ZHss>ez_1vz&F1yc{TFOte*6Q9$S%^r5U
zg+IBDDK&S~2f;wj#2cUebr1bLp<Z}RJ1=+lb|(G>mX|%d;@ci~7$56pySVy;g5KV{
zF<D^=yk|Yr63^&6N^)=BQxdQE-I&iwi}w)csjc3br`~N~UcZ;;bw}x?vzIC@G*@5Y
zoT|q!E%aOPSwWYW<+a^^8=OOhPBnCx%sE!6^s86&hsG=?jzfJ<+55zQMzr-SYTe6^
zem<u|@4)euix)kM74D5}Fcx06W=6Y#_xS*x>1C`xj_3rNJxtt~boN4C)ZKNL`7-DF
zY*71c%q6nW>|W2~l$@D95!tMDyHl-YUS%9{FnOwEapJg(i4Hq&)}65Lb9j_A4m`_I
z+H!LC=1zgjLW%kQ>Yq+Vwh38k3*DUOmm+#=hsoLAwU#9pGOnrL)@F~r@;7(?<FcbC
zWOl4DuU+lu#aYdCZLNfzkn_dsY86SZ{9ah{?ye1+HRHkgf>$z}M>aa%y|6u{hV9GC
z6M}zz3ndHu7zI=0&IPNxUJT;=w4qgU(jyk54ZYmD=67D1zDzA-EM0Hd`8AlyFX?Xy
zk1LN=4O{RN54ouRb7A`e8w$34I#LsMWv5~6&x1P7Q}<i^{#Lx}tI3-OHM`|aq%wD?
zEX`{_EWoSTTK8aUj@K)rw4BqQpD=CRmARwobM(6O{N;(_&#nKibu;BvR-Nm0`1zEQ
zDn_}(OWtoa-q6lnwp+i9yZyZP^GBl5*}KCwF#MjeM0^cnzp_B|y&GD;*<xgwSN#(<
zTruHU6wftInIj9l9t1QAi@tnt(olij|EPmSg%#VOgT{s{ep$sFpTZ)`yiefcliLmY
zUK=**$<}A`ocK6BIbh+#_=$(juUSj}(9+Y`IICIOC(hv1RIT3g2d^(vSo`a`=$bhq
zmCqmC)bzNOuTil{XVR%d=8|Whui6;-W17>YX@|E<rd?rs7qQJ<f7@)IFDsq8KgjO-
zwIVDa*Efl6-)V!TX2OSxb1nt@7V5}0K9`((|Kh#RFFXnkE$}>lHcfEf@fRXJ_g!<Z
z+iBl>m6t2I@BD@Z%VsWm-zskqqxxCw?4SROFMVJ-Y}wzzbY~HFL~jt^;<k$qUE4mJ
z?vgF>{h@ex&eQzz-19d>%r}|*>1g}*X4>Rt`PE4mvqJuUUj0Gnu<Lg5mbH3kB`)|}
zDSNu%;)4&uT2rIGesa6JTJNC0WYOxUEqlvuaIRfD&0jRG>9+8-{zyLi#Rs2g_T=e!
ze<)VFH)S)c(F1WF@n3g0$9}Id4@}`)aQemC>Hn+Doi7#sxIKG&oacxA#`hlR8|Hm-
zubjO8vvtq@Q$M8svp<&e|9Ib^Zsu-RT~_n_y?ShFj3(<uw@wn{=44nlqjK)Mqdxz*
zW*Vxx`!JbsDY54N`(`S6(B@9X)AID7g5TetM6^rS*L<7r`RQ=UkE^Tq|0!+SoTTJB
zPxxrcBZ<WmwSQTEXN=S<n%UFwFe0fpbZfh?Z|@J0JvHvjX8UOVf1J5A=$x_dL>p#S
z<;QGV2ln3!C{$1I3tF>4=E0$J_mUrG?2k!mo3+%!z0iP5g|$5Il7iqd`Fp44T|9j;
zz1{Z4&Dz`3-BRDm?l+kx6tZ*iBX1?v{5$_XM@LGYihuXd(<5Zkp^X0zJ~(nUJmYs4
zpTDQ3^}MKe8{fYd1rjm)t)85;jD5_Rbmi&Ml^;@~#IJV<t6Q9YJ#+6x*XkYH)pI3Z
z6es;I{AQ0jM4-O8Z-qP)1H&;E1_mMI>N374B~dS{I6tp<N^Jfu1Ch4(zqR`&s0FV4
znZu!7F1zKn%7UyDr<P=P`6L}Uym4l(#+FC>ub18WxY6)cx5mfcbH2;S%Rl|e^JSH4
z#ktm|^*Lu;J4GI@xz?1vI&$yX=h=>G!ArMqmlues?U{Rl-}#51M&fGjw-a_3_-d-K
zO_-3-c~nR|?`_iSX+?ey8Mz~$uC$$?s%4=QoBXsb;CftP3EzCN7O?_J^U`??d901Q
zW7K;O^hfos4pVN5Q)d<4^7@`5m-KbH^nz}80W-x9(SdieTH5yBN!?$N*?&dV_|My8
z{f;^k$~jDhPkK6<^b)&8zi<RwUAfDh`+1Yg8iR|6%Jj82s0dF}Y<m$U#Ca}X&uHg`
z84=CF0-bIm*;$@Nb8M9og)R3lS$BE%C!y-%MwOF$IWN6`_V88KlIfQtzj@3vvY(v2
zY+2-kox5(wT{ThXIb>0G@74FN8o4(v9ZWmb4#w5z%v|=){?5LfSH_>T@)sTWe)axA
zgMjtEax*4<xhJfbHSJc&2kDCQ!V)367k>1=^@!APEn=&!4N4B3yDsaIpBMMNvuAz0
znk`$NGEJYM>9To}xWtc&niJ(62X-oX=Q#6SO*tr{S-4llXP$JzcE)KEMv?Vb`Idg!
zVKPT<>ZQj)MfHEzzdb*tU!c|Oy7Q%@-aZT8-?3m$ui*0IJSzW>y`1-}-F@AvOQi;;
z^%K6|e78Zp_IGztOY6RrfZ)c!#C(%gZD-e<J-_)ZH)?X)nb7w0ECU0>21W)336$iN
znHQg&pI4GvQKDColDzc%MXn|T5w-`_^B?VLSm>%hLBiwGkylDid`A6hU7K^+1ou@h
z;}osDdbRAqpZos`JvXX7S^9u=hUv)|m4s;H)0-YlnRqP9W9@@;r<p#V%2RIU@9fc<
zbXQe!vzN)F%he~=uw+Tbdula_3p9Fqy3NfvEF<>TV3F1Hm2JO2tlRsh-_?HW<fQwa
zCch%RY{Qql`1M+P#&k7xUb(|p6Ze)m-;rk)-<I>bF5>XD8?V(L{y1~<&)N#>FH9+C
z9FKpkz0uw-esHCZwbPQx^?x2IKW0P?0-pP~pHwg~FwA5?n+eh@N-fSWElN%;4n1qg
zeaJw7^}*k|v#ObwDx!sE^WD2R$?U;3M;DnR2mkY{S?t{V{^NtfyPgw`nUxCe9&W$i
z|NQO#Nx!=%T;YrkT(&d)ZH=?s(m3f}soj74PgQjbcu(L<ez<3??n}nRXR2&=Li#U@
zj?DTTVs<ITq(kN9`VB_gH#X~|I`n~~X@e~n1A_$@0|O6oT<KNhF<NjP{~D1~5oT!N
z>ZG8cpkSD2ZUUke5{(T0K0kgZcjjcvF1B0W>(BZeu{~V=<F0Dg6fp;`$J<x`o@Qjj
za`ApqYtO<Mn<BZC-_w$>w_Mo}+n~hr|83=>-pTuxtmlqh|E&Gg+QrEyzkJ`YXUdc$
zZ!xVr`E}_A4A)%N2PJF^OqkhwDz`%Rx^j+RQiSr7jWOX0M-A$jdd$op_j`y;tWy%o
zJ|sOiKwUw4-o)s#8BrXuz4Ljr!ugA09Pd2xVd*(u-1|BwdGS5RPku>zy9$<gt&`K^
zdf>EF@WrpbK;Q2xXK#Gf!##JW{ER;V9%k}YTw0Ct`&zUYT@<-dUmU3#%fJ?XyHuw1
z`Ejl1tlVPV?4k?4*yq|AEIFDuyHL%X|LF~f6tB=<7N-t2oPLxUaa4e7ebvf~u^e|B
z=KZu>qLO~Ky7*)LlhZfXoZb4WuVv;I&k1**i=`Dhu-6^(pSjQcP5Pm`*9)1ugq7w9
za|(BCP3S5=7<z8){<lX?3ue4MYwK>7Uc|iV0N06h$$J84^BJbU`KDGa%~SQK<adt3
z-L>7T!oOT>`V;bK56d0jr)yvSWl{0I$<rISbIIK~cBN<Te|7n}a>mK1Q2DdGn%hge
z_<cX+EVlmlq(A%2-pd^(i$d<(?0B%wF5;no)|=y-yu1D_3SR#w`B6wiWZt#d%bz-V
z=39E*5_qO9urOk4$UN4gDeptRMcl}`XDxCq`Np!XUJ>1gjaROjr^l>#crs6wSIJ&Y
zj&JW%GJ@8{%Kh8b7jkL!YdgkMpCfOkrU?J&PphdDt2wH5?ahYY!5__xjAv}NJ8Ek5
z{_ldMzdIe`Zbqf7Y+0b&G$&2qN0n!1D#x|!ulE-1mk7+ixBTk-D^gaOhRwUw%2JtC
zzDSB6F7b}$6E=LGo8#3Q*Yxn~vH8OB(@sRqy!PwplLJa?cFaxx7rZu!TmRRzko8x$
z-F}`Gv;XQwf0HTG6&~2Avt^z5vqOTZNmL=4_no4`^H*h#8Rz+DZTgoaG9|2Y$=0^Z
z8<vHpYHdyJ;(ve4Q)lr5PXlhgrL{adK~pX~YLOFW?LRA$vi)uGy>!z*FN>uw8dn@T
zaroE8<=#i^64`j_nG3dZd&|r3h|qbw-LkWO{`W(SLK)d-gQ8y-)ymi82pGP4moVGq
z`?VAm7lS-0naQr)2JRdAwXat0$?vG1d@PHlu_JWtdHwK34<>I{%$l1R%$~S&tLxw1
zd7p|-RvR%iTb`5Zm?Powv?M-%a?~#k^I0*c{lA;J6-j=qe{zKPe}{SI9^JX$WUijL
zwXD=g=#JLEmnM$cn_o@Is|Z#JGu<AxvO)ifdPjWa;|q=(Oq}zLuS;9r-u-stvQxHq
zUmrdGM&ac7>-vgq(+(z7-}L4<sWsK2e@>-$YRtNfWA!sEIc_Qc`TA2hi1DC3+oml}
zdxJJiHhh!p(QLoTd3xG!JEc|@kIDCHmUF+jG}&a9xTmPTeAIdqy{$%ihQ2nI8hORL
zugLOVe0gRjd)b2ZwQry4G9K}?(OD6GFnwl<=l}ir`$gH`DL6jOt&XU4dHQwtv;Uc$
z0l&02e;0oB{q36LnTMY6S1x*HJ^NNGBkz}RwW3YyF9zR<mb)Q*qnclF;chjibm>zk
zKDxg$Ui)@)l0=+H++{ho!&b9oQ%(1J=spn3S)V#l=<W-Sb0+NU58J={OnZ~@<<@$c
zdv0Ie>D3+Fof{h>^LSD7KI7gC0&A)#yx$wrw&?4o0|7o!mi@ss#&L)H>|`F9e|s>|
zG;N;VB*n{nCl_zs`b{$T&E#D{nF(8imh5(8ZQ1wz;IxWsMRS$b^=A21WmRq7X7SnM
z^_R#OyVk`R#yTEezB0&q`(HLq?&<rNNopo0cDqi95_uM&-kbBn@Z=_k@Y_j8_gqm@
zz9D&Rn$HR|>lGVTeg4+fyYFRN?!A4fx3^dHwACfM>{w;dxbhv>&m$UBZ?{Qr4Xjx9
z-1TLE+Qsm)PwY$*KBw7o@)WGH_O&Z~bXvK9=Vze%<;>!eT`_ZZeBmp~acgWjka>&m
zhe&qmW!(w)CEnhtUGrUVt+-{yyG34X!W%4w6Kww4MbCX^{+;{b73U{i&b}9e_FtGF
zHs`&>7D4NPlQthK{g*xNjdSdJnY;F1)72I0M2}QW-E-y5yAO=lAAaJw^ZL6&L)I!M
z_P@*r7Jpbi`LUUz;(gw^3-aUaeg{l{AFCy$a)aY<N=(C?h&VBZE4^VAa{sNrUn-mR
zwQ-A~(&Z}K6DF4CX>+np@gCDJF8z8aUjE`V|Kg;5XAVd|I-($){y|(m>|%lQ%A%8n
zM_D7!WjrufczbYDTsmvjshux(%(QPx`XlUmO7_|9-M1E&t+xBon|!WGAmewDgtfk2
zN8}`@qWK2NJPY-7_g^*VOId!lB)~?-D5U(wRPBZ}=XNr`u{pBg_3Fy~c@~}r^+noE
z8|Owayj#oDnzUzjoQ$UK9Rmg<W2KtD%KL#2P8Ky3UY^}o7`%Av><{T7-$gkXLR5S|
zvH8uObfb6I%D*h{L~r+A^jLU%^R_gZ&^0#Uo5b4Q+?NVu{pOWZ@!MgM#J#-4rz&z2
z6yl^7T=&|YyKSoC7U7=Y6qlG~kp@B^w37DwFTcIvTzs>{L^<LA+pTw4)||HZQQ<Y)
zr*nf#^ki#qE>4HFiNPGzyDHfkekR_vuv@AUrpX>zw|+v?Gr4~r+UwU#teGIP>7++b
zsrXr~{^ZuwD94n8Jv*HnWIY}`&s$q$$C~sY>Y!tNHfv3*cfaY`i;9ib|4J6V5p?bQ
zSF7q19wpZ2*l9X*=e{Ez_R4Ov>RX)b!di<rsnlm!KmM^(pugztJ?S@nM%%MmXK@&P
z*soJ}JLB+XpC*|vJRj^{<dwd4o|PB2o#ogp$2QCGTNkfi5Ik?Y`#0m}LozeJeLOj@
zhdZHJ;nV?k*BVyi@9%E>zqEI*hQ4X;3&VuwRL2a(i*sy_@ZP+;EdHu;mg%p(y=<2J
zncn5ztNyIgbaIhgAL_nR=uwWq7XPxO<KeOO8Z-J0Mf-)~Z#=L1wq7K}Rbi#N)W0bw
z`o3;3-*EJO9M?44>E#FJUHkddQY_%ZX~XvUi7U%$i=@{mYSi<!|6(<7<I<k<$Kr$7
zT76!3=4n@%4$rX8<GA|Mer0yhj_%gKYT*GrDiw7ZQ7f{${SW@1s<Bh4wf)Qdg%8>`
zPj~aZ$bX1^&l2C|mow)rn)jfDsoDOtj~Zu9(SwbQd7%ex1l{mvYGhpAs4ez##a-8}
zm#ypdw@j7@4e^qA)X{R{%1g%5ob3*wueVQK(A~<-R?Jx)$)mfY=Yh3>#kpN~wyt+v
z9ml$VQC@|wbZ-XR!;g|1R)t#!YZyKBPW8B8!|)|9QcCs9)D;iv!#nufv^E3>th#r)
zDmeCK^Zm_jB_axWcLED-ZZEr_`e_D(k@D7Ea$7gqZJ+-(D_AnnZGPyd%17@zU8gmD
z>^;t&>Xa)|9c+9#wB4pc^N5Z?F4LQ%zZ_<)uv7hTCA6d~+Tmok-XArcPrpUqJuzSL
zuSzDjB>$^j9m~Pc?S9c=#mRD>by56tmu^44;Q2fMJ=-eset!%^ZDuCV*W1(0#K7RA
zj6Mlno|1Mq@@?L21D-$omv7wX;hlf^hS&ys-3^S@|L$IlG!oI=CY2{7wUW_Wt>4CT
ziN@FKpB_K|zWut`zRrw^toxOMH(y`nx$4Z)>}wj1Vq09T?xl0i`ndLVkecj;V1=*u
zt$dYQ5;rWp(Z*Q+ed{`bv%0PBH`Zx?XOEn}C8O=-@rd~!y(_%BmOAUaZa(J7TDK%N
zXR&_fzbRj1!~cu@{=N3U?zQhd4Z7dH_cZE$+pooC_T!Ts--n$N0V)$xws16mO`4}y
zp=O%l`_}kSYSY5JD?eL538#o3(-mm5mt!<L$M9~BGLzY(L!TMKgqOWl-8)72%PvFT
z4e>2utp1Ge{)G7CY;YIWl*m4}vfS>fL*F6wiOf^>t~c(M=$hH#)MBg5Ymx4@eZ#Fe
zn-{!#Gtbq5JvQa*gIS%7>2C}t2HY|Gm^Sh8?CV-w8PA>zzKUF3?6#(T!b0B_%p066
zH}DoueL5w*tY8C!8)t>CK&&wri>dqRRZPNLr(V8X&9~rVj83$q?wiQZPC?IiuXvh!
z%-`rn*6QA@lYi&ATzncJc%<#>&3EOC`;?vj&+bpnm9uw$FQ__A_X)#Rfz}E)+f!#8
zQrEs^yKj>sQul4&F1^s%opbYf&$y%>3wyWzVqP`hgx&v-ezOQvoA$HEfBA%i%eNkz
zFuA(S{P{&|?Y(za-#XJdDc173?;X}R-T8ebB6pQzxY?v@KN~)H?R(TPd*#GDM!9s?
z6&p+K9?ur!>fa}+byv;(`Ng9)90kt=zpJ}H^?H43cag)5ti_*pZ&v9jkY|uc&3BWE
zu6W*HBfQ_#zvjrRU90aVOnG;}<)`TdU5!Iuiq7ROU8<;;=^@45w=#C$or|+e4}`Vf
zV&5J){r_$K{JHO*$*A=Fl15FneG?cY-!m{U<T5fa2q1Tk;vwt#Li-Q;9X1efdH=7=
zvPoo;8avA?&cat~R|z}{%bn}Hc~!RiHfQO5a@L(KJ0kAQth6o*eR!95^7LZ)!2SDd
z>`iAcJ2+ip<98<O)!us~o1OVsWGpuPf3_`T)v6B{y&2-$EgrtQb<rSCsG8@T`<6Al
zm0gc?tURYJGc0hJk@Ln<#lR~46X!<RY5~8tFRbe2?$2$?ejAm);SEmU5m8c}_+PBs
z*W%&iy%Oba1&gomxw4e^$~I%e^CAvr-jh6yvUh~MKD~9PO#Y|hJ2p>dODD%3SbpCv
z`pmo=zY143JmE>6vsC8Pq#J8=9`3Jf-PV=6yJST?YTyJOH(eaez`)SQz`($V95{NJ
zdC5iGC(oQc<K18GspHvGep<il`x$RNud}{qwLQ-io<6Cs@2zWe#n{{^NW)L}l+T%y
zYrJ$$o;kmHlb4Ryxf43xp#~=51_1`lNeYM9dIKvYE@e#MW(e?RWD;S<y{wjjfq{XM
zfq`L5BZviEWQ(o|eTgPWDMZstMyv}q(GAFFjzL+y2r>YKw>0uIBN+f%%ZRQOeI*V^
z8^rE94rHyVDQW0h(I>P(T0wYAW04@TR`B!|x@L8>;XRN>h`kFXkTk;w`_T2HkLSSj
z|84vrg{&WSbO+rO^dS+LDO(ypOCy<r7$8A60DY(eWB>?nX`Ca2Y(PaGx=!@k2c!!U
z0L<#hI?FKw9=!-aXx*uaq!n5qpj(WdM-aNNX(8zb=a>L*RyL444+9UweI^EmP;CYV
zJqA~kG*eg4Y>O=4Y<F$9WLN)Sqip9OR|7-i2-A@4B>(U{=d|>YU=yP}L+5;300%Lk
A*#H0l

literal 0
HcmV?d00001

diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v
new file mode 100644
index 0000000..21ba75f
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v
@@ -0,0 +1,90 @@
+
+// file: clk_wiz_0.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1__25.00000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+(* CORE_GENERATION_INFO = "clk_wiz_0,clk_wiz_v6_0_11_0_0,{component_name=clk_wiz_0,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=true,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *)
+
+module clk_wiz_0 
+ (
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  input         resetn,
+ // Clock in ports
+  input         clk_in1
+ );
+
+  clk_wiz_0_clk_wiz inst
+  (
+  // Clock out ports  
+  .clk_out1(clk_out1),
+  // Status and control signals               
+  .resetn(resetn), 
+ // Clock in ports
+  .clk_in1(clk_in1)
+  );
+
+endmodule
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.vho b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
new file mode 100644
index 0000000..0fa97db
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1__25.00000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_0
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  resetn             : in     std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_0
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   resetn => resetn,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
new file mode 100644
index 0000000..d426798
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
@@ -0,0 +1,60 @@
+
+# file: clk_wiz_0.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system. If required
+# commented constraints can be used in the top level xdc 
+#----------------------------------------------------------------
+# Connect to input port when clock capable pin is selected for input
+create_clock -period 10.000 [get_ports clk_in1]
+set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.100
+
+
+set_property PHASESHIFT_MODE WAVEFORM [get_cells -hierarchical *adv*]
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
new file mode 100644
index 0000000..0711c53
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
@@ -0,0 +1,4999 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>customized_ip</spirit:library>
+  <spirit:name>clk_wiz_0</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>s_axi_lite</spirit:name>
+      <spirit:displayName>S_AXI_LITE</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_araddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awaddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WSTRB</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wstrb</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>DATA_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PROTOCOL</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL">AXI4LITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ID_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AWUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ARUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>READ_WRITE_MODE</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.READ_WRITE_MODE">READ_WRITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_LOCK</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_PROT</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_CACHE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_QOS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_REGION</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_WSTRB</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_RRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.SUPPORTS_NARROW_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>MAX_BURST_LENGTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.MAX_BURST_LENGTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_lite" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_aclk</spirit:name>
+      <spirit:displayName>s_axi_aclk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aclk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_BUSIF">s_axi_lite</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_RESET">s_axi_aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>ref_clk</spirit:name>
+      <spirit:displayName>ref_clk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>ref_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.REF_CLK.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.ref_clk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_resetn</spirit:name>
+      <spirit:displayName>S_AXI_RESETN</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aresetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.ASSOCIATED_RESET">aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_resetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>intr</spirit:name>
+      <spirit:displayName>Intr</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>INTERRUPT</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>interrupt</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>SENSITIVITY</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.SENSITIVITY">LEVEL_HIGH</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PortWidth</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.PortWidth">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.intr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN1_D</spirit:name>
+      <spirit:displayName>CLK_IN1_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN1_D" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN2_D</spirit:name>
+      <spirit:displayName>CLK_IN2_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.BOARD.ASSOCIATED_PARAM">CLK_IN2_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN2_D" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_IN_D</spirit:name>
+      <spirit:displayName>CLKFB_IN_D</spirit:displayName>
+      <spirit:description>Differential Feedback Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_IN_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_OUT_D</spirit:name>
+      <spirit:displayName>CLKFB_OUT_D</spirit:displayName>
+      <spirit:description>Differential Feeback Clock Output</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_OUT_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:displayName>reset</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.POLARITY">ACTIVE_HIGH</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.RESET.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>resetn</spirit:name>
+      <spirit:displayName>resetn</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>resetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.RESETN.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">true</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_IN1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_IN1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_OUT1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_OUT1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_out1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>xilinx_elaborateports</spirit:name>
+        <spirit:displayName>Elaborate Ports</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:elaborate.ports</spirit:envIdentifier>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:2ff000fb</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_vhdlinstantiationtemplate</spirit:name>
+        <spirit:displayName>VHDL Instantiation Template</spirit:displayName>
+        <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.template</spirit:envIdentifier>
+        <spirit:language>vhdl</spirit:language>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:00 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
+        <spirit:displayName>Synthesis</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_synthesisconstraints</spirit:name>
+        <spirit:displayName>Synthesis Constraints</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis.constraints</spirit:envIdentifier>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesiswrapper</spirit:name>
+        <spirit:displayName>Synthesis Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_0</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
+        <spirit:displayName>Simulation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:3f3ffd14</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesimulationwrapper</spirit:name>
+        <spirit:displayName>Simulation Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_0</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:3f3ffd14</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_implementation</spirit:name>
+        <spirit:displayName>Implementation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:implementation</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_implementation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_versioninformation</spirit:name>
+        <spirit:displayName>Version Information</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:docs.versioninfo</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_versioninformation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:16 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_externalfiles</spirit:name>
+        <spirit:displayName>External Files</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 09:46:52 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:9c1ef6d1</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>s_axi_aclk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_aresetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aresetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awaddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wstrb</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) div 8) - 1)">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_araddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_p" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_n" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_p" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_n" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>resetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">true</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>ref_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.ref_clk" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_stop</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_stop" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_glitch</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_glitch" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>interrupt</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.interrupt" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_oor</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_oor" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk0" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL0&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk1" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL1&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk2</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk2" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk3</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk3" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_out1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+    <spirit:modelParameters>
+      <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_USED" spirit:order="194">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ0</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ0" spirit:order="1194">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="string">
+        <spirit:name>C_AUTO_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AUTO_PRIMITIVE" spirit:order="1195">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ1" spirit:order="1195">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ2" spirit:order="1196">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ3</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ3" spirit:order="1197">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLOCK_MONITOR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR" spirit:order="1200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0" spirit:order="1201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1" spirit:order="1202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK2</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2" spirit:order="1203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK3</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3" spirit:order="1204">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL0" spirit:order="1205">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL1" spirit:order="1206">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_REF_CLK_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_REF_CLK_FREQ" spirit:order="1209">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PRECISION</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRECISION" spirit:order="1209">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_USED" spirit:order="195">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_USED" spirit:order="196">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_USED" spirit:order="197">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_USED" spirit:order="198">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_USED" spirit:order="199">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT1_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR" spirit:order="200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT2_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR" spirit:order="201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT3_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR" spirit:order="202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT4_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR" spirit:order="203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>c_component_name</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_component_name">clk_wiz_0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLATFORM</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLATFORM" spirit:order="204">UNKNOWN</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREQ_SYNTH</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREQ_SYNTH" spirit:order="205">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_PHASE_ALIGNMENT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT" spirit:order="206">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_JITTER" spirit:order="207">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER" spirit:order="208">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_JITTER_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_JITTER_SEL" spirit:order="209">No_Jitter</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_POWER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_POWER" spirit:order="210">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_O_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_O_JITTER" spirit:order="211">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MAX_I_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MAX_I_JITTER" spirit:order="212">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_PHASE_SHIFT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT" spirit:order="213">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OPTIMIZE_CLOCKING_STRUCTURE_EN</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OPTIMIZE_CLOCKING_STRUCTURE_EN" spirit:order="214">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_SWITCHOVER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER" spirit:order="214">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_RECONFIG</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_RECONFIG" spirit:order="215">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SPREAD_SPECTRUM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM" spirit:order="216">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FAST_SIMULATION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FAST_SIMULATION" spirit:order="217">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMTYPE_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMTYPE_SEL" spirit:order="218">AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLK_VALID</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLK_VALID" spirit:order="219">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_FREQ" spirit:order="220">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD" spirit:order="220.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_IN_FREQ_UNITS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IN_FREQ_UNITS" spirit:order="221">Units_MHz</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ" spirit:order="222">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD" spirit:order="222.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FEEDBACK_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FEEDBACK_SOURCE" spirit:order="223">FDBK_AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_SOURCE" spirit:order="224">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PHASESHIFT_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PHASESHIFT_MODE" spirit:order="2240">WAVEFORM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_SOURCE" spirit:order="225">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_SIGNALING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING" spirit:order="226">SINGLE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_RESET</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_RESET" spirit:order="227">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_RESET_LOW</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_LOW" spirit:order="408">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_LOCKED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_LOCKED" spirit:order="228">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_STOPPED" spirit:order="229">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKFB_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED" spirit:order="230">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_POWER_DOWN</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_POWER_DOWN" spirit:order="231">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_STATUS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_STATUS" spirit:order="232">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREEZE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREEZE" spirit:order="233">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_NUM_OUT_CLKS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_OUT_CLKS" spirit:order="234">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DRIVES" spirit:order="235">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DRIVES" spirit:order="236">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DRIVES" spirit:order="237">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DRIVES" spirit:order="238">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DRIVES" spirit:order="239">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DRIVES" spirit:order="240">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DRIVES" spirit:order="241">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW0</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW0" spirit:order="242">Input Clock   Freq (MHz)    Input Jitter (UI)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW1" spirit:order="243">__primary_________100.000____________0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW2" spirit:order="244">no_secondary_input_clock </spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0A</spirit:name>
+        <spirit:displayName>C Outclk Sum Row0a</spirit:displayName>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A" spirit:order="245"> Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0B</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B" spirit:order="246">  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1" spirit:order="247">clk_out1__25.00000______0.000______50.0______181.828____104.359</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2" spirit:order="248">no_CLK_OUT2_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3" spirit:order="249">no_CLK_OUT3_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4" spirit:order="250">no_CLK_OUT4_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5" spirit:order="251">no_CLK_OUT5_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6" spirit:order="252">no_CLK_OUT6_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7" spirit:order="253">no_CLK_OUT7_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="254">25</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="255">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="256">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="257">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="258">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="259">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="260">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE" spirit:order="261">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE" spirit:order="262">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE" spirit:order="263">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE" spirit:order="264">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE" spirit:order="265">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE" spirit:order="266">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE" spirit:order="267">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="268">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="269">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="270">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="271">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="272">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="273">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="274">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ" spirit:order="275">25.00000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ" spirit:order="276">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ" spirit:order="277">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ" spirit:order="278">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ" spirit:order="279">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ" spirit:order="280">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ" spirit:order="281">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_PHASE" spirit:order="282">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_PHASE" spirit:order="283">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_PHASE" spirit:order="284">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_PHASE" spirit:order="285">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_PHASE" spirit:order="286">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_PHASE" spirit:order="287">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_PHASE" spirit:order="288">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE" spirit:order="289">50.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE" spirit:order="290">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE" spirit:order="291">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE" spirit:order="292">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE" spirit:order="293">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE" spirit:order="294">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE" spirit:order="295">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SAFE_CLOCK_STARTUP</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP" spirit:order="500">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLOCK_SEQUENCING</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING" spirit:order="501">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER" spirit:order="502">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER" spirit:order="503">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER" spirit:order="504">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER" spirit:order="505">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER" spirit:order="506">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER" spirit:order="507">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER" spirit:order="508">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_NOTES" spirit:order="296">None</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_BANDWIDTH" spirit:order="297">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_MULT_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F" spirit:order="298">9.125</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN1_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD" spirit:order="299">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN2_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD" spirit:order="300">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_CASCADE</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE" spirit:order="301">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLOCK_HOLD</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD" spirit:order="302">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_COMPENSATION" spirit:order="303">ZHOLD</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_MMCM_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE" spirit:order="304">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER1" spirit:order="305">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER2" spirit:order="306">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_STARTUP_WAIT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT" spirit:order="307">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F" spirit:order="308">36.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE" spirit:order="309">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE" spirit:order="310">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE" spirit:order="311">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE" spirit:order="312">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE" spirit:order="313">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE" spirit:order="314">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="315">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="316">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="317">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="318">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="319">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="320">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="321">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE" spirit:order="322">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE" spirit:order="323">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE" spirit:order="324">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE" spirit:order="325">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE" spirit:order="326">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE" spirit:order="327">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE" spirit:order="328">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE" spirit:order="329">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="330">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS" spirit:order="331">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS" spirit:order="332">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS" spirit:order="333">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS" spirit:order="334">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS" spirit:order="335">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS" spirit:order="336">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS" spirit:order="337">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_NOTES" spirit:order="338">No notes</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_BANDWIDTH" spirit:order="339">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLK_FEEDBACK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK" spirit:order="340">CLKFBOUT</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKFBOUT_MULT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT" spirit:order="341">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKIN_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD" spirit:order="342">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_COMPENSATION" spirit:order="343">SYSTEM_SYNCHRONOUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE" spirit:order="344">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_REF_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_REF_JITTER" spirit:order="345">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT0_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE" spirit:order="346">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE" spirit:order="347">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE" spirit:order="348">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE" spirit:order="349">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE" spirit:order="350">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE" spirit:order="351">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE" spirit:order="352">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE" spirit:order="353">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE" spirit:order="354">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE" spirit:order="355">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE" spirit:order="356">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE" spirit:order="357">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE" spirit:order="358">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE" spirit:order="359">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE" spirit:order="360">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE" spirit:order="361">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE" spirit:order="362">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE" spirit:order="363">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE" spirit:order="364">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLOCK_MGR_TYPE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE" spirit:order="365">NA</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_MMCM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_MMCM" spirit:order="366">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_PLL</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_PLL" spirit:order="367">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMARY_PORT" spirit:order="368">clk_in1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_PORT" spirit:order="369">clk_in2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT1_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT1_PORT" spirit:order="370">clk_out1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT2_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT2_PORT" spirit:order="371">clk_out2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT3_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT3_PORT" spirit:order="372">clk_out3</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT4_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT4_PORT" spirit:order="373">clk_out4</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT5_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT5_PORT" spirit:order="374">clk_out5</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT6_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT6_PORT" spirit:order="375">clk_out6</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT7_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT7_PORT" spirit:order="376">clk_out7</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_RESET_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_PORT" spirit:order="377">resetn</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCKED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCKED_PORT" spirit:order="378">locked</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_PORT" spirit:order="379">clkfb_in</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT" spirit:order="380">clkfb_in_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT" spirit:order="381">clkfb_in_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_PORT" spirit:order="382">clkfb_out</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT" spirit:order="383">clkfb_out_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT" spirit:order="384">clkfb_out_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_DOWN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_DOWN_PORT" spirit:order="385">power_down</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DADDR_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DADDR_PORT" spirit:order="386">daddr</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DCLK_PORT" spirit:order="387">dclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DRDY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DRDY_PORT" spirit:order="388">drdy</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DWE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DWE_PORT" spirit:order="389">dwe</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIN_PORT" spirit:order="390">din</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DOUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DOUT_PORT" spirit:order="391">dout</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DEN_PORT" spirit:order="392">den</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSCLK_PORT" spirit:order="393">psclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSEN_PORT" spirit:order="394">psen</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSINCDEC_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSINCDEC_PORT" spirit:order="395">psincdec</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSDONE_PORT" spirit:order="396">psdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_VALID_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_VALID_PORT" spirit:order="397">CLK_VALID</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_STATUS_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_STATUS_PORT" spirit:order="398">STATUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_IN_SEL_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT" spirit:order="399">clk_in_sel</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INPUT_CLK_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT" spirit:order="400">input_clk_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT" spirit:order="401">clkfb_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN1_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS" spirit:order="402">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN2_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS" spirit:order="403">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMITIVE" spirit:order="404">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MODE" spirit:order="405">CENTER_HIGH</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_SS_MOD_PERIOD</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_PERIOD" spirit:order="406">4000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MOD_TIME</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_TIME" spirit:order="406.001">0.004</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_HAS_CDDC</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_HAS_CDDC" spirit:order="407">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCDONE_PORT" spirit:order="408">cddcdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCREQ_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCREQ_PORT" spirit:order="409">cddcreq</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUTPHY_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUTPHY_MODE" spirit:order="410">VCO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLKOUTPHY</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY" spirit:order="411">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_INTERFACE_SELECTION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTERFACE_SELECTION" spirit:order="412">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_ADDR_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Addr Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH" spirit:order="215" spirit:minimum="2" spirit:maximum="32" spirit:rangeType="long">11</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_DATA_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Data Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH" spirit:order="216" spirit:minimum="32" spirit:maximum="128" spirit:rangeType="long">32</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_REG</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_REG" spirit:order="409">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_2" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVCLK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVCLK" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_3" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE1_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE1_AUTO" spirit:order="411">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE2_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE2_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE3_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE3_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE4_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE4_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE5_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE5_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE6_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE6_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE7_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE7_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV5" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV6" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV7" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_ACTUAL_FREQ" spirit:order="711">25.00000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_ACTUAL_FREQ" spirit:order="712">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_ACTUAL_FREQ" spirit:order="713">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_ACTUAL_FREQ" spirit:order="714">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_ACTUAL_FREQ" spirit:order="715">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_ACTUAL_FREQ" spirit:order="716">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_ACTUAL_FREQ" spirit:order="717">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_M_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_MAX" spirit:order="403">64.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_M_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_MIN" spirit:order="403">2.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_D_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_D_MAX" spirit:order="403">80.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_D_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_D_MIN" spirit:order="403">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_O_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_O_MAX" spirit:order="403">128.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_O_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_O_MIN" spirit:order="403">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_VCO_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_VCO_MIN" spirit:order="403">600.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_VCO_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_VCO_MAX" spirit:order="403">1200.000</spirit:value>
+      </spirit:modelParameter>
+    </spirit:modelParameters>
+  </spirit:model>
+  <spirit:choices>
+    <spirit:choice>
+      <spirit:name>choice_list_1d3de01d</spirit:name>
+      <spirit:enumeration>WAVEFORM</spirit:enumeration>
+      <spirit:enumeration>LATENCY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_876bfc32</spirit:name>
+      <spirit:enumeration>UI</spirit:enumeration>
+      <spirit:enumeration>PS</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_a9bdfce0</spirit:name>
+      <spirit:enumeration>LOW</spirit:enumeration>
+      <spirit:enumeration>HIGH</spirit:enumeration>
+      <spirit:enumeration>OPTIMIZED</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_ac75ef1e</spirit:name>
+      <spirit:enumeration>Custom</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_b9d38208</spirit:name>
+      <spirit:enumeration>CLKFBOUT</spirit:enumeration>
+      <spirit:enumeration>CLKOUT0</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_e099fe6c</spirit:name>
+      <spirit:enumeration>MMCM</spirit:enumeration>
+      <spirit:enumeration>PLL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_035ca1c3</spirit:name>
+      <spirit:enumeration spirit:text="SYSTEM SYNCHRONOUS">SYSTEM_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="SOURCE SYNCHRONOUS">SOURCE_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_0920eb1b</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_11d71346</spirit:name>
+      <spirit:enumeration spirit:text="Single ended clock capable pin">Single_ended_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential clock capable pin">Differential_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Global buffer">Global_buffer</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_15c806d5</spirit:name>
+      <spirit:enumeration spirit:text="Automatic Control On-Chip">FDBK_AUTO</spirit:enumeration>
+      <spirit:enumeration spirit:text="Automatic Control Off-Chip">FDBK_AUTO_OFFCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_340369e0</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_3c2d3ec7</spirit:name>
+      <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential">DIFF</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_502d9f23</spirit:name>
+      <spirit:enumeration spirit:text="ZHOLD">ZHOLD</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUF IN">BUF_IN</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_66e4c81f</spirit:name>
+      <spirit:enumeration spirit:text="BUFG">BUFG</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFH">BUFH</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE">BUFGCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFHCE">BUFHCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_77d3d587</spirit:name>
+      <spirit:enumeration spirit:text="MMCM">MMCM</spirit:enumeration>
+      <spirit:enumeration spirit:text="PLL">PLL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE DIV">BUFGCE_DIV</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8b28f1f7</spirit:name>
+      <spirit:enumeration spirit:text="AXI4Lite">Enable_AXI</spirit:enumeration>
+      <spirit:enumeration spirit:text="DRP">Enable_DRP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8eea9b32</spirit:name>
+      <spirit:enumeration spirit:text="Units MHz">Units_MHz</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ns">Units_ns</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a4fbc00c</spirit:name>
+      <spirit:enumeration spirit:text="Active High">ACTIVE_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="Active Low">ACTIVE_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a8642b4c</spirit:name>
+      <spirit:enumeration spirit:text="Balanced">No_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Minimize Output Jitter">Min_O_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Maximize Input Jitter filtering">Max_I_Jitter</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_c5ef7212</spirit:name>
+      <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_e1c87518</spirit:name>
+      <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration>
+      <spirit:enumeration spirit:text="Secondary Clock">REL_SECONDARY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f4e10086</spirit:name>
+      <spirit:enumeration spirit:text="CENTER HIGH">CENTER_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="CENTER LOW">CENTER_LOW</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN HIGH">DOWN_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN LOW">DOWN_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f669c2f5</spirit:name>
+      <spirit:enumeration spirit:text="Frequency">frequency</spirit:enumeration>
+      <spirit:enumeration spirit:text="Time">Time</spirit:enumeration>
+    </spirit:choice>
+  </spirit:choices>
+  <spirit:fileSets>
+    <spirit:fileSet>
+      <spirit:name>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.vho</spirit:name>
+        <spirit:userFileType>vhdlTemplate</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:define>
+          <spirit:name>processing_order</spirit:name>
+          <spirit:value>early</spirit:value>
+        </spirit:define>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_ooc.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_implementation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_board.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_board</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_versioninformation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>doc/clk_wiz_v6_0_changelog.txt</spirit:name>
+        <spirit:userFileType>text</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_externalfiles_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_0.dcp</spirit:name>
+        <spirit:userFileType>dcp</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_stub.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_stub.vhdl</spirit:name>
+        <spirit:fileType>vhdlSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_sim_netlist.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_simulation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_single_language</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_0_sim_netlist.vhdl</spirit:name>
+        <spirit:fileType>vhdlSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_simulation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_single_language</spirit:userFileType>
+        <spirit:logicalName>xil_defaultlib</spirit:logicalName>
+      </spirit:file>
+    </spirit:fileSet>
+  </spirit:fileSets>
+  <spirit:description>The Clocking Wizard creates an HDL file (Verilog or VHDL) that contains a clocking circuit customized to the user&apos;s clocking requirements.</spirit:description>
+  <spirit:parameters>
+    <spirit:parameter>
+      <spirit:name>Component_Name</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">clk_wiz_0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ0</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ0" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ1</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ1" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ2</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ2" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ3</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ3" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLOCK_MONITOR</spirit:name>
+      <spirit:displayName>Enable Clock Monitoring</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLOCK_MONITOR" spirit:order="10.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OPTIMIZE_CLOCKING_STRUCTURE_EN</spirit:name>
+      <spirit:displayName>Optimize Clocking Structure</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN" spirit:order="10.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK2</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK2" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK3</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK3" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>REF_CLK_FREQ</spirit:name>
+      <spirit:displayName>Reference Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.REF_CLK_FREQ" spirit:order="15300" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRECISION</spirit:name>
+      <spirit:displayName>Tolerance(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRECISION" spirit:order="15400" spirit:minimum="1" spirit:maximum="100">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMITIVE</spirit:name>
+      <spirit:displayName>Primitive</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMITIVE" spirit:choiceRef="choice_list_e099fe6c" spirit:order="2">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMTYPE_SEL</spirit:name>
+      <spirit:displayName>Primtype Sel</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMTYPE_SEL" spirit:order="3">mmcm_adv</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLOCK_MGR_TYPE</spirit:name>
+      <spirit:displayName>Clock Mgr Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLOCK_MGR_TYPE" spirit:order="410">auto</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREQ_SYNTH</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREQ_SYNTH" spirit:order="6" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SPREAD_SPECTRUM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SPREAD_SPECTRUM" spirit:order="7" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_PHASE_ALIGNMENT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_PHASE_ALIGNMENT" spirit:order="8" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_POWER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_POWER" spirit:order="9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_PHASE_SHIFT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_PHASE_SHIFT" spirit:order="10" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_RECONFIG</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_RECONFIG" spirit:order="11" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_SEL</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_SEL" spirit:choiceRef="choice_pairs_a8642b4c" spirit:order="13" spirit:configGroups="0 NoDisplay">No_Jitter</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_FREQ" spirit:order="14.401" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_TIMEPERIOD" spirit:order="14.9" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_FREQ_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_FREQ_UNITS" spirit:choiceRef="choice_pairs_8eea9b32" spirit:order="15" spirit:configGroups="0 NoDisplay">Units_MHz</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASESHIFT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PHASESHIFT_MODE" spirit:choiceRef="choice_list_1d3de01d" spirit:order="116" spirit:configGroups="0 NoDisplay">WAVEFORM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_JITTER_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_JITTER_UNITS" spirit:choiceRef="choice_pairs_c5ef7212" spirit:order="16" spirit:configGroups="0 NoDisplay">Units_UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RELATIVE_INCLK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RELATIVE_INCLK" spirit:choiceRef="choice_pairs_e1c87518" spirit:order="17" spirit:configGroups="0 NoDisplay">REL_PRIMARY</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_SWITCHOVER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_SWITCHOVER" spirit:order="13.9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_FREQ" spirit:order="21.3" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD" spirit:order="21.299" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_PORT" spirit:order="20" spirit:configGroups="0 NoDisplay">clk_in2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="21" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_OPTIONS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_OPTIONS" spirit:choiceRef="choice_list_876bfc32" spirit:order="22" spirit:configGroups="0 NoDisplay">UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_UI_JITTER" spirit:order="23" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_UI_JITTER" spirit:order="24" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_JITTER" spirit:order="25" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_JITTER" spirit:order="26" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_JITTER_PS" spirit:order="27" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_JITTER_PS" spirit:order="28" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_USED" spirit:order="4" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_USED" spirit:order="29" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_USED" spirit:order="30" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_USED" spirit:order="31" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_USED" spirit:order="32" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_USED" spirit:order="33" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_USED" spirit:order="34" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>NUM_OUT_CLKS</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_OUT_CLKS" spirit:order="407" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI" spirit:order="36" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI" spirit:order="37" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI" spirit:order="38" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI" spirit:order="39" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI" spirit:order="40" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI" spirit:order="41" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI" spirit:order="42" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMARY_PORT" spirit:order="43" spirit:configGroups="0 NoDisplay">clk_in1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_PORT" spirit:order="44" spirit:configGroups="0 NoDisplay">clk_out1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_PORT" spirit:order="45" spirit:configGroups="0 NoDisplay">clk_out2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_PORT" spirit:order="46" spirit:configGroups="0 NoDisplay">clk_out3</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_PORT" spirit:order="47" spirit:configGroups="0 NoDisplay">clk_out4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_PORT" spirit:order="48" spirit:configGroups="0 NoDisplay">clk_out5</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_PORT" spirit:order="49" spirit:configGroups="0 NoDisplay">clk_out6</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_PORT" spirit:order="50" spirit:configGroups="0 NoDisplay">clk_out7</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DADDR_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DADDR_PORT" spirit:order="51" spirit:configGroups="0 NoDisplay">daddr</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DCLK_PORT" spirit:order="52" spirit:configGroups="0 NoDisplay">dclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DRDY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DRDY_PORT" spirit:order="53" spirit:configGroups="0 NoDisplay">drdy</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DWE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DWE_PORT" spirit:order="54" spirit:configGroups="0 NoDisplay">dwe</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIN_PORT" spirit:order="55" spirit:configGroups="0 NoDisplay">din</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DOUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DOUT_PORT" spirit:order="56" spirit:configGroups="0 NoDisplay">dout</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DEN_PORT" spirit:order="57" spirit:configGroups="0 NoDisplay">den</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSCLK_PORT" spirit:order="58" spirit:configGroups="0 NoDisplay">psclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSEN_PORT" spirit:order="59" spirit:configGroups="0 NoDisplay">psen</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSINCDEC_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSINCDEC_PORT" spirit:order="60" spirit:configGroups="0 NoDisplay">psincdec</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSDONE_PORT" spirit:order="61" spirit:configGroups="0 NoDisplay">psdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="62" spirit:configGroups="0 NoDisplay">25</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE" spirit:order="63" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="64" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="65" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE" spirit:order="66" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="67" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="68" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE" spirit:order="69" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="70" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="71" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE" spirit:order="72" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="73" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="74" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE" spirit:order="75" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="76" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="77" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE" spirit:order="78" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="79" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="80" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE" spirit:order="81" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="82" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MAX_I_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MAX_I_JITTER" spirit:order="83" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_O_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_O_JITTER" spirit:order="84" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING" spirit:order="984" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING" spirit:order="985" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING" spirit:order="986" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING" spirit:order="987" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING" spirit:order="988" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING" spirit:order="989" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING" spirit:order="990" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="14.1" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="86" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="87" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="88" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="89" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="90" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="91" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="92" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>FEEDBACK_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.FEEDBACK_SOURCE" spirit:choiceRef="choice_pairs_15c806d5" spirit:order="93" spirit:configGroups="0 NoDisplay">FDBK_AUTO</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_SIGNALING</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_SIGNALING" spirit:choiceRef="choice_pairs_3c2d3ec7" spirit:order="94" spirit:configGroups="0 NoDisplay">SINGLE</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_PORT" spirit:order="95" spirit:configGroups="0 NoDisplay">clkfb_in</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_P_PORT" spirit:order="96" spirit:configGroups="0 NoDisplay">clkfb_in_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_N_PORT" spirit:order="97" spirit:configGroups="0 NoDisplay">clkfb_in_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_PORT" spirit:order="98" spirit:configGroups="0 NoDisplay">clkfb_out</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_P_PORT" spirit:order="99" spirit:configGroups="0 NoDisplay">clkfb_out_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_N_PORT" spirit:order="100" spirit:configGroups="0 NoDisplay">clkfb_out_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLATFORM</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLATFORM" spirit:order="101" spirit:configGroups="0 NoDisplay">UNKNOWN</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SUMMARY_STRINGS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SUMMARY_STRINGS" spirit:order="102" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_LOCKED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_LOCKED" spirit:order="103" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CALC_DONE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CALC_DONE" spirit:order="104" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_RESET</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_RESET" spirit:order="105" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_POWER_DOWN</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_POWER_DOWN" spirit:order="106" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_STATUS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_STATUS" spirit:order="107" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREEZE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREEZE" spirit:order="108" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLK_VALID</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLK_VALID" spirit:order="109" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_STOPPED" spirit:order="110" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLKFB_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLKFB_STOPPED" spirit:order="111" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_PORT" spirit:order="409" spirit:configGroups="0 NoDisplay">resetn</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>LOCKED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.LOCKED_PORT" spirit:order="113" spirit:configGroups="0 NoDisplay">locked</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>POWER_DOWN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.POWER_DOWN_PORT" spirit:order="114" spirit:configGroups="0 NoDisplay">power_down</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_VALID_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_VALID_PORT" spirit:order="115" spirit:configGroups="0 NoDisplay">CLK_VALID</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>STATUS_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.STATUS_PORT" spirit:order="116" spirit:configGroups="0 NoDisplay">STATUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN_SEL_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN_SEL_PORT" spirit:order="117" spirit:configGroups="0 NoDisplay">clk_in_sel</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_CLK_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_CLK_STOPPED_PORT" spirit:order="118" spirit:configGroups="0 NoDisplay">input_clk_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_STOPPED_PORT" spirit:order="119" spirit:configGroups="0 NoDisplay">clkfb_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MODE" spirit:choiceRef="choice_pairs_f4e10086" spirit:order="120" spirit:configGroups="0 NoDisplay">CENTER_HIGH</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_FREQ" spirit:order="121" spirit:configGroups="0 NoDisplay">250</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_TIME</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_TIME" spirit:order="121.001" spirit:configGroups="0 NoDisplay">0.004</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_MMCM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_MMCM" spirit:order="122" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_NOTES" spirit:order="123" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" spirit:order="124" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="125" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_MULT_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" spirit:order="126" spirit:configGroups="0 NoDisplay">9.125</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_PHASE" spirit:order="127" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="128" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN1_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN1_PERIOD" spirit:order="129" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN2_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN2_PERIOD" spirit:order="130" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_CASCADE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_CASCADE" spirit:order="131" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLOCK_HOLD</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLOCK_HOLD" spirit:order="132" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_COMPENSATION" spirit:choiceRef="choice_pairs_502d9f23" spirit:order="133" spirit:configGroups="0 NoDisplay">ZHOLD</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER1</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER1" spirit:order="134" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER2</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER2" spirit:order="135" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_STARTUP_WAIT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_STARTUP_WAIT" spirit:order="136" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" spirit:order="137" spirit:configGroups="0 NoDisplay">36.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="138" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_PHASE" spirit:order="139" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS" spirit:order="140" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" spirit:order="141" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="142" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_PHASE" spirit:order="143" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS" spirit:order="144" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" spirit:order="145" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="146" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_PHASE" spirit:order="147" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS" spirit:order="148" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" spirit:order="149" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="150" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_PHASE" spirit:order="151" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS" spirit:order="152" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE" spirit:order="153" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="154" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_PHASE" spirit:order="155" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS" spirit:order="156" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE" spirit:order="157" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="158" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_PHASE" spirit:order="159" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS" spirit:order="160" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE" spirit:order="161" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="162" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_PHASE" spirit:order="163" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS" spirit:order="164" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_PLL</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_PLL" spirit:order="165" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_NOTES" spirit:order="166" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="167" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_MULT</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_MULT" spirit:order="168" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_PHASE" spirit:order="169" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLK_FEEDBACK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLK_FEEDBACK" spirit:choiceRef="choice_list_b9d38208" spirit:order="170" spirit:configGroups="0 NoDisplay">CLKFBOUT</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_DIVCLK_DIVIDE" spirit:order="171" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="52" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKIN_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKIN_PERIOD" spirit:order="172" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_COMPENSATION" spirit:choiceRef="choice_pairs_035ca1c3" spirit:order="173" spirit:configGroups="0 NoDisplay">SYSTEM_SYNCHRONOUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_REF_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_REF_JITTER" spirit:order="174" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DIVIDE" spirit:order="175" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE" spirit:order="176" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_PHASE" spirit:order="177" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DIVIDE" spirit:order="178" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE" spirit:order="179" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_PHASE" spirit:order="180" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DIVIDE" spirit:order="181" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE" spirit:order="182" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_PHASE" spirit:order="183" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DIVIDE" spirit:order="184" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE" spirit:order="185" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_PHASE" spirit:order="186" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DIVIDE" spirit:order="187" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE" spirit:order="188" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_PHASE" spirit:order="189" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DIVIDE" spirit:order="190" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE" spirit:order="191" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_PHASE" spirit:order="192" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_TYPE</spirit:name>
+      <spirit:displayName>Reset Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_TYPE" spirit:choiceRef="choice_pairs_a4fbc00c" spirit:order="408" spirit:configGroups="0 NoDisplay">ACTIVE_LOW</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SAFE_CLOCK_STARTUP</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP" spirit:order="85.5" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLOCK_SEQUENCING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLOCK_SEQUENCING" spirit:order="501" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER" spirit:order="502" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER" spirit:order="503" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER" spirit:order="504" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER" spirit:order="505" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER" spirit:order="506" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER" spirit:order="507" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER" spirit:order="508" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_BOARD_FLOW</spirit:name>
+      <spirit:displayName>Generate Board based IO Constraints</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_BOARD_FLOW" spirit:order="1.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.8">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.9">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.1">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.2">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AUTO_PRIMITIVE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.AUTO_PRIMITIVE" spirit:choiceRef="choice_pairs_77d3d587" spirit:order="13212">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CDDC</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CDDC" spirit:order="509">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCDONE_PORT" spirit:order="510" spirit:configGroups="0 NoDisplay">cddcdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCREQ_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCREQ_PORT" spirit:order="511" spirit:configGroups="0 NoDisplay">cddcreq</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLKOUTPHY</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLKOUTPHY" spirit:order="123.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUTPHY_REQUESTED_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ" spirit:order="123.2" spirit:configGroups="0 NoDisplay">600.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_JITTER</spirit:name>
+      <spirit:displayName>Clkout1 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_JITTER" spirit:order="1000">181.828</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout1 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_PHASE_ERROR" spirit:order="1001">104.359</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_JITTER</spirit:name>
+      <spirit:displayName>Clkout2 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_JITTER" spirit:order="1002">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout2 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_PHASE_ERROR" spirit:order="1003">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_JITTER</spirit:name>
+      <spirit:displayName>Clkout3 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_JITTER" spirit:order="1004">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout3 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_PHASE_ERROR" spirit:order="1005">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_JITTER</spirit:name>
+      <spirit:displayName>Clkout4 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_JITTER" spirit:order="1006">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout4 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_PHASE_ERROR" spirit:order="1007">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_JITTER</spirit:name>
+      <spirit:displayName>Clkout5 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_JITTER" spirit:order="1008">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout5 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_PHASE_ERROR" spirit:order="1009">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_JITTER</spirit:name>
+      <spirit:displayName>Clkout6 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_JITTER" spirit:order="1010">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout6 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_PHASE_ERROR" spirit:order="1011">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_JITTER</spirit:name>
+      <spirit:displayName>Clkout7 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_JITTER" spirit:order="1012">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout7 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_PHASE_ERROR" spirit:order="1013">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_MODE" spirit:choiceRef="choice_pairs_f669c2f5" spirit:order="7.8">frequency</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INTERFACE_SELECTION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INTERFACE_SELECTION" spirit:choiceRef="choice_pairs_8b28f1f7" spirit:order="11.1">Enable_AXI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AXI_DRP</spirit:name>
+      <spirit:displayName>Write DRP registers</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.AXI_DRP" spirit:order="11.12">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASE_DUTY_CONFIG</spirit:name>
+      <spirit:displayName>Phase Duty Cycle Config</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.PHASE_DUTY_CONFIG" spirit:order="11.2">false</spirit:value>
+    </spirit:parameter>
+  </spirit:parameters>
+  <spirit:vendorExtensions>
+    <xilinx:coreExtensions>
+      <xilinx:displayName>Clocking Wizard</xilinx:displayName>
+      <xilinx:xpmLibraries>
+        <xilinx:xpmLibrary>XPM_CDC</xilinx:xpmLibrary>
+      </xilinx:xpmLibraries>
+      <xilinx:coreRevision>11</xilinx:coreRevision>
+      <xilinx:configElementInfos>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RESET_PORT" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RESET_TYPE" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.USE_LOCKED" xilinx:valueSource="user"/>
+      </xilinx:configElementInfos>
+    </xilinx:coreExtensions>
+    <xilinx:packagingInfo>
+      <xilinx:xilinxVersion>2022.2</xilinx:xilinxVersion>
+      <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="0d9e23d5"/>
+      <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="e96d5789"/>
+      <xilinx:checksum xilinx:scope="ports" xilinx:value="4f3d3737"/>
+      <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="c11c59cd"/>
+      <xilinx:checksum xilinx:scope="parameters" xilinx:value="63dcade9"/>
+    </xilinx:packagingInfo>
+  </spirit:vendorExtensions>
+</spirit:component>
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
new file mode 100644
index 0000000..3422a8e
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
@@ -0,0 +1,2 @@
+#--------------------Physical Constraints-----------------
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
new file mode 100644
index 0000000..114157a
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
@@ -0,0 +1,202 @@
+
+// file: clk_wiz_0.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1__25.00000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+module clk_wiz_0_clk_wiz 
+
+ (// Clock in ports
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  input         resetn,
+  input         clk_in1
+ );
+  // Input buffering
+  //------------------------------------
+wire clk_in1_clk_wiz_0;
+wire clk_in2_clk_wiz_0;
+  IBUF clkin1_ibufg
+   (.O (clk_in1_clk_wiz_0),
+    .I (clk_in1));
+
+
+
+
+  // Clocking PRIMITIVE
+  //------------------------------------
+
+  // Instantiation of the MMCM PRIMITIVE
+  //    * Unused inputs are tied off
+  //    * Unused outputs are labeled unused
+
+  wire        clk_out1_clk_wiz_0;
+  wire        clk_out2_clk_wiz_0;
+  wire        clk_out3_clk_wiz_0;
+  wire        clk_out4_clk_wiz_0;
+  wire        clk_out5_clk_wiz_0;
+  wire        clk_out6_clk_wiz_0;
+  wire        clk_out7_clk_wiz_0;
+
+  wire [15:0] do_unused;
+  wire        drdy_unused;
+  wire        psdone_unused;
+  wire        locked_int;
+  wire        clkfbout_clk_wiz_0;
+  wire        clkfbout_buf_clk_wiz_0;
+  wire        clkfboutb_unused;
+    wire clkout0b_unused;
+   wire clkout1_unused;
+   wire clkout1b_unused;
+   wire clkout2_unused;
+   wire clkout2b_unused;
+   wire clkout3_unused;
+   wire clkout3b_unused;
+   wire clkout4_unused;
+  wire        clkout5_unused;
+  wire        clkout6_unused;
+  wire        clkfbstopped_unused;
+  wire        clkinstopped_unused;
+  wire        reset_high;
+
+  MMCME2_ADV
+  #(.BANDWIDTH            ("OPTIMIZED"),
+    .CLKOUT4_CASCADE      ("FALSE"),
+    .COMPENSATION         ("ZHOLD"),
+    .STARTUP_WAIT         ("FALSE"),
+    .DIVCLK_DIVIDE        (1),
+    .CLKFBOUT_MULT_F      (9.125),
+    .CLKFBOUT_PHASE       (0.000),
+    .CLKFBOUT_USE_FINE_PS ("FALSE"),
+    .CLKOUT0_DIVIDE_F     (36.500),
+    .CLKOUT0_PHASE        (0.000),
+    .CLKOUT0_DUTY_CYCLE   (0.500),
+    .CLKOUT0_USE_FINE_PS  ("FALSE"),
+    .CLKIN1_PERIOD        (10.000))
+  mmcm_adv_inst
+    // Output clocks
+   (
+    .CLKFBOUT            (clkfbout_clk_wiz_0),
+    .CLKFBOUTB           (clkfboutb_unused),
+    .CLKOUT0             (clk_out1_clk_wiz_0),
+    .CLKOUT0B            (clkout0b_unused),
+    .CLKOUT1             (clkout1_unused),
+    .CLKOUT1B            (clkout1b_unused),
+    .CLKOUT2             (clkout2_unused),
+    .CLKOUT2B            (clkout2b_unused),
+    .CLKOUT3             (clkout3_unused),
+    .CLKOUT3B            (clkout3b_unused),
+    .CLKOUT4             (clkout4_unused),
+    .CLKOUT5             (clkout5_unused),
+    .CLKOUT6             (clkout6_unused),
+     // Input clock control
+    .CLKFBIN             (clkfbout_buf_clk_wiz_0),
+    .CLKIN1              (clk_in1_clk_wiz_0),
+    .CLKIN2              (1'b0),
+     // Tied to always select the primary input clock
+    .CLKINSEL            (1'b1),
+    // Ports for dynamic reconfiguration
+    .DADDR               (7'h0),
+    .DCLK                (1'b0),
+    .DEN                 (1'b0),
+    .DI                  (16'h0),
+    .DO                  (do_unused),
+    .DRDY                (drdy_unused),
+    .DWE                 (1'b0),
+    // Ports for dynamic phase shift
+    .PSCLK               (1'b0),
+    .PSEN                (1'b0),
+    .PSINCDEC            (1'b0),
+    .PSDONE              (psdone_unused),
+    // Other control and status signals
+    .LOCKED              (locked_int),
+    .CLKINSTOPPED        (clkinstopped_unused),
+    .CLKFBSTOPPED        (clkfbstopped_unused),
+    .PWRDWN              (1'b0),
+    .RST                 (reset_high));
+  assign reset_high = ~resetn; 
+
+// Clock Monitor clock assigning
+//--------------------------------------
+ // Output buffering
+  //-----------------------------------
+
+  BUFG clkf_buf
+   (.O (clkfbout_buf_clk_wiz_0),
+    .I (clkfbout_clk_wiz_0));
+
+
+
+
+
+
+  BUFG clkout1_buf
+   (.O   (clk_out1),
+    .I   (clk_out1_clk_wiz_0));
+
+
+
+
+endmodule
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
new file mode 100644
index 0000000..9305712
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
@@ -0,0 +1,58 @@
+
+# file: clk_wiz_0_ooc.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+#################
+#DEFAULT CLOCK CONSTRAINTS
+
+############################################################
+# Clock Period Constraints                                 #
+############################################################
+#create_clock -period 10.000 [get_ports clk_in1]
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
new file mode 100644
index 0000000..568f15f
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
@@ -0,0 +1,258 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+// Date        : Mon Feb 27 10:46:52 2023
+// Host        : LikeUE06 running 64-bit Linux Mint 20.3
+// Command     : write_verilog -force -mode funcsim
+//               /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+// Design      : clk_wiz_0
+// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
+//               or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device      : xc7a100tcsg324-1
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* NotValidForBitStream *)
+module clk_wiz_0
+   (clk_out1,
+    resetn,
+    clk_in1);
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+
+  (* IBUF_LOW_PWR *) wire clk_in1;
+  wire clk_out1;
+  wire resetn;
+
+  clk_wiz_0_clk_wiz inst
+       (.clk_in1(clk_in1),
+        .clk_out1(clk_out1),
+        .resetn(resetn));
+endmodule
+
+module clk_wiz_0_clk_wiz
+   (clk_out1,
+    resetn,
+    clk_in1);
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+
+  wire clk_in1;
+  wire clk_in1_clk_wiz_0;
+  wire clk_out1;
+  wire clk_out1_clk_wiz_0;
+  wire clkfbout_buf_clk_wiz_0;
+  wire clkfbout_clk_wiz_0;
+  wire reset_high;
+  wire resetn;
+  wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_LOCKED_UNCONNECTED;
+  wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED;
+  wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED;
+
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkf_buf
+       (.I(clkfbout_clk_wiz_0),
+        .O(clkfbout_buf_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  (* CAPACITANCE = "DONT_CARE" *) 
+  (* IBUF_DELAY_VALUE = "0" *) 
+  (* IFD_DELAY_VALUE = "AUTO" *) 
+  IBUF #(
+    .CCIO_EN("TRUE"),
+    .IOSTANDARD("DEFAULT")) 
+    clkin1_ibufg
+       (.I(clk_in1),
+        .O(clk_in1_clk_wiz_0));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  BUFG clkout1_buf
+       (.I(clk_out1_clk_wiz_0),
+        .O(clk_out1));
+  (* BOX_TYPE = "PRIMITIVE" *) 
+  MMCME2_ADV #(
+    .BANDWIDTH("OPTIMIZED"),
+    .CLKFBOUT_MULT_F(9.125000),
+    .CLKFBOUT_PHASE(0.000000),
+    .CLKFBOUT_USE_FINE_PS("FALSE"),
+    .CLKIN1_PERIOD(10.000000),
+    .CLKIN2_PERIOD(0.000000),
+    .CLKOUT0_DIVIDE_F(36.500000),
+    .CLKOUT0_DUTY_CYCLE(0.500000),
+    .CLKOUT0_PHASE(0.000000),
+    .CLKOUT0_USE_FINE_PS("FALSE"),
+    .CLKOUT1_DIVIDE(1),
+    .CLKOUT1_DUTY_CYCLE(0.500000),
+    .CLKOUT1_PHASE(0.000000),
+    .CLKOUT1_USE_FINE_PS("FALSE"),
+    .CLKOUT2_DIVIDE(1),
+    .CLKOUT2_DUTY_CYCLE(0.500000),
+    .CLKOUT2_PHASE(0.000000),
+    .CLKOUT2_USE_FINE_PS("FALSE"),
+    .CLKOUT3_DIVIDE(1),
+    .CLKOUT3_DUTY_CYCLE(0.500000),
+    .CLKOUT3_PHASE(0.000000),
+    .CLKOUT3_USE_FINE_PS("FALSE"),
+    .CLKOUT4_CASCADE("FALSE"),
+    .CLKOUT4_DIVIDE(1),
+    .CLKOUT4_DUTY_CYCLE(0.500000),
+    .CLKOUT4_PHASE(0.000000),
+    .CLKOUT4_USE_FINE_PS("FALSE"),
+    .CLKOUT5_DIVIDE(1),
+    .CLKOUT5_DUTY_CYCLE(0.500000),
+    .CLKOUT5_PHASE(0.000000),
+    .CLKOUT5_USE_FINE_PS("FALSE"),
+    .CLKOUT6_DIVIDE(1),
+    .CLKOUT6_DUTY_CYCLE(0.500000),
+    .CLKOUT6_PHASE(0.000000),
+    .CLKOUT6_USE_FINE_PS("FALSE"),
+    .COMPENSATION("ZHOLD"),
+    .DIVCLK_DIVIDE(1),
+    .IS_CLKINSEL_INVERTED(1'b0),
+    .IS_PSEN_INVERTED(1'b0),
+    .IS_PSINCDEC_INVERTED(1'b0),
+    .IS_PWRDWN_INVERTED(1'b0),
+    .IS_RST_INVERTED(1'b0),
+    .REF_JITTER1(0.010000),
+    .REF_JITTER2(0.010000),
+    .SS_EN("FALSE"),
+    .SS_MODE("CENTER_HIGH"),
+    .SS_MOD_PERIOD(10000),
+    .STARTUP_WAIT("FALSE")) 
+    mmcm_adv_inst
+       (.CLKFBIN(clkfbout_buf_clk_wiz_0),
+        .CLKFBOUT(clkfbout_clk_wiz_0),
+        .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED),
+        .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED),
+        .CLKIN1(clk_in1_clk_wiz_0),
+        .CLKIN2(1'b0),
+        .CLKINSEL(1'b1),
+        .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED),
+        .CLKOUT0(clk_out1_clk_wiz_0),
+        .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED),
+        .CLKOUT1(NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED),
+        .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED),
+        .CLKOUT2(NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED),
+        .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED),
+        .CLKOUT3(NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED),
+        .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED),
+        .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED),
+        .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED),
+        .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED),
+        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DCLK(1'b0),
+        .DEN(1'b0),
+        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+        .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]),
+        .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED),
+        .DWE(1'b0),
+        .LOCKED(NLW_mmcm_adv_inst_LOCKED_UNCONNECTED),
+        .PSCLK(1'b0),
+        .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED),
+        .PSEN(1'b0),
+        .PSINCDEC(1'b0),
+        .PWRDWN(1'b0),
+        .RST(reset_high));
+  LUT1 #(
+    .INIT(2'h1)) 
+    mmcm_adv_inst_i_1
+       (.I0(resetn),
+        .O(reset_high));
+endmodule
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
new file mode 100644
index 0000000..11648fa
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
@@ -0,0 +1,196 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+-- Date        : Mon Feb 27 10:46:52 2023
+-- Host        : LikeUE06 running 64-bit Linux Mint 20.3
+-- Command     : write_vhdl -force -mode funcsim
+--               /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
+--               synthesized. This netlist cannot be used for SDF annotated simulation.
+-- Device      : xc7a100tcsg324-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity clk_wiz_0_clk_wiz is
+  port (
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+end clk_wiz_0_clk_wiz;
+
+architecture STRUCTURE of clk_wiz_0_clk_wiz is
+  signal clk_in1_clk_wiz_0 : STD_LOGIC;
+  signal clk_out1_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_buf_clk_wiz_0 : STD_LOGIC;
+  signal clkfbout_clk_wiz_0 : STD_LOGIC;
+  signal reset_high : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
+  signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
+  attribute BOX_TYPE : string;
+  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE";
+  attribute CAPACITANCE : string;
+  attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
+  attribute IBUF_DELAY_VALUE : string;
+  attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
+  attribute IFD_DELAY_VALUE : string;
+  attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
+  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
+  attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
+begin
+clkf_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clkfbout_clk_wiz_0,
+      O => clkfbout_buf_clk_wiz_0
+    );
+clkin1_ibufg: unisim.vcomponents.IBUF
+    generic map(
+      CCIO_EN => "TRUE",
+      IOSTANDARD => "DEFAULT"
+    )
+        port map (
+      I => clk_in1,
+      O => clk_in1_clk_wiz_0
+    );
+clkout1_buf: unisim.vcomponents.BUFG
+     port map (
+      I => clk_out1_clk_wiz_0,
+      O => clk_out1
+    );
+mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
+    generic map(
+      BANDWIDTH => "OPTIMIZED",
+      CLKFBOUT_MULT_F => 9.125000,
+      CLKFBOUT_PHASE => 0.000000,
+      CLKFBOUT_USE_FINE_PS => false,
+      CLKIN1_PERIOD => 10.000000,
+      CLKIN2_PERIOD => 0.000000,
+      CLKOUT0_DIVIDE_F => 36.500000,
+      CLKOUT0_DUTY_CYCLE => 0.500000,
+      CLKOUT0_PHASE => 0.000000,
+      CLKOUT0_USE_FINE_PS => false,
+      CLKOUT1_DIVIDE => 1,
+      CLKOUT1_DUTY_CYCLE => 0.500000,
+      CLKOUT1_PHASE => 0.000000,
+      CLKOUT1_USE_FINE_PS => false,
+      CLKOUT2_DIVIDE => 1,
+      CLKOUT2_DUTY_CYCLE => 0.500000,
+      CLKOUT2_PHASE => 0.000000,
+      CLKOUT2_USE_FINE_PS => false,
+      CLKOUT3_DIVIDE => 1,
+      CLKOUT3_DUTY_CYCLE => 0.500000,
+      CLKOUT3_PHASE => 0.000000,
+      CLKOUT3_USE_FINE_PS => false,
+      CLKOUT4_CASCADE => false,
+      CLKOUT4_DIVIDE => 1,
+      CLKOUT4_DUTY_CYCLE => 0.500000,
+      CLKOUT4_PHASE => 0.000000,
+      CLKOUT4_USE_FINE_PS => false,
+      CLKOUT5_DIVIDE => 1,
+      CLKOUT5_DUTY_CYCLE => 0.500000,
+      CLKOUT5_PHASE => 0.000000,
+      CLKOUT5_USE_FINE_PS => false,
+      CLKOUT6_DIVIDE => 1,
+      CLKOUT6_DUTY_CYCLE => 0.500000,
+      CLKOUT6_PHASE => 0.000000,
+      CLKOUT6_USE_FINE_PS => false,
+      COMPENSATION => "ZHOLD",
+      DIVCLK_DIVIDE => 1,
+      IS_CLKINSEL_INVERTED => '0',
+      IS_PSEN_INVERTED => '0',
+      IS_PSINCDEC_INVERTED => '0',
+      IS_PWRDWN_INVERTED => '0',
+      IS_RST_INVERTED => '0',
+      REF_JITTER1 => 0.010000,
+      REF_JITTER2 => 0.010000,
+      SS_EN => "FALSE",
+      SS_MODE => "CENTER_HIGH",
+      SS_MOD_PERIOD => 10000,
+      STARTUP_WAIT => false
+    )
+        port map (
+      CLKFBIN => clkfbout_buf_clk_wiz_0,
+      CLKFBOUT => clkfbout_clk_wiz_0,
+      CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
+      CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
+      CLKIN1 => clk_in1_clk_wiz_0,
+      CLKIN2 => '0',
+      CLKINSEL => '1',
+      CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
+      CLKOUT0 => clk_out1_clk_wiz_0,
+      CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
+      CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
+      CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
+      CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
+      CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
+      CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
+      CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
+      CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
+      CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
+      CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
+      DADDR(6 downto 0) => B"0000000",
+      DCLK => '0',
+      DEN => '0',
+      DI(15 downto 0) => B"0000000000000000",
+      DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
+      DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
+      DWE => '0',
+      LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED,
+      PSCLK => '0',
+      PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
+      PSEN => '0',
+      PSINCDEC => '0',
+      PWRDWN => '0',
+      RST => reset_high
+    );
+mmcm_adv_inst_i_1: unisim.vcomponents.LUT1
+    generic map(
+      INIT => X"1"
+    )
+        port map (
+      I0 => resetn,
+      O => reset_high
+    );
+end STRUCTURE;
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.ALL;
+entity clk_wiz_0 is
+  port (
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+  attribute NotValidForBitStream : boolean;
+  attribute NotValidForBitStream of clk_wiz_0 : entity is true;
+end clk_wiz_0;
+
+architecture STRUCTURE of clk_wiz_0 is
+begin
+inst: entity work.clk_wiz_0_clk_wiz
+     port map (
+      clk_in1 => clk_in1,
+      clk_out1 => clk_out1,
+      resetn => resetn
+    );
+end STRUCTURE;
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
new file mode 100644
index 0000000..f319e5c
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
@@ -0,0 +1,20 @@
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+// Date        : Mon Feb 27 10:46:52 2023
+// Host        : LikeUE06 running 64-bit Linux Mint 20.3
+// Command     : write_verilog -force -mode synth_stub /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+// Design      : clk_wiz_0
+// Purpose     : Stub declaration of top-level module interface
+// Device      : xc7a100tcsg324-1
+// --------------------------------------------------------------------------------
+
+// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
+// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
+// Please paste the declaration into a Verilog source file or add the file as an additional source.
+module clk_wiz_0(clk_out1, resetn, clk_in1)
+/* synthesis syn_black_box black_box_pad_pin="clk_out1,resetn,clk_in1" */;
+  output clk_out1;
+  input resetn;
+  input clk_in1;
+endmodule
diff --git a/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
new file mode 100644
index 0000000..45381d7
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
@@ -0,0 +1,29 @@
+-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-- --------------------------------------------------------------------------------
+-- Tool Version: Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+-- Date        : Mon Feb 27 10:46:52 2023
+-- Host        : LikeUE06 running 64-bit Linux Mint 20.3
+-- Command     : write_vhdl -force -mode synth_stub /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+-- Design      : clk_wiz_0
+-- Purpose     : Stub declaration of top-level module interface
+-- Device      : xc7a100tcsg324-1
+-- --------------------------------------------------------------------------------
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+entity clk_wiz_0 is
+  Port ( 
+    clk_out1 : out STD_LOGIC;
+    resetn : in STD_LOGIC;
+    clk_in1 : in STD_LOGIC
+  );
+
+end clk_wiz_0;
+
+architecture stub of clk_wiz_0 is
+attribute syn_black_box : boolean;
+attribute black_box_pad_pin : string;
+attribute syn_black_box of stub : architecture is true;
+attribute black_box_pad_pin of stub : architecture is "clk_out1,resetn,clk_in1";
+begin
+end;
diff --git a/game.gen/sources_1/ip/clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt b/game.gen/sources_1/ip/clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt
new file mode 100755
index 0000000..02aca2c
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt
@@ -0,0 +1,286 @@
+2022.2:
+ * Version 6.0 (Rev. 11)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2022.1.2:
+ * Version 6.0 (Rev. 10)
+ * No changes
+
+2022.1.1:
+ * Version 6.0 (Rev. 10)
+ * No changes
+
+2022.1:
+ * Version 6.0 (Rev. 10)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2021.2.2:
+ * Version 6.0 (Rev. 9)
+ * No changes
+
+2021.2.1:
+ * Version 6.0 (Rev. 9)
+ * No changes
+
+2021.2:
+ * Version 6.0 (Rev. 9)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2021.1.1:
+ * Version 6.0 (Rev. 8)
+ * No changes
+
+2021.1:
+ * Version 6.0 (Rev. 8)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.3:
+ * Version 6.0 (Rev. 7)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.2.2:
+ * Version 6.0 (Rev. 6)
+ * No changes
+
+2020.2.1:
+ * Version 6.0 (Rev. 6)
+ * No changes
+
+2020.2:
+ * Version 6.0 (Rev. 6)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.1.1:
+ * Version 6.0 (Rev. 5)
+ * No changes
+
+2020.1:
+ * Version 6.0 (Rev. 5)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2019.2.2:
+ * Version 6.0 (Rev. 4)
+ * No changes
+
+2019.2.1:
+ * Version 6.0 (Rev. 4)
+ * No changes
+
+2019.2:
+ * Version 6.0 (Rev. 4)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2019.1.3:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1.2:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1.1:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1:
+ * Version 6.0 (Rev. 3)
+ * Bug Fix: Internal GUI fixes
+ * Other: New family support added
+
+2018.3.1:
+ * Version 6.0 (Rev. 2)
+ * No changes
+
+2018.3:
+ * Version 6.0 (Rev. 2)
+ * Bug Fix: Made input source independent for primary and secondary clock
+ * Other: New family support added
+
+2018.2:
+ * Version 6.0 (Rev. 1)
+ * Bug Fix: Removed vco freq check when Primitive is None
+ * Other: New family support added
+
+2018.1:
+ * Version 6.0
+ * Bug Fix: Bug fixes in Dynamic Reconfiguration feature and Write DRP feature
+ * Bug Fix: Bug fixes for connection issue for s_axi_aresetn pin in IPI
+ * Feature Enhancement: The default value of USE_PHASE_ALIGMENT is updated to false for UltraScale and UltraScale+ devices. Phase Alignment feature uses extra clock routes in UltraScale and UltraScale+ designs when MMCMs are used. These routing resources are wasted when user do not understand when phase alignment is really needed. Now, implementation tools can use these extra clock routing resources for high fanout signals.
+ * Feature Enhancement: A column "Max. freq of buffer" is added in the Output Clock table which shows the maximum frequency that the selected output buffer can support
+ * Other: DRCs added for invalid input values in Override mode
+
+2017.4:
+ * Version 5.4 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed for COMPENSATION mode as INTERNAL
+ * Bug Fix: Fixed issue in dynamic reconfiguration of fractional values of M in MMCME3, MMCME4
+
+2017.3:
+ * Version 5.4 (Rev. 2)
+ * General: Internal GUI changes. No effect on the customer design. Added support for aspartan7 devices
+
+2017.2:
+ * Version 5.4 (Rev. 1)
+ * General: Internal GUI changes. No effect on the customer design.
+
+2017.1:
+ * Version 5.4
+ * Port Change: Minor version upgrade. CLR pins are added to the pin list when selected buffer is BUFGCEDIV for ultrascale and ultrascale plus devices.
+ * Other: Added support for new zynq ultrascale plus devices.
+
+2016.4:
+ * Version 5.3 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed.
+
+2016.3:
+ * Version 5.3 (Rev. 2)
+ * Feature Enhancement: Added new option "Auto" under PRIMITIVE selection for ultrascale and above devices. This option allows the Wizard to instantiate appropriate primitive for the user inputs.
+ * Feature Enhancement: Added Matched Routing Option for better timing solutions.
+ * Feature Enhancement: Options 'Buffer' and 'Buffer_with_CE' are added to the buffer selection list.
+ * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
+ * Other: Added support for Spartan7 devices.
+
+2016.2:
+ * Version 5.3 (Rev. 1)
+ * Internal register bit update, no effect on customer designs.
+
+2016.1:
+ * Version 5.3
+ * Added Clock Monitor Feature as part of clocking wizard
+ * DRP registers can be directly written through AXI without resource utilization
+ * Changes to HDL library management to support Vivado IP simulation library
+
+2015.4.2:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4.1:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4:
+ * Version 5.2 (Rev. 1)
+ * Internal device family change, no functional changes
+
+2015.3:
+ * Version 5.2
+ * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
+ * Port Renaming tab is hidden in the GUI in IP Integrator as this feature is not supported
+ * Phase alignment feature is removed for ultrascale PLL as primitve has limited capabilities of supporting this feature
+ * When clocking wizard is targetted on a board part, the frequency values that gets propagated to primary and secondary clocks are displayed in floating number format
+ * Example design and simulation files are delivered in verilog only
+
+2015.2.1:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.2:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.1:
+ * Version 5.1 (Rev. 6)
+ * Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices
+ * Supported devices and production status are now determined automatically, to simplify support for future devices
+
+2014.4.1:
+ * Version 5.1 (Rev. 5)
+ * No changes
+
+2014.4:
+ * Version 5.1 (Rev. 5)
+ * Internal device family change, no functional changes
+ * updates related to the source selection based on board interface for zed board
+
+2014.3:
+ * Version 5.1 (Rev. 4)
+ * Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface
+
+2014.2:
+ * Version 5.1 (Rev. 3)
+ * Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065
+
+2014.1:
+ * Version 5.1 (Rev. 2)
+ * Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock
+ * Internal device family name change, no functional changes
+
+2013.4:
+ * Version 5.1 (Rev. 1)
+ * Added support for Ultrascale devices
+ * Updated Board Flow GUI to select the clock interfaces
+ * Fixed issue with Stub file parameter error for BUFR output driver
+
+2013.3:
+ * Version 5.1
+ * Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL
+ * Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies
+ * Fixed precision issues between displayed and actual frequencies
+ * Added tool tips to GUI
+ * Added Jitter and Phase error values to IP properties
+ * Added support for Cadence IES and Synopsys VCS simulators
+ * Reduced warnings in synthesis and simulation
+ * Enhanced support for IP Integrator
+
+2013.2:
+ * Version 5.0 (Rev. 1)
+ * Fixed issue with clock constraints for multiple instances of clocking wizard
+ * Updated Life-Cycle status of devices
+
+2013.1:
+ * Version 5.0
+ * Lower case ports for Verilog
+ * Added Safe Clock Startup and Clock Sequencing
+
+(c) Copyright 2008 - 2022 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
new file mode 100755
index 0000000..481cd2d
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
@@ -0,0 +1,671 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   7 Series || MMCM
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/12 - Updating lookup_low/lookup_high (CR)
+//			4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1011_00,
+         10'b0010_1101_00,
+         10'b0010_0011_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0100_1111_00,
+         10'b0101_1011_00,
+         10'b0111_0111_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_1001_00,
+         10'b1101_0001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0111_0001_00,
+         10'b0111_0001_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0110_0001_00,
+         10'b0110_0001_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0100_1010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
new file mode 100755
index 0000000..d34dbe7
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
@@ -0,0 +1,531 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      PLLE2 DRP
+//    Module Name:      plle2_drp_func.h
+//    Version:          2.00
+//    Target Devices:   7 Series || PLL
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      Updated for CR663854.
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+`ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+`endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+`ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+`endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+`ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+`endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+`ifdef DEBUG
+      $display("temp: %h", temp);
+`endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1101_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0011_0111_00,
+         10'b0011_0111_00,
+         10'b0101_1111_00,
+         10'b0111_1111_00,
+         10'b0111_1011_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_1101_00,
+         10'b1111_0111_00,
+         10'b1111_1011_00,
+         10'b1111_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+`endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+`ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+`endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
new file mode 100755
index 0000000..811d433
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
@@ -0,0 +1,671 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   UltraScale Architecture || MMCM 
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_0011_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_1001_11,
+         10'b0010_1001_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1011_11,
+         10'b0011_1111_11,
+         10'b0100_1111_11,
+         10'b0100_1111_11,
+         10'b0101_1111_11,
+         10'b0110_1111_11,
+         10'b0111_1111_11,
+         10'b0111_1111_11,
+         10'b1100_1111_11,
+         10'b1101_1111_11,
+         10'b1110_1111_11,
+         10'b1111_1111_11,
+         10'b1111_1111_11,
+         10'b1110_0111_11,
+         10'b1110_1011_11,
+         10'b1111_0111_11,
+         10'b1111_1011_11,
+         10'b1111_1011_11,
+         10'b1110_1101_11,
+         10'b1111_1101_11,
+         10'b1111_1101_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
new file mode 100755
index 0000000..9439f23
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
@@ -0,0 +1,530 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             6/15/2015
+//    Design Name:      PLLE3 DRP
+//    Module Name:      plle3_drp_func.h
+//    Version:          1.10
+//    Target Devices:   UltraScale Architecture
+//    Tool versions:    2015.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                         PM_Rise bits have been removed for PLLE3
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [759:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1  
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001 //19
+         
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((19-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 19
+   );
+   
+   reg [639:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0010_1111_01, //1
+         10'b0010_0011_11, //2
+         10'b0011_0011_11, //3
+         10'b0010_0001_11, //4
+         10'b0010_0110_11, //5
+         10'b0010_1010_11, //6
+         10'b0010_1010_11, //7
+         10'b0011_0110_11, //8
+         10'b0010_1100_11, //9
+         10'b0010_1100_11, //10
+         10'b0010_1100_11, //11
+         10'b0010_0010_11, //12
+         10'b0011_1100_11, //13
+         10'b0011_1100_11, //14
+         10'b0011_1100_11, //15
+         10'b0011_1100_11, //16
+         10'b0011_0010_11, //17
+         10'b0011_0010_11, //18
+         10'b0011_0010_11 //19
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((19-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" // will need to divide the doubled clock VCO clock frequency by // 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will // need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000);//Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+//			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
new file mode 100755
index 0000000..ebf87be
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
@@ -0,0 +1,861 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa. Updated by Ralf Krueger
+//    Date:             7/30/2014
+//    Design Name:      MMCME4 DRP
+//    Module Name:      mmcme4_drp_func.h
+//    Version:          1.31
+//    Target Devices:   UltraScale Plus Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for UltraScal+ MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function
+//              2/28/17 - Updated for Ultrascale Plus
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages during elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+// point numbers.  These should not be modified, they are for development only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+// greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+// fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      // of 1 would modify the fractional so that instead of being a .16
+      // fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+// of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//       is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      // assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_phase-divide:%d,phase:%d", divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [7:0] divide // Max M divide is 128 in UltrascalePlus
+   );
+   
+   reg [5119:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=1 (not allowed)
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=2
+         40'b01000_01000_1111101000_1111101001_0000000001,      // M=3
+         40'b01011_01011_1111101000_1111101001_0000000001,      // M=4
+         40'b01110_01110_1111101000_1111101001_0000000001,      // M=5
+         40'b10001_10001_1111101000_1111101001_0000000001,      // M=6
+         40'b10011_10011_1111101000_1111101001_0000000001,      // M=7
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,      // M=127
+         40'b11111_11111_0011111010_1111101001_0000000001       // M=128
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((128-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [7:0] divide, //  input [7:0] divide // Max M divide is 128 in UltraScalePlus
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [1279:0] lookup_low;
+   reg [1279:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+       	10'b0011_1111_11,    // M=1 - not legal
+       	10'b0011_1111_11,    // M=2
+       	10'b0011_1101_11,    // M=3
+       	10'b0011_0101_11,    // M=4
+       	10'b0011_1001_11,    // M=5
+       	10'b0011_1110_11,    // M=6
+       	10'b0011_1110_11,    // M=7
+       	10'b0011_0001_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0100_0110_11,
+       	10'b0011_1100_11,
+       	10'b1110_0110_11,
+       	10'b1111_0110_11,
+       	10'b1110_1010_11,
+       	10'b1110_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11, // M=127
+       	10'b1101_1000_11  // M=128
+};										
+      
+      lookup_high = {
+         // CP_RES_LFHF
+       10'b0111_1111_11,    // M=1 - not legal
+       10'b0111_1111_11,    // M=2
+       10'b1110_1111_11,    // M=3
+       10'b1111_1111_11,    // M=4
+       10'b1111_1011_11,    // M=5
+       10'b1111_1101_11,    // M=6
+       10'b1111_0011_11,    // M=7
+       10'b1110_0101_11,
+       10'b1111_1001_11,
+       10'b1111_1001_11,
+       10'b1110_1110_11,
+       10'b1111_1110_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1110_0110_11,
+       10'b1110_0110_11,
+       10'b1111_0110_11,
+       10'b1110_1010_11,
+       10'b1110_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11     // M=128
+};
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((128-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((128-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 100,000. Not programmable in fractional
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || (clkout0_divide_int == 2 && clkout0_divide_frac == 1);   //IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);    //IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], 2'b00, dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
new file mode 100755
index 0000000..1d2dc69
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
@@ -0,0 +1,536 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Ralf Krueger, updated for Ultrascale+ 
+//    Date:             6/15/2015
+//    Design Name:      PLLE4 DRP
+//    Module Name:      plle4_drp_func.h
+//    Version:          2.0
+//    Target Devices:   UltraScale+ Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                           M_Rise bits have been removed for PLLE3
+//	Revision Notes:	2/28/17 - pll_filter_lookup and CPRES updated for 
+//                           Ultrascale+ and for max M of 21
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [839:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1 illegal in Ultrascale+
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001, //19
+         40'b11111_11111_0111110100_1111101001_0000000001, //20
+         40'b11111_11111_0111011011_1111101001_0000000001  //21
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((21-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [209:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0011_0111_11, //1  not legal in Ultrascale+
+         10'b0011_0111_11, //2
+         10'b0011_0011_11, //3
+         10'b0011_1001_11, //4
+         10'b0011_0001_11, //5
+         10'b0100_1110_11, //6
+         10'b0011_0110_11, //7
+         10'b0011_1010_11, //8
+         10'b0111_1001_11, //9
+         10'b0111_1001_11, //10
+         10'b0101_0110_11, //11
+         10'b1100_0101_11, //12
+         10'b0101_1010_11, //13
+         10'b0110_0110_11, //14
+         10'b0110_1010_11, //15
+         10'b0111_0110_11, //16
+         10'b1111_0101_11, //17
+         10'b1100_0110_11, //18
+         10'b1110_0001_11, //19
+         10'b1101_0110_11, //20
+         10'b1111_0001_11  //21
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((21-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" 
+// will need to divide the doubled clock VCO clock frequency by 
+// 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will 
+// need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000); //Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.v b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.v
new file mode 100644
index 0000000..b0f48d8
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.v
@@ -0,0 +1,90 @@
+
+// file: clk_wiz_1.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1__25.00000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+(* CORE_GENERATION_INFO = "clk_wiz_1,clk_wiz_v6_0_11_0_0,{component_name=clk_wiz_1,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=true,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *)
+
+module clk_wiz_1 
+ (
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  input         resetn,
+ // Clock in ports
+  input         clk_in1
+ );
+
+  clk_wiz_1_clk_wiz inst
+  (
+  // Clock out ports  
+  .clk_out1(clk_out1),
+  // Status and control signals               
+  .resetn(resetn), 
+ // Clock in ports
+  .clk_in1(clk_in1)
+  );
+
+endmodule
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.vho b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.vho
new file mode 100644
index 0000000..3bdc63c
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1__25.00000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_1
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  resetn             : in     std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_1
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   resetn => resetn,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc
new file mode 100644
index 0000000..71b3065
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc
@@ -0,0 +1,60 @@
+
+# file: clk_wiz_1.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+# Input clock periods. These duplicate the values entered for the
+# input clocks. You can use these to time your system. If required
+# commented constraints can be used in the top level xdc 
+#----------------------------------------------------------------
+# Connect to input port when clock capable pin is selected for input
+create_clock -period 10.000 [get_ports clk_in1]
+set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.100
+
+
+set_property PHASESHIFT_MODE WAVEFORM [get_cells -hierarchical *adv*]
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xml b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xml
new file mode 100644
index 0000000..6377405
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1.xml
@@ -0,0 +1,4945 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:component xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+  <spirit:vendor>xilinx.com</spirit:vendor>
+  <spirit:library>customized_ip</spirit:library>
+  <spirit:name>clk_wiz_1</spirit:name>
+  <spirit:version>1.0</spirit:version>
+  <spirit:busInterfaces>
+    <spirit:busInterface>
+      <spirit:name>s_axi_lite</spirit:name>
+      <spirit:displayName>S_AXI_LITE</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="aximm_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_araddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>ARVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_arvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWADDR</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awaddr</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>AWVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_awvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>BVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_bvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RRESP</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rresp</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_rvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WDATA</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wdata</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WREADY</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wready</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WSTRB</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wstrb</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>WVALID</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_wvalid</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>DATA_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PROTOCOL</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL">AXI4LITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ID_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ADDR_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>AWUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ARUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BUSER_WIDTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>READ_WRITE_MODE</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.READ_WRITE_MODE">READ_WRITE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_LOCK</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_PROT</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_CACHE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_QOS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_REGION</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_WSTRB</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_BRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>HAS_RRESP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>SUPPORTS_NARROW_BURST</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.SUPPORTS_NARROW_BURST">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_OUTSTANDING</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_OUTSTANDING">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>MAX_BURST_LENGTH</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.MAX_BURST_LENGTH">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_READ_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_READ_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>NUM_WRITE_THREADS</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.NUM_WRITE_THREADS">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>RUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>WUSER_BITS_PER_BYTE</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_BITS_PER_BYTE">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_LITE.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_lite" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_aclk</spirit:name>
+      <spirit:displayName>s_axi_aclk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aclk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_BUSIF">s_axi_lite</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_RESET">s_axi_aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_ACLK.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>ref_clk</spirit:name>
+      <spirit:displayName>ref_clk</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>ref_clk</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.REF_CLK.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.REF_CLK.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.ref_clk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>s_axi_resetn</spirit:name>
+      <spirit:displayName>S_AXI_RESETN</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>s_axi_aresetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.ASSOCIATED_RESET">aresetn</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.S_AXI_RESETN.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.s_axi_resetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>intr</spirit:name>
+      <spirit:displayName>Intr</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="interrupt_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>INTERRUPT</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>interrupt</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>SENSITIVITY</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.SENSITIVITY">LEVEL_HIGH</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PortWidth</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.INTR.PortWidth">1</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.intr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN1_D</spirit:name>
+      <spirit:displayName>CLK_IN1_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN1_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN1_D" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLK_IN2_D</spirit:name>
+      <spirit:displayName>CLK_IN2_D</spirit:displayName>
+      <spirit:description>Differential Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in2_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.BOARD.ASSOCIATED_PARAM">CLK_IN2_BOARD_INTERFACE</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:enablement>
+                <xilinx:presence>required</xilinx:presence>
+              </xilinx:enablement>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLK_IN2_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLK_IN2_D" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_IN_D</spirit:name>
+      <spirit:displayName>CLKFB_IN_D</spirit:displayName>
+      <spirit:description>Differential Feedback Clock input</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_in_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_IN_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_IN_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>CLKFB_OUT_D</spirit:name>
+      <spirit:displayName>CLKFB_OUT_D</spirit:displayName>
+      <spirit:description>Differential Feeback Clock Output</spirit:description>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="interface" spirit:name="diff_clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_N</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_n</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_P</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clkfb_out_p</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>CAN_DEBUG</spirit:name>
+          <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.CAN_DEBUG">false</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLKFB_OUT_D.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.CLKFB_OUT_D" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>reset</spirit:name>
+      <spirit:displayName>reset</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>reset</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.POLARITY">ACTIVE_HIGH</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESET.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.RESET.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>resetn</spirit:name>
+      <spirit:displayName>resetn</spirit:displayName>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="reset_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>RST</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>resetn</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>POLARITY</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.POLARITY">ACTIVE_LOW</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.RESETN.BOARD.ASSOCIATED_PARAM">RESET_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.RESETN.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+      <spirit:vendorExtensions>
+        <xilinx:busInterfaceInfo>
+          <xilinx:enablement>
+            <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="BUSIF_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">true</xilinx:isEnabled>
+          </xilinx:enablement>
+        </xilinx:busInterfaceInfo>
+      </spirit:vendorExtensions>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_IN1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:slave/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_IN1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_in1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>BOARD.ASSOCIATED_PARAM</spirit:name>
+          <spirit:value spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.BOARD.ASSOCIATED_PARAM">CLK_IN1_BOARD_INTERFACE</spirit:value>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+    <spirit:busInterface>
+      <spirit:name>clock_CLK_OUT1</spirit:name>
+      <spirit:busType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock" spirit:version="1.0"/>
+      <spirit:abstractionType spirit:vendor="xilinx.com" spirit:library="signal" spirit:name="clock_rtl" spirit:version="1.0"/>
+      <spirit:master/>
+      <spirit:portMaps>
+        <spirit:portMap>
+          <spirit:logicalPort>
+            <spirit:name>CLK_OUT1</spirit:name>
+          </spirit:logicalPort>
+          <spirit:physicalPort>
+            <spirit:name>clk_out1</spirit:name>
+          </spirit:physicalPort>
+        </spirit:portMap>
+      </spirit:portMaps>
+      <spirit:parameters>
+        <spirit:parameter>
+          <spirit:name>FREQ_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>FREQ_TOLERANCE_HZ</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_TOLERANCE_HZ">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>PHASE</spirit:name>
+          <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.PHASE">0.0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>CLK_DOMAIN</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.CLK_DOMAIN"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_BUSIF</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_BUSIF"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_PORT</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_PORT"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>ASSOCIATED_RESET</spirit:name>
+          <spirit:value spirit:resolve="generated" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.ASSOCIATED_RESET"/>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>none</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+        <spirit:parameter>
+          <spirit:name>INSERT_VIP</spirit:name>
+          <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.INSERT_VIP">0</spirit:value>
+          <spirit:vendorExtensions>
+            <xilinx:parameterInfo>
+              <xilinx:parameterUsage>simulation.rtl</xilinx:parameterUsage>
+            </xilinx:parameterInfo>
+          </spirit:vendorExtensions>
+        </spirit:parameter>
+      </spirit:parameters>
+    </spirit:busInterface>
+  </spirit:busInterfaces>
+  <spirit:model>
+    <spirit:views>
+      <spirit:view>
+        <spirit:name>xilinx_elaborateports</spirit:name>
+        <spirit:displayName>Elaborate Ports</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:elaborate.ports</spirit:envIdentifier>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:1af7a5db</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_vhdlinstantiationtemplate</spirit:name>
+        <spirit:displayName>VHDL Instantiation Template</spirit:displayName>
+        <spirit:envIdentifier>vhdlSource:vivado.xilinx.com:synthesis.template</spirit:envIdentifier>
+        <spirit:language>vhdl</spirit:language>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesis</spirit:name>
+        <spirit:displayName>Synthesis</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesis_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_synthesisconstraints</spirit:name>
+        <spirit:displayName>Synthesis Constraints</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis.constraints</spirit:envIdentifier>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesynthesiswrapper</spirit:name>
+        <spirit:displayName>Synthesis Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:synthesis.wrapper</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_1</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagebehavioralsimulation</spirit:name>
+        <spirit:displayName>Simulation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_v6_0_11</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:5ce79f6e</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_anylanguagesimulationwrapper</spirit:name>
+        <spirit:displayName>Simulation Wrapper</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:simulation.wrapper</spirit:envIdentifier>
+        <spirit:modelName>clk_wiz_1</spirit:modelName>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:5ce79f6e</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_implementation</spirit:name>
+        <spirit:displayName>Implementation</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:implementation</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_implementation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+      <spirit:view>
+        <spirit:name>xilinx_versioninformation</spirit:name>
+        <spirit:displayName>Version Information</spirit:displayName>
+        <spirit:envIdentifier>:vivado.xilinx.com:docs.versioninfo</spirit:envIdentifier>
+        <spirit:fileSetRef>
+          <spirit:localName>xilinx_versioninformation_view_fileset</spirit:localName>
+        </spirit:fileSetRef>
+        <spirit:parameters>
+          <spirit:parameter>
+            <spirit:name>GENtimestamp</spirit:name>
+            <spirit:value>Mon Feb 27 11:44:42 UTC 2023</spirit:value>
+          </spirit:parameter>
+          <spirit:parameter>
+            <spirit:name>outputProductCRC</spirit:name>
+            <spirit:value>9:028acb64</spirit:value>
+          </spirit:parameter>
+        </spirit:parameters>
+      </spirit:view>
+    </spirit:views>
+    <spirit:ports>
+      <spirit:port>
+        <spirit:name>s_axi_aclk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aclk" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_aresetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_aresetn" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awaddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awaddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_awready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_awready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wstrb</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) div 8) - 1)">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wstrb" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_wready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_wready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_bready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_bready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_araddr</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH&apos;)) - 1)">10</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_araddr" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_arready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_arready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rdata</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long" spirit:resolve="dependent" spirit:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH&apos;)) - 1)">31</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rdata" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rresp</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">1</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rresp" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rvalid</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rvalid" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>s_axi_rready</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.s_axi_rready" xilinx:dependency="spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_p" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in1_n" xilinx:dependency="((spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_PRIM_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_p" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in2_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_in2_n" xilinx:dependency="(((spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_clock_capable_pin&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_SECONDARY_SOURCE&apos;))=&quot;Differential_non_clock_pin&quot;)) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER&apos;))=1))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_in_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_in_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_p</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_p" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clkfb_out_n</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clkfb_out_n" xilinx:dependency="((((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))!=&quot;FDBK_AUTO&quot;) or (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;))) or ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;)) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_FEEDBACK_SOURCE&apos;))=&quot;FDBK_AUTO_OFFCHIP&quot;) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING&apos;))=&quot;DIFF&quot;) and ((spirit:decode(id(&apos;MODELPARAM_VALUE.C_MMCM_COMPENSATION&apos;))!=&quot;INTERNAL&quot;))))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>reset</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.reset" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=0) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>resetn</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.resetn" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_USE_RESET&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_RESET_LOW&apos;))=1) and (not spirit:decode(id(&apos;MODELPARAM_VALUE.C_INTERFACE_SELECTION&apos;)))">true</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>ref_clk</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.ref_clk" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_stop</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_stop" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_glitch</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_glitch" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>interrupt</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.interrupt" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_oor</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:vector>
+            <spirit:left spirit:format="long">3</spirit:left>
+            <spirit:right spirit:format="long">0</spirit:right>
+          </spirit:vector>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic_vector</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.clk_oor" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk0</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk0" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL0&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk1" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_Enable_PLL1&apos;))=0)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk2</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk2" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>user_clk3</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+          <spirit:driver>
+            <spirit:defaultValue spirit:format="long">0</spirit:defaultValue>
+          </spirit:driver>
+        </spirit:wire>
+        <spirit:vendorExtensions>
+          <xilinx:portInfo>
+            <xilinx:enablement>
+              <xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PORT_ENABLEMENT.user_clk3" xilinx:dependency="(spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR&apos;))=1) and (spirit:decode(id(&apos;MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3&apos;))=1)">false</xilinx:isEnabled>
+            </xilinx:enablement>
+          </xilinx:portInfo>
+        </spirit:vendorExtensions>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_in1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>in</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+      <spirit:port>
+        <spirit:name>clk_out1</spirit:name>
+        <spirit:wire>
+          <spirit:direction>out</spirit:direction>
+          <spirit:wireTypeDefs>
+            <spirit:wireTypeDef>
+              <spirit:typeName>std_logic</spirit:typeName>
+              <spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
+              <spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
+            </spirit:wireTypeDef>
+          </spirit:wireTypeDefs>
+        </spirit:wire>
+      </spirit:port>
+    </spirit:ports>
+    <spirit:modelParameters>
+      <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_USED" spirit:order="194">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ0</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ0" spirit:order="1194">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="string">
+        <spirit:name>C_AUTO_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_AUTO_PRIMITIVE" spirit:order="1195">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ1" spirit:order="1195">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ2" spirit:order="1196">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USER_CLK_FREQ3</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USER_CLK_FREQ3" spirit:order="1197">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLOCK_MONITOR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLOCK_MONITOR" spirit:order="1200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK0" spirit:order="1201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK1" spirit:order="1202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK2</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK2" spirit:order="1203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_USER_CLOCK3</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_USER_CLOCK3" spirit:order="1204">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL0</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL0" spirit:order="1205">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_Enable_PLL1</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_Enable_PLL1" spirit:order="1206">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_REF_CLK_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_REF_CLK_FREQ" spirit:order="1209">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PRECISION</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRECISION" spirit:order="1209">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_USED" spirit:order="195">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_USED" spirit:order="196">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_USED" spirit:order="197">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_USED" spirit:order="198">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_USED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_USED" spirit:order="199">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT1_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT1_BAR" spirit:order="200">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT2_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT2_BAR" spirit:order="201">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT3_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT3_BAR" spirit:order="202">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKOUT4_BAR</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKOUT4_BAR" spirit:order="203">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>c_component_name</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_component_name">clk_wiz_1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLATFORM</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLATFORM" spirit:order="204">UNKNOWN</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREQ_SYNTH</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREQ_SYNTH" spirit:order="205">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_PHASE_ALIGNMENT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_PHASE_ALIGNMENT" spirit:order="206">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_JITTER" spirit:order="207">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_JITTER" spirit:order="208">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_JITTER_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_JITTER_SEL" spirit:order="209">No_Jitter</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_POWER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_POWER" spirit:order="210">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MIN_O_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MIN_O_JITTER" spirit:order="211">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_MAX_I_JITTER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_MAX_I_JITTER" spirit:order="212">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_PHASE_SHIFT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_PHASE_SHIFT" spirit:order="213">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OPTIMIZE_CLOCKING_STRUCTURE_EN</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OPTIMIZE_CLOCKING_STRUCTURE_EN" spirit:order="214">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_SWITCHOVER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_SWITCHOVER" spirit:order="214">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_DYN_RECONFIG</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DYN_RECONFIG" spirit:order="215">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SPREAD_SPECTRUM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SPREAD_SPECTRUM" spirit:order="216">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FAST_SIMULATION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FAST_SIMULATION" spirit:order="217">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMTYPE_SEL</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMTYPE_SEL" spirit:order="218">AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLK_VALID</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLK_VALID" spirit:order="219">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_FREQ" spirit:order="220">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_IN_TIMEPERIOD" spirit:order="220.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_IN_FREQ_UNITS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_IN_FREQ_UNITS" spirit:order="221">Units_MHz</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_FREQ" spirit:order="222">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_IN_TIMEPERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_IN_TIMEPERIOD" spirit:order="222.001">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FEEDBACK_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FEEDBACK_SOURCE" spirit:order="223">FDBK_AUTO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIM_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIM_SOURCE" spirit:order="224">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PHASESHIFT_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PHASESHIFT_MODE" spirit:order="2240">WAVEFORM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_SOURCE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_SOURCE" spirit:order="225">Single_ended_clock_capable_pin</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_SIGNALING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_SIGNALING" spirit:order="226">SINGLE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_RESET</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_RESET" spirit:order="227">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_RESET_LOW</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_LOW" spirit:order="408">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_LOCKED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_LOCKED" spirit:order="228">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_INCLK_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_INCLK_STOPPED" spirit:order="229">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLKFB_STOPPED</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLKFB_STOPPED" spirit:order="230">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_POWER_DOWN</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_POWER_DOWN" spirit:order="231">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_STATUS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_STATUS" spirit:order="232">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_FREEZE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_FREEZE" spirit:order="233">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_NUM_OUT_CLKS</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_NUM_OUT_CLKS" spirit:order="234">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DRIVES" spirit:order="235">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DRIVES" spirit:order="236">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DRIVES" spirit:order="237">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DRIVES" spirit:order="238">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DRIVES" spirit:order="239">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DRIVES" spirit:order="240">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DRIVES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DRIVES" spirit:order="241">BUFG</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW0</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW0" spirit:order="242">Input Clock   Freq (MHz)    Input Jitter (UI)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW1" spirit:order="243">__primary_________100.000____________0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INCLK_SUM_ROW2" spirit:order="244">no_secondary_input_clock </spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0A</spirit:name>
+        <spirit:displayName>C Outclk Sum Row0a</spirit:displayName>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0A" spirit:order="245"> Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW0B</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW0B" spirit:order="246">  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW1" spirit:order="247">clk_out1__25.00000______0.000______50.0______181.828____104.359</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW2" spirit:order="248">no_CLK_OUT2_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW3" spirit:order="249">no_CLK_OUT3_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW4" spirit:order="250">no_CLK_OUT4_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW5" spirit:order="251">no_CLK_OUT5_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW6" spirit:order="252">no_CLK_OUT6_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_OUTCLK_SUM_ROW7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OUTCLK_SUM_ROW7" spirit:order="253">no_CLK_OUT7_output</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="254">25.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="255">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="256">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="257">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="258">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="259">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="260">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_PHASE" spirit:order="261">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_PHASE" spirit:order="262">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_PHASE" spirit:order="263">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_PHASE" spirit:order="264">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_PHASE" spirit:order="265">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_PHASE" spirit:order="266">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_PHASE" spirit:order="267">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="268">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="269">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="270">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="271">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="272">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="273">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="274">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_OUT_FREQ" spirit:order="275">25.00000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_OUT_FREQ" spirit:order="276">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_OUT_FREQ" spirit:order="277">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_OUT_FREQ" spirit:order="278">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_OUT_FREQ" spirit:order="279">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_OUT_FREQ" spirit:order="280">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_OUT_FREQ</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_OUT_FREQ" spirit:order="281">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_PHASE" spirit:order="282">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_PHASE" spirit:order="283">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_PHASE" spirit:order="284">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_PHASE" spirit:order="285">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_PHASE" spirit:order="286">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_PHASE" spirit:order="287">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_PHASE" spirit:order="288">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_DUTY_CYCLE" spirit:order="289">50.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_DUTY_CYCLE" spirit:order="290">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_DUTY_CYCLE" spirit:order="291">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_DUTY_CYCLE" spirit:order="292">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_DUTY_CYCLE" spirit:order="293">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_DUTY_CYCLE" spirit:order="294">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_DUTY_CYCLE" spirit:order="295">50.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_SAFE_CLOCK_STARTUP</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_SAFE_CLOCK_STARTUP" spirit:order="500">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_USE_CLOCK_SEQUENCING</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_CLOCK_SEQUENCING" spirit:order="501">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_SEQUENCE_NUMBER" spirit:order="502">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_SEQUENCE_NUMBER" spirit:order="503">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_SEQUENCE_NUMBER" spirit:order="504">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_SEQUENCE_NUMBER" spirit:order="505">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_SEQUENCE_NUMBER" spirit:order="506">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_SEQUENCE_NUMBER" spirit:order="507">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_SEQUENCE_NUMBER" spirit:order="508">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_NOTES" spirit:order="296">None</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_BANDWIDTH" spirit:order="297">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_MULT_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_MULT_F" spirit:order="298">9.125</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN1_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN1_PERIOD" spirit:order="299">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKIN2_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKIN2_PERIOD" spirit:order="300">10.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_CASCADE</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_CASCADE" spirit:order="301">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLOCK_HOLD</spirit:name>
+        <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLOCK_HOLD" spirit:order="302">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_COMPENSATION" spirit:order="303">ZHOLD</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_MMCM_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_DIVCLK_DIVIDE" spirit:order="304">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER1</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER1" spirit:order="305">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_REF_JITTER2</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_REF_JITTER2" spirit:order="306">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_STARTUP_WAIT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_STARTUP_WAIT" spirit:order="307">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DIVIDE_F" spirit:order="308">36.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DIVIDE" spirit:order="309">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DIVIDE" spirit:order="310">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DIVIDE" spirit:order="311">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DIVIDE" spirit:order="312">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DIVIDE" spirit:order="313">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DIVIDE" spirit:order="314">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="315">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="316">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="317">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="318">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="319">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="320">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="321">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_PHASE" spirit:order="322">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_PHASE" spirit:order="323">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_PHASE" spirit:order="324">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_PHASE" spirit:order="325">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_PHASE" spirit:order="326">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_PHASE" spirit:order="327">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_PHASE" spirit:order="328">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_PHASE" spirit:order="329">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="330">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT0_USE_FINE_PS" spirit:order="331">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT1_USE_FINE_PS" spirit:order="332">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT2_USE_FINE_PS" spirit:order="333">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT3_USE_FINE_PS" spirit:order="334">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT4_USE_FINE_PS" spirit:order="335">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT5_USE_FINE_PS" spirit:order="336">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCM_CLKOUT6_USE_FINE_PS" spirit:order="337">FALSE</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_NOTES</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_NOTES" spirit:order="338">No notes</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_BANDWIDTH</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_BANDWIDTH" spirit:order="339">OPTIMIZED</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLK_FEEDBACK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLK_FEEDBACK" spirit:order="340">CLKFBOUT</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKFBOUT_MULT</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_MULT" spirit:order="341">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKIN_PERIOD</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKIN_PERIOD" spirit:order="342">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_COMPENSATION</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_COMPENSATION" spirit:order="343">SYSTEM_SYNCHRONOUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_DIVCLK_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_DIVCLK_DIVIDE" spirit:order="344">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_REF_JITTER</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_REF_JITTER" spirit:order="345">0.010</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT0_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DIVIDE" spirit:order="346">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT1_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DIVIDE" spirit:order="347">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT2_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DIVIDE" spirit:order="348">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT3_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DIVIDE" spirit:order="349">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT4_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DIVIDE" spirit:order="350">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_PLL_CLKOUT5_DIVIDE</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DIVIDE" spirit:order="351">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_DUTY_CYCLE" spirit:order="352">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_DUTY_CYCLE" spirit:order="353">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_DUTY_CYCLE" spirit:order="354">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_DUTY_CYCLE" spirit:order="355">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_DUTY_CYCLE" spirit:order="356">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_DUTY_CYCLE" spirit:order="357">0.500</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKFBOUT_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKFBOUT_PHASE" spirit:order="358">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT0_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT0_PHASE" spirit:order="359">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT1_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT1_PHASE" spirit:order="360">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT2_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT2_PHASE" spirit:order="361">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT3_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT3_PHASE" spirit:order="362">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT4_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT4_PHASE" spirit:order="363">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLL_CLKOUT5_PHASE</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLL_CLKOUT5_PHASE" spirit:order="364">0.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLOCK_MGR_TYPE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLOCK_MGR_TYPE" spirit:order="365">NA</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_MMCM</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_MMCM" spirit:order="366">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_OVERRIDE_PLL</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_OVERRIDE_PLL" spirit:order="367">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMARY_PORT" spirit:order="368">clk_in1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SECONDARY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SECONDARY_PORT" spirit:order="369">clk_in2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT1_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT1_PORT" spirit:order="370">clk_out1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT2_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT2_PORT" spirit:order="371">clk_out2</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT3_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT3_PORT" spirit:order="372">clk_out3</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT4_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT4_PORT" spirit:order="373">clk_out4</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT5_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT5_PORT" spirit:order="374">clk_out5</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT6_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT6_PORT" spirit:order="375">clk_out6</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_OUT7_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_OUT7_PORT" spirit:order="376">clk_out7</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_RESET_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_RESET_PORT" spirit:order="377">resetn</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCKED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCKED_PORT" spirit:order="378">locked</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_PORT" spirit:order="379">clkfb_in</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_P_PORT" spirit:order="380">clkfb_in_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_IN_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_IN_N_PORT" spirit:order="381">clkfb_in_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_PORT" spirit:order="382">clkfb_out</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_P_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_P_PORT" spirit:order="383">clkfb_out_p</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_OUT_N_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_OUT_N_PORT" spirit:order="384">clkfb_out_n</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_DOWN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_DOWN_PORT" spirit:order="385">power_down</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DADDR_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DADDR_PORT" spirit:order="386">daddr</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DCLK_PORT" spirit:order="387">dclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DRDY_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DRDY_PORT" spirit:order="388">drdy</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DWE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DWE_PORT" spirit:order="389">dwe</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIN_PORT" spirit:order="390">din</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DOUT_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DOUT_PORT" spirit:order="391">dout</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DEN_PORT" spirit:order="392">den</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSCLK_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSCLK_PORT" spirit:order="393">psclk</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSEN_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSEN_PORT" spirit:order="394">psen</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSINCDEC_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSINCDEC_PORT" spirit:order="395">psincdec</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PSDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PSDONE_PORT" spirit:order="396">psdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_VALID_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_VALID_PORT" spirit:order="397">CLK_VALID</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_STATUS_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_STATUS_PORT" spirit:order="398">STATUS</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLK_IN_SEL_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLK_IN_SEL_PORT" spirit:order="399">clk_in_sel</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_INPUT_CLK_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INPUT_CLK_STOPPED_PORT" spirit:order="400">input_clk_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFB_STOPPED_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFB_STOPPED_PORT" spirit:order="401">clkfb_stopped</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN1_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN1_JITTER_PS" spirit:order="402">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKIN2_JITTER_PS</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKIN2_JITTER_PS" spirit:order="403">100.0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PRIMITIVE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PRIMITIVE" spirit:order="404">MMCM</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MODE" spirit:order="405">CENTER_HIGH</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_SS_MOD_PERIOD</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_PERIOD" spirit:order="406">4000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_SS_MOD_TIME</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_SS_MOD_TIME" spirit:order="406.001">0.004</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_HAS_CDDC</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_HAS_CDDC" spirit:order="407">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCDONE_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCDONE_PORT" spirit:order="408">cddcdone</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CDDCREQ_PORT</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CDDCREQ_PORT" spirit:order="409">cddcreq</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUTPHY_MODE</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUTPHY_MODE" spirit:order="410">VCO</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_ENABLE_CLKOUTPHY</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_ENABLE_CLKOUTPHY" spirit:order="411">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_INTERFACE_SELECTION</spirit:name>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_INTERFACE_SELECTION" spirit:order="412">0</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_ADDR_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Addr Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_ADDR_WIDTH" spirit:order="215" spirit:minimum="2" spirit:maximum="32" spirit:rangeType="long">11</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="INTEGER">
+        <spirit:name>C_S_AXI_DATA_WIDTH</spirit:name>
+        <spirit:displayName>C S Axi Data Width</spirit:displayName>
+        <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH" spirit:order="216" spirit:minimum="32" spirit:maximum="128" spirit:rangeType="long">32</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_POWER_REG</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_POWER_REG" spirit:order="409">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_2" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_1" spirit:order="410">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKFBOUT_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKFBOUT_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVCLK</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVCLK" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_LOCK_3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_LOCK_3" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_1" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_FILTER_2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_FILTER_2" spirit:order="411">0000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE1_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE1_AUTO" spirit:order="411">1</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE2_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE2_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE3_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE3_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE4_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE4_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE5_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE5_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE6_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE6_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_DIVIDE7_AUTO</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_DIVIDE7_AUTO" spirit:order="411">0.0273972602739726</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_PLLBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_PLLBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV1</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV1" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV2</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV2" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV3</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV3" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV4</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV4" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV5</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV5" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV6</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV6" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_MMCMBUFGCEDIV7</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_MMCMBUFGCEDIV7" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT7_MATCHED_ROUTING</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT7_MATCHED_ROUTING" spirit:order="411">false</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT0_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT0_ACTUAL_FREQ" spirit:order="711">25.00000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT1_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT1_ACTUAL_FREQ" spirit:order="712">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT2_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT2_ACTUAL_FREQ" spirit:order="713">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT3_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT3_ACTUAL_FREQ" spirit:order="714">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT4_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT4_ACTUAL_FREQ" spirit:order="715">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT5_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT5_ACTUAL_FREQ" spirit:order="716">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="STRING">
+        <spirit:name>C_CLKOUT6_ACTUAL_FREQ</spirit:name>
+        <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_CLKOUT6_ACTUAL_FREQ" spirit:order="717">100.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_M_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_MAX" spirit:order="403">64.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_M_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_M_MIN" spirit:order="403">2.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_D_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_D_MAX" spirit:order="403">80.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_D_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_D_MIN" spirit:order="403">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_O_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_O_MAX" spirit:order="403">128.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_O_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_O_MIN" spirit:order="403">1.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_VCO_MIN</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_VCO_MIN" spirit:order="403">600.000</spirit:value>
+      </spirit:modelParameter>
+      <spirit:modelParameter spirit:dataType="REAL">
+        <spirit:name>C_VCO_MAX</spirit:name>
+        <spirit:value spirit:format="float" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_VCO_MAX" spirit:order="403">1200.000</spirit:value>
+      </spirit:modelParameter>
+    </spirit:modelParameters>
+  </spirit:model>
+  <spirit:choices>
+    <spirit:choice>
+      <spirit:name>choice_list_1d3de01d</spirit:name>
+      <spirit:enumeration>WAVEFORM</spirit:enumeration>
+      <spirit:enumeration>LATENCY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_876bfc32</spirit:name>
+      <spirit:enumeration>UI</spirit:enumeration>
+      <spirit:enumeration>PS</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_a9bdfce0</spirit:name>
+      <spirit:enumeration>LOW</spirit:enumeration>
+      <spirit:enumeration>HIGH</spirit:enumeration>
+      <spirit:enumeration>OPTIMIZED</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_ac75ef1e</spirit:name>
+      <spirit:enumeration>Custom</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_b9d38208</spirit:name>
+      <spirit:enumeration>CLKFBOUT</spirit:enumeration>
+      <spirit:enumeration>CLKOUT0</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_list_e099fe6c</spirit:name>
+      <spirit:enumeration>MMCM</spirit:enumeration>
+      <spirit:enumeration>PLL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_035ca1c3</spirit:name>
+      <spirit:enumeration spirit:text="SYSTEM SYNCHRONOUS">SYSTEM_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="SOURCE SYNCHRONOUS">SOURCE_SYNCHRONOUS</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_0920eb1b</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_11d71346</spirit:name>
+      <spirit:enumeration spirit:text="Single ended clock capable pin">Single_ended_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential clock capable pin">Differential_clock_capable_pin</spirit:enumeration>
+      <spirit:enumeration spirit:text="Global buffer">Global_buffer</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_15c806d5</spirit:name>
+      <spirit:enumeration spirit:text="Automatic Control On-Chip">FDBK_AUTO</spirit:enumeration>
+      <spirit:enumeration spirit:text="Automatic Control Off-Chip">FDBK_AUTO_OFFCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration>
+      <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_340369e0</spirit:name>
+      <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration>
+      <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_3c2d3ec7</spirit:name>
+      <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration>
+      <spirit:enumeration spirit:text="Differential">DIFF</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_502d9f23</spirit:name>
+      <spirit:enumeration spirit:text="ZHOLD">ZHOLD</spirit:enumeration>
+      <spirit:enumeration spirit:text="EXTERNAL">EXTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="INTERNAL">INTERNAL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUF IN">BUF_IN</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_66e4c81f</spirit:name>
+      <spirit:enumeration spirit:text="BUFG">BUFG</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFH">BUFH</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE">BUFGCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFHCE">BUFHCE</spirit:enumeration>
+      <spirit:enumeration spirit:text="No buffer">No_buffer</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_77d3d587</spirit:name>
+      <spirit:enumeration spirit:text="MMCM">MMCM</spirit:enumeration>
+      <spirit:enumeration spirit:text="PLL">PLL</spirit:enumeration>
+      <spirit:enumeration spirit:text="BUFGCE DIV">BUFGCE_DIV</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8b28f1f7</spirit:name>
+      <spirit:enumeration spirit:text="AXI4Lite">Enable_AXI</spirit:enumeration>
+      <spirit:enumeration spirit:text="DRP">Enable_DRP</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_8eea9b32</spirit:name>
+      <spirit:enumeration spirit:text="Units MHz">Units_MHz</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ns">Units_ns</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a4fbc00c</spirit:name>
+      <spirit:enumeration spirit:text="Active High">ACTIVE_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="Active Low">ACTIVE_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_a8642b4c</spirit:name>
+      <spirit:enumeration spirit:text="Balanced">No_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Minimize Output Jitter">Min_O_Jitter</spirit:enumeration>
+      <spirit:enumeration spirit:text="Maximize Input Jitter filtering">Max_I_Jitter</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_c5ef7212</spirit:name>
+      <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration>
+      <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_e1c87518</spirit:name>
+      <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration>
+      <spirit:enumeration spirit:text="Secondary Clock">REL_SECONDARY</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f4e10086</spirit:name>
+      <spirit:enumeration spirit:text="CENTER HIGH">CENTER_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="CENTER LOW">CENTER_LOW</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN HIGH">DOWN_HIGH</spirit:enumeration>
+      <spirit:enumeration spirit:text="DOWN LOW">DOWN_LOW</spirit:enumeration>
+    </spirit:choice>
+    <spirit:choice>
+      <spirit:name>choice_pairs_f669c2f5</spirit:name>
+      <spirit:enumeration spirit:text="Frequency">frequency</spirit:enumeration>
+      <spirit:enumeration spirit:text="Time">Time</spirit:enumeration>
+    </spirit:choice>
+  </spirit:choices>
+  <spirit:fileSets>
+    <spirit:fileSet>
+      <spirit:name>xilinx_vhdlinstantiationtemplate_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_1.vho</spirit:name>
+        <spirit:userFileType>vhdlTemplate</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_1.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:define>
+          <spirit:name>processing_order</spirit:name>
+          <spirit:value>early</spirit:value>
+        </spirit:define>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_1_ooc.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_out_of_context</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_1_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesynthesiswrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_1.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_7s_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_pll.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>mmcm_pll_drp_func_us_plus_mmcm.vh</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+        <spirit:userFileType>USED_IN_ipstatic</spirit:userFileType>
+        <spirit:isIncludeFile>true</spirit:isIncludeFile>
+        <spirit:logicalName>clk_wiz_v6_0_11</spirit:logicalName>
+      </spirit:file>
+      <spirit:file>
+        <spirit:name>clk_wiz_1_clk_wiz.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_anylanguagesimulationwrapper_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_1.v</spirit:name>
+        <spirit:fileType>verilogSource</spirit:fileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_implementation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>clk_wiz_1_board.xdc</spirit:name>
+        <spirit:userFileType>xdc</spirit:userFileType>
+        <spirit:userFileType>USED_IN_board</spirit:userFileType>
+        <spirit:userFileType>USED_IN_implementation</spirit:userFileType>
+        <spirit:userFileType>USED_IN_synthesis</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+    <spirit:fileSet>
+      <spirit:name>xilinx_versioninformation_view_fileset</spirit:name>
+      <spirit:file>
+        <spirit:name>doc/clk_wiz_v6_0_changelog.txt</spirit:name>
+        <spirit:userFileType>text</spirit:userFileType>
+      </spirit:file>
+    </spirit:fileSet>
+  </spirit:fileSets>
+  <spirit:description>The Clocking Wizard creates an HDL file (Verilog or VHDL) that contains a clocking circuit customized to the user&apos;s clocking requirements.</spirit:description>
+  <spirit:parameters>
+    <spirit:parameter>
+      <spirit:name>Component_Name</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.Component_Name" spirit:order="1">clk_wiz_1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ0</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ0" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ1</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ1" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ2</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ2" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USER_CLK_FREQ3</spirit:name>
+      <spirit:displayName>User Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.USER_CLK_FREQ3" spirit:order="15200" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLOCK_MONITOR</spirit:name>
+      <spirit:displayName>Enable Clock Monitoring</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLOCK_MONITOR" spirit:order="10.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OPTIMIZE_CLOCKING_STRUCTURE_EN</spirit:name>
+      <spirit:displayName>Optimize Clocking Structure</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN" spirit:order="10.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK2</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK2" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_USER_CLOCK3</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_USER_CLOCK3" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL0</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL0" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>Enable_PLL1</spirit:name>
+      <spirit:displayName>User Clock</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Enable_PLL1" spirit:order="1090">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>REF_CLK_FREQ</spirit:name>
+      <spirit:displayName>Reference Frequency(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.REF_CLK_FREQ" spirit:order="15300" spirit:minimum="1" spirit:maximum="300">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRECISION</spirit:name>
+      <spirit:displayName>Tolerance(MHz)</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRECISION" spirit:order="15400" spirit:minimum="1" spirit:maximum="100">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMITIVE</spirit:name>
+      <spirit:displayName>Primitive</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMITIVE" spirit:choiceRef="choice_list_e099fe6c" spirit:order="2">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMTYPE_SEL</spirit:name>
+      <spirit:displayName>Primtype Sel</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMTYPE_SEL" spirit:order="3">mmcm_adv</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLOCK_MGR_TYPE</spirit:name>
+      <spirit:displayName>Clock Mgr Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLOCK_MGR_TYPE" spirit:order="410">auto</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREQ_SYNTH</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREQ_SYNTH" spirit:order="6" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SPREAD_SPECTRUM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SPREAD_SPECTRUM" spirit:order="7" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_PHASE_ALIGNMENT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_PHASE_ALIGNMENT" spirit:order="8" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_POWER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_POWER" spirit:order="9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_PHASE_SHIFT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_PHASE_SHIFT" spirit:order="10" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_DYN_RECONFIG</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_DYN_RECONFIG" spirit:order="11" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_SEL</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_SEL" spirit:choiceRef="choice_pairs_a8642b4c" spirit:order="13" spirit:configGroups="0 NoDisplay">No_Jitter</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_FREQ" spirit:order="14.401" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_TIMEPERIOD" spirit:order="14.9" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_FREQ_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_FREQ_UNITS" spirit:choiceRef="choice_pairs_8eea9b32" spirit:order="15" spirit:configGroups="0 NoDisplay">Units_MHz</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASESHIFT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PHASESHIFT_MODE" spirit:choiceRef="choice_list_1d3de01d" spirit:order="116" spirit:configGroups="0 NoDisplay">WAVEFORM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>IN_JITTER_UNITS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.IN_JITTER_UNITS" spirit:choiceRef="choice_pairs_c5ef7212" spirit:order="16" spirit:configGroups="0 NoDisplay">Units_UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RELATIVE_INCLK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RELATIVE_INCLK" spirit:choiceRef="choice_pairs_e1c87518" spirit:order="17" spirit:configGroups="0 NoDisplay">REL_PRIMARY</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_SWITCHOVER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_SWITCHOVER" spirit:order="13.9" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_FREQ" spirit:order="21.3" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_TIMEPERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD" spirit:order="21.299" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_PORT" spirit:order="20" spirit:configGroups="0 NoDisplay">clk_in2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="21" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>JITTER_OPTIONS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.JITTER_OPTIONS" spirit:choiceRef="choice_list_876bfc32" spirit:order="22" spirit:configGroups="0 NoDisplay">UI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_UI_JITTER" spirit:order="23" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_UI_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_UI_JITTER" spirit:order="24" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_IN_JITTER" spirit:order="25" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SECONDARY_IN_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SECONDARY_IN_JITTER" spirit:order="26" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN1_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN1_JITTER_PS" spirit:order="27" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKIN2_JITTER_PS</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKIN2_JITTER_PS" spirit:order="28" spirit:configGroups="0 NoDisplay">100.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_USED" spirit:order="4" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_USED" spirit:order="29" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_USED" spirit:order="30" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_USED" spirit:order="31" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_USED" spirit:order="32" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_USED" spirit:order="33" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_USED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_USED" spirit:order="34" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>NUM_OUT_CLKS</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.NUM_OUT_CLKS" spirit:order="407" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI" spirit:order="36" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI" spirit:order="37" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI" spirit:order="38" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI" spirit:order="39" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI" spirit:order="40" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI" spirit:order="41" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_USE_FINE_PS_GUI</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI" spirit:order="42" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIMARY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIMARY_PORT" spirit:order="43" spirit:configGroups="0 NoDisplay">clk_in1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT1_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT1_PORT" spirit:order="44" spirit:configGroups="0 NoDisplay">clk_out1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT2_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT2_PORT" spirit:order="45" spirit:configGroups="0 NoDisplay">clk_out2</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT3_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT3_PORT" spirit:order="46" spirit:configGroups="0 NoDisplay">clk_out3</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT4_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT4_PORT" spirit:order="47" spirit:configGroups="0 NoDisplay">clk_out4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT5_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT5_PORT" spirit:order="48" spirit:configGroups="0 NoDisplay">clk_out5</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT6_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT6_PORT" spirit:order="49" spirit:configGroups="0 NoDisplay">clk_out6</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_OUT7_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_OUT7_PORT" spirit:order="50" spirit:configGroups="0 NoDisplay">clk_out7</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DADDR_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DADDR_PORT" spirit:order="51" spirit:configGroups="0 NoDisplay">daddr</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DCLK_PORT" spirit:order="52" spirit:configGroups="0 NoDisplay">dclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DRDY_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DRDY_PORT" spirit:order="53" spirit:configGroups="0 NoDisplay">drdy</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DWE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DWE_PORT" spirit:order="54" spirit:configGroups="0 NoDisplay">dwe</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIN_PORT" spirit:order="55" spirit:configGroups="0 NoDisplay">din</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DOUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DOUT_PORT" spirit:order="56" spirit:configGroups="0 NoDisplay">dout</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DEN_PORT" spirit:order="57" spirit:configGroups="0 NoDisplay">den</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSCLK_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSCLK_PORT" spirit:order="58" spirit:configGroups="0 NoDisplay">psclk</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSEN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSEN_PORT" spirit:order="59" spirit:configGroups="0 NoDisplay">psen</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSINCDEC_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSINCDEC_PORT" spirit:order="60" spirit:configGroups="0 NoDisplay">psincdec</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PSDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PSDONE_PORT" spirit:order="61" spirit:configGroups="0 NoDisplay">psdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" spirit:order="62" spirit:configGroups="0 NoDisplay">25.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE" spirit:order="63" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE" spirit:order="64" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ" spirit:order="65" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE" spirit:order="66" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE" spirit:order="67" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ" spirit:order="68" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE" spirit:order="69" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE" spirit:order="70" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ" spirit:order="71" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE" spirit:order="72" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE" spirit:order="73" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ" spirit:order="74" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE" spirit:order="75" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE" spirit:order="76" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ" spirit:order="77" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE" spirit:order="78" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE" spirit:order="79" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_OUT_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ" spirit:order="80" spirit:configGroups="0 NoDisplay">100.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE" spirit:order="81" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_REQUESTED_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE" spirit:order="82" spirit:configGroups="0 NoDisplay">50.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MAX_I_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MAX_I_JITTER" spirit:order="83" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_MIN_O_JITTER</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_MIN_O_JITTER" spirit:order="84" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING" spirit:order="984" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING" spirit:order="985" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING" spirit:order="986" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING" spirit:order="987" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING" spirit:order="988" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING" spirit:order="989" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_MATCHED_ROUTING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING" spirit:order="990" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PRIM_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PRIM_SOURCE" spirit:choiceRef="choice_pairs_11d71346" spirit:order="14.1" spirit:configGroups="0 NoDisplay">Single_ended_clock_capable_pin</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="86" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="87" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="88" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="89" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="90" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="91" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_DRIVES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_DRIVES" spirit:choiceRef="choice_pairs_66e4c81f" spirit:order="92" spirit:configGroups="0 NoDisplay">BUFG</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>FEEDBACK_SOURCE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.FEEDBACK_SOURCE" spirit:choiceRef="choice_pairs_15c806d5" spirit:order="93" spirit:configGroups="0 NoDisplay">FDBK_AUTO</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_SIGNALING</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_SIGNALING" spirit:choiceRef="choice_pairs_3c2d3ec7" spirit:order="94" spirit:configGroups="0 NoDisplay">SINGLE</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_PORT" spirit:order="95" spirit:configGroups="0 NoDisplay">clkfb_in</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_P_PORT" spirit:order="96" spirit:configGroups="0 NoDisplay">clkfb_in_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_IN_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_IN_N_PORT" spirit:order="97" spirit:configGroups="0 NoDisplay">clkfb_in_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_PORT" spirit:order="98" spirit:configGroups="0 NoDisplay">clkfb_out</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_P_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_P_PORT" spirit:order="99" spirit:configGroups="0 NoDisplay">clkfb_out_p</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_OUT_N_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_OUT_N_PORT" spirit:order="100" spirit:configGroups="0 NoDisplay">clkfb_out_n</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLATFORM</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLATFORM" spirit:order="101" spirit:configGroups="0 NoDisplay">UNKNOWN</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SUMMARY_STRINGS</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SUMMARY_STRINGS" spirit:order="102" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_LOCKED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_LOCKED" spirit:order="103" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CALC_DONE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CALC_DONE" spirit:order="104" spirit:configGroups="0 NoDisplay">empty</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_RESET</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_RESET" spirit:order="105" spirit:configGroups="0 NoDisplay">true</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_POWER_DOWN</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_POWER_DOWN" spirit:order="106" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_STATUS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_STATUS" spirit:order="107" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_FREEZE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_FREEZE" spirit:order="108" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLK_VALID</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLK_VALID" spirit:order="109" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_INCLK_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_INCLK_STOPPED" spirit:order="110" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLKFB_STOPPED</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLKFB_STOPPED" spirit:order="111" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_PORT" spirit:order="409" spirit:configGroups="0 NoDisplay">resetn</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>LOCKED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.LOCKED_PORT" spirit:order="113" spirit:configGroups="0 NoDisplay">locked</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>POWER_DOWN_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.POWER_DOWN_PORT" spirit:order="114" spirit:configGroups="0 NoDisplay">power_down</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_VALID_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_VALID_PORT" spirit:order="115" spirit:configGroups="0 NoDisplay">CLK_VALID</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>STATUS_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.STATUS_PORT" spirit:order="116" spirit:configGroups="0 NoDisplay">STATUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN_SEL_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN_SEL_PORT" spirit:order="117" spirit:configGroups="0 NoDisplay">clk_in_sel</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_CLK_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_CLK_STOPPED_PORT" spirit:order="118" spirit:configGroups="0 NoDisplay">input_clk_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKFB_STOPPED_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLKFB_STOPPED_PORT" spirit:order="119" spirit:configGroups="0 NoDisplay">clkfb_stopped</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MODE" spirit:choiceRef="choice_pairs_f4e10086" spirit:order="120" spirit:configGroups="0 NoDisplay">CENTER_HIGH</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_FREQ" spirit:order="121" spirit:configGroups="0 NoDisplay">250</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>SS_MOD_TIME</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.SS_MOD_TIME" spirit:order="121.001" spirit:configGroups="0 NoDisplay">0.004</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_MMCM</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_MMCM" spirit:order="122" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_NOTES" spirit:order="123" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_DIVCLK_DIVIDE" spirit:order="124" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="125" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_MULT_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" spirit:order="126" spirit:configGroups="0 NoDisplay">9.125</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_PHASE" spirit:order="127" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKFBOUT_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS" spirit:order="128" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN1_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN1_PERIOD" spirit:order="129" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKIN2_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKIN2_PERIOD" spirit:order="130" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_CASCADE</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_CASCADE" spirit:order="131" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLOCK_HOLD</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLOCK_HOLD" spirit:order="132" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_COMPENSATION" spirit:choiceRef="choice_pairs_502d9f23" spirit:order="133" spirit:configGroups="0 NoDisplay">ZHOLD</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER1</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER1" spirit:order="134" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_REF_JITTER2</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_REF_JITTER2" spirit:order="135" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_STARTUP_WAIT</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_STARTUP_WAIT" spirit:order="136" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DIVIDE_F</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" spirit:order="137" spirit:configGroups="0 NoDisplay">36.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE" spirit:order="138" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_PHASE" spirit:order="139" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT0_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS" spirit:order="140" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE" spirit:order="141" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE" spirit:order="142" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_PHASE" spirit:order="143" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT1_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS" spirit:order="144" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE" spirit:order="145" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE" spirit:order="146" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_PHASE" spirit:order="147" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT2_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS" spirit:order="148" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE" spirit:order="149" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE" spirit:order="150" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_PHASE" spirit:order="151" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT3_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS" spirit:order="152" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE" spirit:order="153" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE" spirit:order="154" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_PHASE" spirit:order="155" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT4_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS" spirit:order="156" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE" spirit:order="157" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE" spirit:order="158" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_PHASE" spirit:order="159" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT5_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS" spirit:order="160" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE" spirit:order="161" spirit:configGroups="0 NoDisplay">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE" spirit:order="162" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_PHASE" spirit:order="163" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>MMCM_CLKOUT6_USE_FINE_PS</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS" spirit:order="164" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>OVERRIDE_PLL</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.OVERRIDE_PLL" spirit:order="165" spirit:configGroups="0 NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_NOTES</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_NOTES" spirit:order="166" spirit:configGroups="0 NoDisplay">None</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_BANDWIDTH</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_BANDWIDTH" spirit:choiceRef="choice_list_a9bdfce0" spirit:order="167" spirit:configGroups="0 NoDisplay">OPTIMIZED</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_MULT</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_MULT" spirit:order="168" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="64" spirit:rangeType="long">4</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKFBOUT_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKFBOUT_PHASE" spirit:order="169" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLK_FEEDBACK</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLK_FEEDBACK" spirit:choiceRef="choice_list_b9d38208" spirit:order="170" spirit:configGroups="0 NoDisplay">CLKFBOUT</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_DIVCLK_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_DIVCLK_DIVIDE" spirit:order="171" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="52" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKIN_PERIOD</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKIN_PERIOD" spirit:order="172" spirit:configGroups="0 NoDisplay">10.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_COMPENSATION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_COMPENSATION" spirit:choiceRef="choice_pairs_035ca1c3" spirit:order="173" spirit:configGroups="0 NoDisplay">SYSTEM_SYNCHRONOUS</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_REF_JITTER</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_REF_JITTER" spirit:order="174" spirit:configGroups="0 NoDisplay">0.010</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DIVIDE" spirit:order="175" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE" spirit:order="176" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT0_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT0_PHASE" spirit:order="177" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DIVIDE" spirit:order="178" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE" spirit:order="179" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT1_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT1_PHASE" spirit:order="180" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DIVIDE" spirit:order="181" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE" spirit:order="182" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT2_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT2_PHASE" spirit:order="183" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DIVIDE" spirit:order="184" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE" spirit:order="185" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT3_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT3_PHASE" spirit:order="186" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DIVIDE" spirit:order="187" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE" spirit:order="188" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT4_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT4_PHASE" spirit:order="189" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DIVIDE</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DIVIDE" spirit:order="190" spirit:configGroups="0 NoDisplay" spirit:minimum="1" spirit:maximum="128" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_DUTY_CYCLE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE" spirit:order="191" spirit:configGroups="0 NoDisplay">0.500</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PLL_CLKOUT5_PHASE</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.PLL_CLKOUT5_PHASE" spirit:order="192" spirit:configGroups="0 NoDisplay">0.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_TYPE</spirit:name>
+      <spirit:displayName>Reset Type</spirit:displayName>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_TYPE" spirit:choiceRef="choice_pairs_a4fbc00c" spirit:order="408" spirit:configGroups="0 NoDisplay">ACTIVE_LOW</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_SAFE_CLOCK_STARTUP</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP" spirit:order="85.5" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_CLOCK_SEQUENCING</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_CLOCK_SEQUENCING" spirit:order="501" spirit:configGroups="0; NoDisplay">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER" spirit:order="502" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER" spirit:order="503" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER" spirit:order="504" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER" spirit:order="505" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER" spirit:order="506" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER" spirit:order="507" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_SEQUENCE_NUMBER</spirit:name>
+      <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER" spirit:order="508" spirit:configGroups="0; NoDisplay" spirit:minimum="1" spirit:maximum="7" spirit:rangeType="long">1</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>USE_BOARD_FLOW</spirit:name>
+      <spirit:displayName>Generate Board based IO Constraints</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.USE_BOARD_FLOW" spirit:order="1.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.8">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.9">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.1">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>DIFF_CLK_IN2_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_0920eb1b" spirit:order="13.2">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AUTO_PRIMITIVE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.AUTO_PRIMITIVE" spirit:choiceRef="choice_pairs_77d3d587" spirit:order="13212">MMCM</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>RESET_BOARD_INTERFACE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CDDC</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CDDC" spirit:order="509">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCDONE_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCDONE_PORT" spirit:order="510" spirit:configGroups="0 NoDisplay">cddcdone</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CDDCREQ_PORT</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CDDCREQ_PORT" spirit:order="511" spirit:configGroups="0 NoDisplay">cddcreq</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>ENABLE_CLKOUTPHY</spirit:name>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.ENABLE_CLKOUTPHY" spirit:order="123.1">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUTPHY_REQUESTED_FREQ</spirit:name>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ" spirit:order="123.2" spirit:configGroups="0 NoDisplay">600.000</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_JITTER</spirit:name>
+      <spirit:displayName>Clkout1 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_JITTER" spirit:order="1000">181.828</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT1_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout1 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT1_PHASE_ERROR" spirit:order="1001">104.359</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_JITTER</spirit:name>
+      <spirit:displayName>Clkout2 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_JITTER" spirit:order="1002">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT2_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout2 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT2_PHASE_ERROR" spirit:order="1003">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_JITTER</spirit:name>
+      <spirit:displayName>Clkout3 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_JITTER" spirit:order="1004">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT3_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout3 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT3_PHASE_ERROR" spirit:order="1005">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_JITTER</spirit:name>
+      <spirit:displayName>Clkout4 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_JITTER" spirit:order="1006">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT4_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout4 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT4_PHASE_ERROR" spirit:order="1007">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_JITTER</spirit:name>
+      <spirit:displayName>Clkout5 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_JITTER" spirit:order="1008">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT5_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout5 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT5_PHASE_ERROR" spirit:order="1009">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_JITTER</spirit:name>
+      <spirit:displayName>Clkout6 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_JITTER" spirit:order="1010">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT6_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout6 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT6_PHASE_ERROR" spirit:order="1011">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_JITTER</spirit:name>
+      <spirit:displayName>Clkout7 Jitter</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_JITTER" spirit:order="1012">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>CLKOUT7_PHASE_ERROR</spirit:name>
+      <spirit:displayName>Clkout7 Phase</spirit:displayName>
+      <spirit:value spirit:format="float" spirit:resolve="user" spirit:id="PARAM_VALUE.CLKOUT7_PHASE_ERROR" spirit:order="1013">0.0</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INPUT_MODE</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INPUT_MODE" spirit:choiceRef="choice_pairs_f669c2f5" spirit:order="7.8">frequency</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>INTERFACE_SELECTION</spirit:name>
+      <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.INTERFACE_SELECTION" spirit:choiceRef="choice_pairs_8b28f1f7" spirit:order="11.1">Enable_AXI</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>AXI_DRP</spirit:name>
+      <spirit:displayName>Write DRP registers</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.AXI_DRP" spirit:order="11.12">false</spirit:value>
+    </spirit:parameter>
+    <spirit:parameter>
+      <spirit:name>PHASE_DUTY_CONFIG</spirit:name>
+      <spirit:displayName>Phase Duty Cycle Config</spirit:displayName>
+      <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.PHASE_DUTY_CONFIG" spirit:order="11.2">false</spirit:value>
+    </spirit:parameter>
+  </spirit:parameters>
+  <spirit:vendorExtensions>
+    <xilinx:coreExtensions>
+      <xilinx:displayName>Clocking Wizard</xilinx:displayName>
+      <xilinx:xpmLibraries>
+        <xilinx:xpmLibrary>XPM_CDC</xilinx:xpmLibrary>
+      </xilinx:xpmLibraries>
+      <xilinx:coreRevision>11</xilinx:coreRevision>
+      <xilinx:configElementInfos>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ADDR_WIDTH" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.BUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.DATA_WIDTH" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BRESP" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_BURST" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_CACHE" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_LOCK" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_PROT" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_QOS" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_REGION" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_RRESP" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.HAS_WSTRB" xilinx:valueSource="auto"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.ID_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.PROTOCOL" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.RUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_LITE.WUSER_WIDTH" xilinx:valueSource="constant"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_JITTER" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RESET_PORT" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RESET_TYPE" xilinx:valueSource="user"/>
+        <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.USE_LOCKED" xilinx:valueSource="user"/>
+      </xilinx:configElementInfos>
+    </xilinx:coreExtensions>
+    <xilinx:packagingInfo>
+      <xilinx:xilinxVersion>2022.2</xilinx:xilinxVersion>
+      <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="0d9e23d5"/>
+      <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="e96d5789"/>
+      <xilinx:checksum xilinx:scope="ports" xilinx:value="4f3d3737"/>
+      <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="c11c59cd"/>
+      <xilinx:checksum xilinx:scope="parameters" xilinx:value="63dcade9"/>
+    </xilinx:packagingInfo>
+  </spirit:vendorExtensions>
+</spirit:component>
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc
new file mode 100644
index 0000000..3422a8e
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc
@@ -0,0 +1,2 @@
+#--------------------Physical Constraints-----------------
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v
new file mode 100644
index 0000000..da51acb
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v
@@ -0,0 +1,202 @@
+
+// file: clk_wiz_1.v
+// 
+// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+// 
+// This file contains confidential and proprietary information
+// of Xilinx, Inc. and is protected under U.S. and
+// international copyright and other intellectual property
+// laws.
+// 
+// DISCLAIMER
+// This disclaimer is not a license and does not grant any
+// rights to the materials distributed herewith. Except as
+// otherwise provided in a valid license issued to you by
+// Xilinx, and to the maximum extent permitted by applicable
+// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+// (2) Xilinx shall not be liable (whether in contract or tort,
+// including negligence, or under any other theory of
+// liability) for any loss or damage of any kind or nature
+// related to, arising under or in connection with these
+// materials, including for any direct, or any indirect,
+// special, incidental, or consequential loss or damage
+// (including loss of data, profits, goodwill, or any type of
+// loss or damage suffered as a result of any action brought
+// by a third party) even if such damage or loss was
+// reasonably foreseeable or Xilinx had been advised of the
+// possibility of the same.
+// 
+// CRITICAL APPLICATIONS
+// Xilinx products are not designed or intended to be fail-
+// safe, or for use in any application requiring fail-safe
+// performance, such as life-support or safety devices or
+// systems, Class III medical devices, nuclear facilities,
+// applications related to the deployment of airbags, or any
+// other applications that could lead to death, personal
+// injury, or severe property or environmental damage
+// (individually and collectively, "Critical
+// Applications"). Customer assumes the sole risk and
+// liability of any use of Xilinx products in Critical
+// Applications, subject only to applicable laws and
+// regulations governing limitations on product liability.
+// 
+// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+// PART OF THIS FILE AT ALL TIMES.
+// 
+//----------------------------------------------------------------------------
+// User entered comments
+//----------------------------------------------------------------------------
+// None
+//
+//----------------------------------------------------------------------------
+//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+//----------------------------------------------------------------------------
+// clk_out1__25.00000______0.000______50.0______181.828____104.359
+//
+//----------------------------------------------------------------------------
+// Input Clock   Freq (MHz)    Input Jitter (UI)
+//----------------------------------------------------------------------------
+// __primary_________100.000____________0.010
+
+`timescale 1ps/1ps
+
+module clk_wiz_1_clk_wiz 
+
+ (// Clock in ports
+  // Clock out ports
+  output        clk_out1,
+  // Status and control signals
+  input         resetn,
+  input         clk_in1
+ );
+  // Input buffering
+  //------------------------------------
+wire clk_in1_clk_wiz_1;
+wire clk_in2_clk_wiz_1;
+  IBUF clkin1_ibufg
+   (.O (clk_in1_clk_wiz_1),
+    .I (clk_in1));
+
+
+
+
+  // Clocking PRIMITIVE
+  //------------------------------------
+
+  // Instantiation of the MMCM PRIMITIVE
+  //    * Unused inputs are tied off
+  //    * Unused outputs are labeled unused
+
+  wire        clk_out1_clk_wiz_1;
+  wire        clk_out2_clk_wiz_1;
+  wire        clk_out3_clk_wiz_1;
+  wire        clk_out4_clk_wiz_1;
+  wire        clk_out5_clk_wiz_1;
+  wire        clk_out6_clk_wiz_1;
+  wire        clk_out7_clk_wiz_1;
+
+  wire [15:0] do_unused;
+  wire        drdy_unused;
+  wire        psdone_unused;
+  wire        locked_int;
+  wire        clkfbout_clk_wiz_1;
+  wire        clkfbout_buf_clk_wiz_1;
+  wire        clkfboutb_unused;
+    wire clkout0b_unused;
+   wire clkout1_unused;
+   wire clkout1b_unused;
+   wire clkout2_unused;
+   wire clkout2b_unused;
+   wire clkout3_unused;
+   wire clkout3b_unused;
+   wire clkout4_unused;
+  wire        clkout5_unused;
+  wire        clkout6_unused;
+  wire        clkfbstopped_unused;
+  wire        clkinstopped_unused;
+  wire        reset_high;
+
+  MMCME2_ADV
+  #(.BANDWIDTH            ("OPTIMIZED"),
+    .CLKOUT4_CASCADE      ("FALSE"),
+    .COMPENSATION         ("ZHOLD"),
+    .STARTUP_WAIT         ("FALSE"),
+    .DIVCLK_DIVIDE        (1),
+    .CLKFBOUT_MULT_F      (9.125),
+    .CLKFBOUT_PHASE       (0.000),
+    .CLKFBOUT_USE_FINE_PS ("FALSE"),
+    .CLKOUT0_DIVIDE_F     (36.500),
+    .CLKOUT0_PHASE        (0.000),
+    .CLKOUT0_DUTY_CYCLE   (0.500),
+    .CLKOUT0_USE_FINE_PS  ("FALSE"),
+    .CLKIN1_PERIOD        (10.000))
+  mmcm_adv_inst
+    // Output clocks
+   (
+    .CLKFBOUT            (clkfbout_clk_wiz_1),
+    .CLKFBOUTB           (clkfboutb_unused),
+    .CLKOUT0             (clk_out1_clk_wiz_1),
+    .CLKOUT0B            (clkout0b_unused),
+    .CLKOUT1             (clkout1_unused),
+    .CLKOUT1B            (clkout1b_unused),
+    .CLKOUT2             (clkout2_unused),
+    .CLKOUT2B            (clkout2b_unused),
+    .CLKOUT3             (clkout3_unused),
+    .CLKOUT3B            (clkout3b_unused),
+    .CLKOUT4             (clkout4_unused),
+    .CLKOUT5             (clkout5_unused),
+    .CLKOUT6             (clkout6_unused),
+     // Input clock control
+    .CLKFBIN             (clkfbout_buf_clk_wiz_1),
+    .CLKIN1              (clk_in1_clk_wiz_1),
+    .CLKIN2              (1'b0),
+     // Tied to always select the primary input clock
+    .CLKINSEL            (1'b1),
+    // Ports for dynamic reconfiguration
+    .DADDR               (7'h0),
+    .DCLK                (1'b0),
+    .DEN                 (1'b0),
+    .DI                  (16'h0),
+    .DO                  (do_unused),
+    .DRDY                (drdy_unused),
+    .DWE                 (1'b0),
+    // Ports for dynamic phase shift
+    .PSCLK               (1'b0),
+    .PSEN                (1'b0),
+    .PSINCDEC            (1'b0),
+    .PSDONE              (psdone_unused),
+    // Other control and status signals
+    .LOCKED              (locked_int),
+    .CLKINSTOPPED        (clkinstopped_unused),
+    .CLKFBSTOPPED        (clkfbstopped_unused),
+    .PWRDWN              (1'b0),
+    .RST                 (reset_high));
+  assign reset_high = ~resetn; 
+
+// Clock Monitor clock assigning
+//--------------------------------------
+ // Output buffering
+  //-----------------------------------
+
+  BUFG clkf_buf
+   (.O (clkfbout_buf_clk_wiz_1),
+    .I (clkfbout_clk_wiz_1));
+
+
+
+
+
+
+  BUFG clkout1_buf
+   (.O   (clk_out1),
+    .I   (clk_out1_clk_wiz_1));
+
+
+
+
+endmodule
diff --git a/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc
new file mode 100644
index 0000000..a8593f0
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc
@@ -0,0 +1,58 @@
+
+# file: clk_wiz_1_ooc.xdc
+# 
+# (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+# 
+# This file contains confidential and proprietary information
+# of Xilinx, Inc. and is protected under U.S. and
+# international copyright and other intellectual property
+# laws.
+# 
+# DISCLAIMER
+# This disclaimer is not a license and does not grant any
+# rights to the materials distributed herewith. Except as
+# otherwise provided in a valid license issued to you by
+# Xilinx, and to the maximum extent permitted by applicable
+# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+# (2) Xilinx shall not be liable (whether in contract or tort,
+# including negligence, or under any other theory of
+# liability) for any loss or damage of any kind or nature
+# related to, arising under or in connection with these
+# materials, including for any direct, or any indirect,
+# special, incidental, or consequential loss or damage
+# (including loss of data, profits, goodwill, or any type of
+# loss or damage suffered as a result of any action brought
+# by a third party) even if such damage or loss was
+# reasonably foreseeable or Xilinx had been advised of the
+# possibility of the same.
+# 
+# CRITICAL APPLICATIONS
+# Xilinx products are not designed or intended to be fail-
+# safe, or for use in any application requiring fail-safe
+# performance, such as life-support or safety devices or
+# systems, Class III medical devices, nuclear facilities,
+# applications related to the deployment of airbags, or any
+# other applications that could lead to death, personal
+# injury, or severe property or environmental damage
+# (individually and collectively, "Critical
+# Applications"). Customer assumes the sole risk and
+# liability of any use of Xilinx products in Critical
+# Applications, subject only to applicable laws and
+# regulations governing limitations on product liability.
+# 
+# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+# PART OF THIS FILE AT ALL TIMES.
+# 
+
+#################
+#DEFAULT CLOCK CONSTRAINTS
+
+############################################################
+# Clock Period Constraints                                 #
+############################################################
+#create_clock -period 10.000 [get_ports clk_in1]
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/doc/clk_wiz_v6_0_changelog.txt b/game.gen/sources_1/ip/clk_wiz_1/doc/clk_wiz_v6_0_changelog.txt
new file mode 100755
index 0000000..02aca2c
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/doc/clk_wiz_v6_0_changelog.txt
@@ -0,0 +1,286 @@
+2022.2:
+ * Version 6.0 (Rev. 11)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2022.1.2:
+ * Version 6.0 (Rev. 10)
+ * No changes
+
+2022.1.1:
+ * Version 6.0 (Rev. 10)
+ * No changes
+
+2022.1:
+ * Version 6.0 (Rev. 10)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2021.2.2:
+ * Version 6.0 (Rev. 9)
+ * No changes
+
+2021.2.1:
+ * Version 6.0 (Rev. 9)
+ * No changes
+
+2021.2:
+ * Version 6.0 (Rev. 9)
+ * Bug Fix: CR Fixes
+ * Other: CR Fixes
+
+2021.1.1:
+ * Version 6.0 (Rev. 8)
+ * No changes
+
+2021.1:
+ * Version 6.0 (Rev. 8)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.3:
+ * Version 6.0 (Rev. 7)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.2.2:
+ * Version 6.0 (Rev. 6)
+ * No changes
+
+2020.2.1:
+ * Version 6.0 (Rev. 6)
+ * No changes
+
+2020.2:
+ * Version 6.0 (Rev. 6)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2020.1.1:
+ * Version 6.0 (Rev. 5)
+ * No changes
+
+2020.1:
+ * Version 6.0 (Rev. 5)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2019.2.2:
+ * Version 6.0 (Rev. 4)
+ * No changes
+
+2019.2.1:
+ * Version 6.0 (Rev. 4)
+ * No changes
+
+2019.2:
+ * Version 6.0 (Rev. 4)
+ * Bug Fix: Internal GUI fixes
+ * Other: CR Fixes
+
+2019.1.3:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1.2:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1.1:
+ * Version 6.0 (Rev. 3)
+ * No changes
+
+2019.1:
+ * Version 6.0 (Rev. 3)
+ * Bug Fix: Internal GUI fixes
+ * Other: New family support added
+
+2018.3.1:
+ * Version 6.0 (Rev. 2)
+ * No changes
+
+2018.3:
+ * Version 6.0 (Rev. 2)
+ * Bug Fix: Made input source independent for primary and secondary clock
+ * Other: New family support added
+
+2018.2:
+ * Version 6.0 (Rev. 1)
+ * Bug Fix: Removed vco freq check when Primitive is None
+ * Other: New family support added
+
+2018.1:
+ * Version 6.0
+ * Bug Fix: Bug fixes in Dynamic Reconfiguration feature and Write DRP feature
+ * Bug Fix: Bug fixes for connection issue for s_axi_aresetn pin in IPI
+ * Feature Enhancement: The default value of USE_PHASE_ALIGMENT is updated to false for UltraScale and UltraScale+ devices. Phase Alignment feature uses extra clock routes in UltraScale and UltraScale+ designs when MMCMs are used. These routing resources are wasted when user do not understand when phase alignment is really needed. Now, implementation tools can use these extra clock routing resources for high fanout signals.
+ * Feature Enhancement: A column "Max. freq of buffer" is added in the Output Clock table which shows the maximum frequency that the selected output buffer can support
+ * Other: DRCs added for invalid input values in Override mode
+
+2017.4:
+ * Version 5.4 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed for COMPENSATION mode as INTERNAL
+ * Bug Fix: Fixed issue in dynamic reconfiguration of fractional values of M in MMCME3, MMCME4
+
+2017.3:
+ * Version 5.4 (Rev. 2)
+ * General: Internal GUI changes. No effect on the customer design. Added support for aspartan7 devices
+
+2017.2:
+ * Version 5.4 (Rev. 1)
+ * General: Internal GUI changes. No effect on the customer design.
+
+2017.1:
+ * Version 5.4
+ * Port Change: Minor version upgrade. CLR pins are added to the pin list when selected buffer is BUFGCEDIV for ultrascale and ultrascale plus devices.
+ * Other: Added support for new zynq ultrascale plus devices.
+
+2016.4:
+ * Version 5.3 (Rev. 3)
+ * Bug Fix: Internal GUI issues are fixed.
+
+2016.3:
+ * Version 5.3 (Rev. 2)
+ * Feature Enhancement: Added new option "Auto" under PRIMITIVE selection for ultrascale and above devices. This option allows the Wizard to instantiate appropriate primitive for the user inputs.
+ * Feature Enhancement: Added Matched Routing Option for better timing solutions.
+ * Feature Enhancement: Options 'Buffer' and 'Buffer_with_CE' are added to the buffer selection list.
+ * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
+ * Other: Added support for Spartan7 devices.
+
+2016.2:
+ * Version 5.3 (Rev. 1)
+ * Internal register bit update, no effect on customer designs.
+
+2016.1:
+ * Version 5.3
+ * Added Clock Monitor Feature as part of clocking wizard
+ * DRP registers can be directly written through AXI without resource utilization
+ * Changes to HDL library management to support Vivado IP simulation library
+
+2015.4.2:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4.1:
+ * Version 5.2 (Rev. 1)
+ * No changes
+
+2015.4:
+ * Version 5.2 (Rev. 1)
+ * Internal device family change, no functional changes
+
+2015.3:
+ * Version 5.2
+ * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
+ * Port Renaming tab is hidden in the GUI in IP Integrator as this feature is not supported
+ * Phase alignment feature is removed for ultrascale PLL as primitve has limited capabilities of supporting this feature
+ * When clocking wizard is targetted on a board part, the frequency values that gets propagated to primary and secondary clocks are displayed in floating number format
+ * Example design and simulation files are delivered in verilog only
+
+2015.2.1:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.2:
+ * Version 5.1 (Rev. 6)
+ * No changes
+
+2015.1:
+ * Version 5.1 (Rev. 6)
+ * Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices
+ * Supported devices and production status are now determined automatically, to simplify support for future devices
+
+2014.4.1:
+ * Version 5.1 (Rev. 5)
+ * No changes
+
+2014.4:
+ * Version 5.1 (Rev. 5)
+ * Internal device family change, no functional changes
+ * updates related to the source selection based on board interface for zed board
+
+2014.3:
+ * Version 5.1 (Rev. 4)
+ * Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface
+
+2014.2:
+ * Version 5.1 (Rev. 3)
+ * Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065
+
+2014.1:
+ * Version 5.1 (Rev. 2)
+ * Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock
+ * Internal device family name change, no functional changes
+
+2013.4:
+ * Version 5.1 (Rev. 1)
+ * Added support for Ultrascale devices
+ * Updated Board Flow GUI to select the clock interfaces
+ * Fixed issue with Stub file parameter error for BUFR output driver
+
+2013.3:
+ * Version 5.1
+ * Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL
+ * Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies
+ * Fixed precision issues between displayed and actual frequencies
+ * Added tool tips to GUI
+ * Added Jitter and Phase error values to IP properties
+ * Added support for Cadence IES and Synopsys VCS simulators
+ * Reduced warnings in synthesis and simulation
+ * Enhanced support for IP Integrator
+
+2013.2:
+ * Version 5.0 (Rev. 1)
+ * Fixed issue with clock constraints for multiple instances of clocking wizard
+ * Updated Life-Cycle status of devices
+
+2013.1:
+ * Version 5.0
+ * Lower case ports for Verilog
+ * Added Safe Clock Startup and Clock Sequencing
+
+(c) Copyright 2008 - 2022 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_mmcm.vh
new file mode 100755
index 0000000..481cd2d
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_mmcm.vh
@@ -0,0 +1,671 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   7 Series || MMCM
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/12 - Updating lookup_low/lookup_high (CR)
+//			4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1011_00,
+         10'b0010_1101_00,
+         10'b0010_0011_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0100_1111_00,
+         10'b0101_1011_00,
+         10'b0111_0111_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_1001_00,
+         10'b1101_0001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_1001_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0111_0001_00,
+         10'b0111_0001_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0110_0001_00,
+         10'b0110_0001_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0101_0110_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0100_1010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh
new file mode 100755
index 0000000..d34dbe7
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh
@@ -0,0 +1,531 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Karl Kurbjun and Carl Ribbing
+//    Date:             7/30/2014
+//    Design Name:      PLLE2 DRP
+//    Module Name:      plle2_drp_func.h
+//    Version:          2.00
+//    Target Devices:   7 Series || PLL
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      Updated for CR663854.
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+`ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+`endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+`ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+`endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+`ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+`endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+`ifdef DEBUG
+      $display("temp: %h", temp);
+`endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_00,
+         10'b0010_1111_00,
+         10'b0010_0111_00,
+         10'b0010_1101_00,
+         10'b0010_0101_00,
+         10'b0010_0101_00,
+         10'b0010_1001_00,
+         10'b0010_1110_00,
+         10'b0010_1110_00,
+         10'b0010_0001_00,
+         10'b0010_0001_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_0110_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1010_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_1100_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0010_0010_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0011_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0011_0111_00,
+         10'b0011_0111_00,
+         10'b0101_1111_00,
+         10'b0111_1111_00,
+         10'b0111_1011_00,
+         10'b1101_0111_00,
+         10'b1110_1011_00,
+         10'b1110_1101_00,
+         10'b1111_1101_00,
+         10'b1111_0111_00,
+         10'b1111_1011_00,
+         10'b1111_1101_00,
+         10'b1111_0011_00,
+         10'b1110_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b1111_0101_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0111_0110_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b0101_1100_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b1100_0001_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0100_0010_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0011_0100_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0010_1000_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0100_1100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00,
+         10'b0010_0100_00
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+`endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+`ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+`endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_mmcm.vh
new file mode 100755
index 0000000..811d433
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_mmcm.vh
@@ -0,0 +1,671 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             7/30/2014
+//    Design Name:      MMCME2 DRP
+//    Module Name:      mmcme2_drp_func.h
+//    Version:          1.04
+//    Target Devices:   UltraScale Architecture || MMCM 
+//    Tool versions:    2014.3
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function. CRS610807
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [2559:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b00110_00110_1111101000_1111101001_0000000001,
+         40'b01000_01000_1111101000_1111101001_0000000001,
+         40'b01011_01011_1111101000_1111101001_0000000001,
+         40'b01110_01110_1111101000_1111101001_0000000001,
+         40'b10001_10001_1111101000_1111101001_0000000001,
+         40'b10011_10011_1111101000_1111101001_0000000001,
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((64-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide, // Max divide is 64
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [639:0] lookup_low;
+   reg [639:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_0111_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_1101_11,
+         10'b0010_0011_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_0101_11,
+         10'b0010_1001_11,
+         10'b0010_1001_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_1110_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0001_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_0110_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1010_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11,
+         10'b0010_1100_11
+      };
+      
+      lookup_high = {
+         // CP_RES_LFHF
+         10'b0010_1111_11,
+         10'b0010_1111_11,
+         10'b0010_1011_11,
+         10'b0011_1111_11,
+         10'b0100_1111_11,
+         10'b0100_1111_11,
+         10'b0101_1111_11,
+         10'b0110_1111_11,
+         10'b0111_1111_11,
+         10'b0111_1111_11,
+         10'b1100_1111_11,
+         10'b1101_1111_11,
+         10'b1110_1111_11,
+         10'b1111_1111_11,
+         10'b1111_1111_11,
+         10'b1110_0111_11,
+         10'b1110_1011_11,
+         10'b1111_0111_11,
+         10'b1111_1011_11,
+         10'b1111_1011_11,
+         10'b1110_1101_11,
+         10'b1111_1101_11,
+         10'b1111_1101_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1111_0011_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1110_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_0101_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1111_1001_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1110_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1111_1110_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1110_0001_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_0110_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11,
+         10'b1100_1010_11
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((64-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((64-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || ((clkout0_divide_frac == 1) && (clkout0_divide_int == 2));//CRS610807
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh
new file mode 100755
index 0000000..9439f23
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh
@@ -0,0 +1,530 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa
+//    Date:             6/15/2015
+//    Design Name:      PLLE3 DRP
+//    Module Name:      plle3_drp_func.h
+//    Version:          1.10
+//    Target Devices:   UltraScale Architecture
+//    Tool versions:    2015.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                         PM_Rise bits have been removed for PLLE3
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2010 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 64
+   );
+   
+   reg [759:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1  
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001 //19
+         
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((19-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 19
+   );
+   
+   reg [639:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0010_1111_01, //1
+         10'b0010_0011_11, //2
+         10'b0011_0011_11, //3
+         10'b0010_0001_11, //4
+         10'b0010_0110_11, //5
+         10'b0010_1010_11, //6
+         10'b0010_1010_11, //7
+         10'b0011_0110_11, //8
+         10'b0010_1100_11, //9
+         10'b0010_1100_11, //10
+         10'b0010_1100_11, //11
+         10'b0010_0010_11, //12
+         10'b0011_1100_11, //13
+         10'b0011_1100_11, //14
+         10'b0011_1100_11, //15
+         10'b0011_1100_11, //16
+         10'b0011_0010_11, //17
+         10'b0011_0010_11, //18
+         10'b0011_0010_11 //19
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((19-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" // will need to divide the doubled clock VCO clock frequency by // 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will // need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000);//Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+//			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_mmcm.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_mmcm.vh
new file mode 100755
index 0000000..ebf87be
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_mmcm.vh
@@ -0,0 +1,861 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa. Updated by Ralf Krueger
+//    Date:             7/30/2014
+//    Design Name:      MMCME4 DRP
+//    Module Name:      mmcme4_drp_func.h
+//    Version:          1.31
+//    Target Devices:   UltraScale Plus Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for UltraScal+ MMCM.
+//                      
+//	Revision Notes:	3/22 - Updating lookup_low/lookup_high (CR)
+//				4/13 - Fractional divide function in mmcm_frac_count_calc function
+//              2/28/17 - Updated for Ultrascale Plus
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages during elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+// point numbers.  These should not be modified, they are for development only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+// greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+// fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      // of 1 would modify the fractional so that instead of being a .16
+      // fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+// of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//       is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      // assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("mmcm_phase-divide:%d,phase:%d", divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [7:0] divide // Max M divide is 128 in UltrascalePlus
+   );
+   
+   reg [5119:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=1 (not allowed)
+         40'b00110_00110_1111101000_1111101001_0000000001,      // M=2
+         40'b01000_01000_1111101000_1111101001_0000000001,      // M=3
+         40'b01011_01011_1111101000_1111101001_0000000001,      // M=4
+         40'b01110_01110_1111101000_1111101001_0000000001,      // M=5
+         40'b10001_10001_1111101000_1111101001_0000000001,      // M=6
+         40'b10011_10011_1111101000_1111101001_0000000001,      // M=7
+         40'b10110_10110_1111101000_1111101001_0000000001,
+         40'b11001_11001_1111101000_1111101001_0000000001,
+         40'b11100_11100_1111101000_1111101001_0000000001,
+         40'b11111_11111_1110000100_1111101001_0000000001,
+         40'b11111_11111_1100111001_1111101001_0000000001,
+         40'b11111_11111_1011101110_1111101001_0000000001,
+         40'b11111_11111_1010111100_1111101001_0000000001,
+         40'b11111_11111_1010001010_1111101001_0000000001,
+         40'b11111_11111_1001110001_1111101001_0000000001,
+         40'b11111_11111_1000111111_1111101001_0000000001,
+         40'b11111_11111_1000100110_1111101001_0000000001,
+         40'b11111_11111_1000001101_1111101001_0000000001,
+         40'b11111_11111_0111110100_1111101001_0000000001,
+         40'b11111_11111_0111011011_1111101001_0000000001,
+         40'b11111_11111_0111000010_1111101001_0000000001,
+         40'b11111_11111_0110101001_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0110010000_1111101001_0000000001,
+         40'b11111_11111_0101110111_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101011110_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0101000101_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100101100_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0100010011_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,                                                                    
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,
+         40'b11111_11111_0011111010_1111101001_0000000001,      // M=127
+         40'b11111_11111_0011111010_1111101001_0000000001       // M=128
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((128-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", mmcm_pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the MMCM
+//  and outputs the digital filter settings necessary.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [7:0] divide, //  input [7:0] divide // Max M divide is 128 in UltraScalePlus
+      input [8*9:0] BANDWIDTH
+   );
+   
+   reg [1279:0] lookup_low;
+   reg [1279:0] lookup_high;
+   
+   reg [9:0] lookup_entry;
+   
+   begin
+      lookup_low = {
+         // CP_RES_LFHF
+       	10'b0011_1111_11,    // M=1 - not legal
+       	10'b0011_1111_11,    // M=2
+       	10'b0011_1101_11,    // M=3
+       	10'b0011_0101_11,    // M=4
+       	10'b0011_1001_11,    // M=5
+       	10'b0011_1110_11,    // M=6
+       	10'b0011_1110_11,    // M=7
+       	10'b0011_0001_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_0110_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0011_1010_11,
+       	10'b0100_0110_11,
+       	10'b0011_1100_11,
+       	10'b1110_0110_11,
+       	10'b1111_0110_11,
+       	10'b1110_1010_11,
+       	10'b1110_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1111_1010_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1101_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1110_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1111_1100_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1110_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1111_0010_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1100_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1101_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1110_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1111_0100_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11,
+       	10'b1101_1000_11, // M=127
+       	10'b1101_1000_11  // M=128
+};										
+      
+      lookup_high = {
+         // CP_RES_LFHF
+       10'b0111_1111_11,    // M=1 - not legal
+       10'b0111_1111_11,    // M=2
+       10'b1110_1111_11,    // M=3
+       10'b1111_1111_11,    // M=4
+       10'b1111_1011_11,    // M=5
+       10'b1111_1101_11,    // M=6
+       10'b1111_0011_11,    // M=7
+       10'b1110_0101_11,
+       10'b1111_1001_11,
+       10'b1111_1001_11,
+       10'b1110_1110_11,
+       10'b1111_1110_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1111_0001_11,
+       10'b1110_0110_11,
+       10'b1110_0110_11,
+       10'b1111_0110_11,
+       10'b1110_1010_11,
+       10'b1110_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1111_1010_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1101_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1110_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1111_1100_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1110_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1111_0010_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1100_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1101_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1110_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1111_0100_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11,
+       10'b1101_1000_11     // M=128
+};
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      if(BANDWIDTH == "LOW") begin
+         // Low Bandwidth
+         mmcm_pll_filter_lookup = lookup_low[ ((128-divide)*10) +: 10];
+      end else begin
+         // High or optimized bandwidth
+         mmcm_pll_filter_lookup = lookup_high[ ((128-divide)*10) +: 10];
+      end
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", mmcm_pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("mmcm_pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], phase_calc[14:12]);
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 100,000. Not programmable in fractional
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("mmcm_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = ((odd_and_frac >=2) && (odd_and_frac <=9)) || (clkout0_divide_int == 2 && clkout0_divide_frac == 1);   //IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);    //IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], 2'b00, dt[5:0], 
+			pm_rise_frac_filtered[2], pm_rise_frac_filtered[1], pm_rise_frac_filtered[0], 1'b0, ht_frac[5:0], lt_frac[5:0]
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, pm_rise_frac_filtered, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_pll.vh b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_pll.vh
new file mode 100755
index 0000000..1d2dc69
--- /dev/null
+++ b/game.gen/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_plus_pll.vh
@@ -0,0 +1,536 @@
+///////////////////////////////////////////////////////////////////////////////
+//    
+//    Company:          Xilinx
+//    Engineer:         Jim Tatsukawa, Ralf Krueger, updated for Ultrascale+ 
+//    Date:             6/15/2015
+//    Design Name:      PLLE4 DRP
+//    Module Name:      plle4_drp_func.h
+//    Version:          2.0
+//    Target Devices:   UltraScale+ Architecture
+//    Tool versions:    2017.1
+//    Description:      This header provides the functions necessary to  
+//                      calculate the DRP register values for the V6 PLL.
+//                      
+//	Revision Notes:	8/11 - PLLE3 updated for PLLE3 file 4564419
+//	Revision Notes:	6/15 - pll_filter_lookup fixed for max M of 19
+//                           M_Rise bits have been removed for PLLE3
+//	Revision Notes:	2/28/17 - pll_filter_lookup and CPRES updated for 
+//                           Ultrascale+ and for max M of 21
+// 
+//    Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
+//                 INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
+//                 PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
+//                 PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
+//                 ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
+//                 APPLICATION OR STANDARD, XILINX IS MAKING NO
+//                 REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
+//                 FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
+//                 RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
+//                 REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
+//                 EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
+//                 RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
+//                 INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
+//                 REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
+//                 FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
+//                 OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
+//                 PURPOSE.
+// 
+//                 (c) Copyright 2009-2017 Xilinx, Inc.
+//                 All rights reserved.
+// 
+///////////////////////////////////////////////////////////////////////////////
+
+// These are user functions that should not be modified.  Changes to the defines
+// or code within the functions may alter the accuracy of the calculations.
+
+// Define debug to provide extra messages durring elaboration
+//`define DEBUG 1
+
+// FRAC_PRECISION describes the width of the fractional portion of the fixed
+//    point numbers.  These should not be modified, they are for development 
+//    only
+`define FRAC_PRECISION  10
+// FIXED_WIDTH describes the total size for fixed point calculations(int+frac).
+// Warning: L.50 and below will not calculate properly with FIXED_WIDTHs 
+//    greater than 32
+`define FIXED_WIDTH     32 
+
+// This function takes a fixed point number and rounds it to the nearest
+//    fractional precision bit.
+function [`FIXED_WIDTH:1] round_frac
+   (
+      // Input is (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point number
+      input [`FIXED_WIDTH:1] decimal,  
+
+      // This describes the precision of the fraction, for example a value
+      //    of 1 would modify the fractional so that instead of being a .16
+      //    fractional, it would be a .1 (rounded to the nearest 0.5 in turn)
+      input [`FIXED_WIDTH:1] precision 
+   );
+
+   begin
+   
+   `ifdef DEBUG
+      $display("round_frac - decimal: %h, precision: %h", decimal, precision);
+   `endif
+      // If the fractional precision bit is high then round up
+      if( decimal[(`FRAC_PRECISION-precision)] == 1'b1) begin
+         round_frac = decimal + (1'b1 << (`FRAC_PRECISION-precision));
+      end else begin
+         round_frac = decimal;
+      end
+   `ifdef DEBUG
+      $display("round_frac: %h", round_frac);
+   `endif
+   end
+endfunction
+
+// This function calculates high_time, low_time, w_edge, and no_count
+//    of a non-fractional counter based on the divide and duty cycle
+//
+// NOTE: high_time and low_time are returned as integers between 0 and 63 
+//    inclusive.  64 should equal 6'b000000 (in other words it is okay to 
+//    ignore the overflow)
+function [13:0] mmcm_pll_divider
+   (
+      input [7:0] divide,        // Max divide is 128
+      input [31:0] duty_cycle    // Duty cycle is multiplied by 100,000
+   );
+
+   reg [`FIXED_WIDTH:1]    duty_cycle_fix;
+   
+   // High/Low time is initially calculated with a wider integer to prevent a
+   // calculation error when it overflows to 64.
+   reg [6:0]               high_time;
+   reg [6:0]               low_time;
+   reg                     w_edge;
+   reg                     no_count;
+
+   reg [`FIXED_WIDTH:1]    temp;
+
+   begin
+      // Duty Cycle must be between 0 and 1,000
+      if(duty_cycle <=0 || duty_cycle >= 100000) begin
+`ifndef SYNTHESIS
+         $display("ERROR: duty_cycle: %d is invalid", duty_cycle);
+   `endif
+         $finish;
+      end
+
+      // Convert to FIXED_WIDTH-FRAC_PRECISION.FRAC_PRECISION fixed point
+      duty_cycle_fix = (duty_cycle << `FRAC_PRECISION) / 100_000;
+      
+   `ifdef DEBUG
+      $display("duty_cycle_fix: %h", duty_cycle_fix);
+   `endif
+
+      // If the divide is 1 nothing needs to be set except the no_count bit.
+      //    Other values are dummies
+      if(divide == 7'h01) begin
+         high_time   = 7'h01;
+         w_edge      = 1'b0;
+         low_time    = 7'h01;
+         no_count    = 1'b1;
+      end else begin
+         temp = round_frac(duty_cycle_fix*divide, 1);
+
+         // comes from above round_frac
+         high_time   = temp[`FRAC_PRECISION+7:`FRAC_PRECISION+1]; 
+         // If the duty cycle * divide rounded is .5 or greater then this bit
+         //    is set.
+         w_edge      = temp[`FRAC_PRECISION]; // comes from round_frac
+         
+         // If the high time comes out to 0, it needs to be set to at least 1
+         // and w_edge set to 0
+         if(high_time == 7'h00) begin
+            high_time   = 7'h01;
+            w_edge      = 1'b0;
+         end
+
+         if(high_time == divide) begin
+            high_time   = divide - 1;
+            w_edge      = 1'b1;
+         end
+         
+         // Calculate low_time based on the divide setting and set no_count to
+         //    0 as it is only used when divide is 1.
+         low_time    = divide - high_time; 
+         no_count    = 1'b0;
+      end
+
+      // Set the return value.
+      mmcm_pll_divider = {w_edge,no_count,high_time[5:0],low_time[5:0]};
+   end
+endfunction
+
+// This function calculates mx, delay_time, and phase_mux 
+//  of a non-fractional counter based on the divide and phase
+//
+// NOTE: The only valid value for the MX bits is 2'b00 to ensure the coarse mux
+//    is used.
+function [10:0] mmcm_pll_phase
+   (
+      // divide must be an integer (use fractional if not)
+      //  assumed that divide already checked to be valid
+      input [7:0] divide, // Max divide is 128
+
+      // Phase is given in degrees (-360,000 to 360,000)
+      input signed [31:0] phase
+   );
+
+   reg [`FIXED_WIDTH:1] phase_in_cycles;
+   reg [`FIXED_WIDTH:1] phase_fixed;
+   reg [1:0]            mx;
+   reg [5:0]            delay_time;
+   reg [2:0]            phase_mux;
+
+   reg [`FIXED_WIDTH:1] temp;
+
+   begin
+`ifdef DEBUG
+      $display("pll_phase-divide:%d,phase:%d",
+         divide, phase);
+`endif
+   
+      if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+         $display("ERROR: phase of $phase is not between -360000 and 360000");
+`endif
+         $finish;
+      end
+
+      // If phase is less than 0, convert it to a positive phase shift
+      // Convert to (FIXED_WIDTH-FRAC_PRECISION).FRAC_PRECISION fixed point
+      if(phase < 0) begin
+         phase_fixed = ( (phase + 360000) << `FRAC_PRECISION ) / 1000;
+      end else begin
+         phase_fixed = ( phase << `FRAC_PRECISION ) / 1000;
+      end
+
+      // Put phase in terms of decimal number of vco clock cycles
+      phase_in_cycles = ( phase_fixed * divide ) / 360;
+
+`ifdef DEBUG
+      $display("phase_in_cycles: %h", phase_in_cycles);
+`endif  
+      
+
+	 temp  =  round_frac(phase_in_cycles, 3);
+
+	 // set mx to 2'b00 that the phase mux from the VCO is enabled
+	 mx    			=  2'b00; 
+	 phase_mux      =  temp[`FRAC_PRECISION:`FRAC_PRECISION-2];
+	 delay_time     =  temp[`FRAC_PRECISION+6:`FRAC_PRECISION+1];
+      
+   `ifdef DEBUG
+      $display("temp: %h", temp);
+   `endif
+
+      // Setup the return value
+      mmcm_pll_phase={mx, phase_mux, delay_time};
+   end
+endfunction
+
+// This function takes the divide value and outputs the necessary lock values
+function [39:0] mmcm_pll_lock_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [839:0]   lookup;
+   
+   begin
+      lookup = {
+         // This table is composed of:
+         // LockRefDly_LockFBDly_LockCnt_LockSatHigh_UnlockCnt
+         40'b00110_00110_1111101000_1111101001_0000000001, //1 illegal in Ultrascale+
+         40'b00110_00110_1111101000_1111101001_0000000001, //2
+         40'b01000_01000_1111101000_1111101001_0000000001, //3
+         40'b01011_01011_1111101000_1111101001_0000000001, //4
+         40'b01110_01110_1111101000_1111101001_0000000001, //5
+         40'b10001_10001_1111101000_1111101001_0000000001, //6
+         40'b10011_10011_1111101000_1111101001_0000000001, //7
+         40'b10110_10110_1111101000_1111101001_0000000001, //8
+         40'b11001_11001_1111101000_1111101001_0000000001, //9
+         40'b11100_11100_1111101000_1111101001_0000000001, //10
+         40'b11111_11111_1110000100_1111101001_0000000001, //11
+         40'b11111_11111_1100111001_1111101001_0000000001, //12
+         40'b11111_11111_1011101110_1111101001_0000000001, //13
+         40'b11111_11111_1010111100_1111101001_0000000001, //14
+         40'b11111_11111_1010001010_1111101001_0000000001, //15
+         40'b11111_11111_1001110001_1111101001_0000000001, //16
+         40'b11111_11111_1000111111_1111101001_0000000001, //17
+         40'b11111_11111_1000100110_1111101001_0000000001, //18
+         40'b11111_11111_1000001101_1111101001_0000000001, //19
+         40'b11111_11111_0111110100_1111101001_0000000001, //20
+         40'b11111_11111_0111011011_1111101001_0000000001  //21
+      };
+      
+      // Set lookup_entry with the explicit bits from lookup with a part select
+      mmcm_pll_lock_lookup = lookup[ ((21-divide)*40) +: 40];
+   `ifdef DEBUG
+      $display("lock_lookup: %b", pll_lock_lookup);
+   `endif
+   end
+endfunction
+
+// This function takes the divide value and the bandwidth setting of the PLL
+//  and outputs the digital filter settings necessary. Removing bandwidth setting for PLLE3.
+function [9:0] mmcm_pll_filter_lookup
+   (
+      input [6:0] divide // Max divide is 21
+   );
+   
+   reg [209:0] lookup;
+   reg [9:0] lookup_entry;
+   
+   begin
+
+      lookup = {
+         // CP_RES_LFHF
+         10'b0011_0111_11, //1  not legal in Ultrascale+
+         10'b0011_0111_11, //2
+         10'b0011_0011_11, //3
+         10'b0011_1001_11, //4
+         10'b0011_0001_11, //5
+         10'b0100_1110_11, //6
+         10'b0011_0110_11, //7
+         10'b0011_1010_11, //8
+         10'b0111_1001_11, //9
+         10'b0111_1001_11, //10
+         10'b0101_0110_11, //11
+         10'b1100_0101_11, //12
+         10'b0101_1010_11, //13
+         10'b0110_0110_11, //14
+         10'b0110_1010_11, //15
+         10'b0111_0110_11, //16
+         10'b1111_0101_11, //17
+         10'b1100_0110_11, //18
+         10'b1110_0001_11, //19
+         10'b1101_0110_11, //20
+         10'b1111_0001_11  //21
+      };
+      
+         mmcm_pll_filter_lookup = lookup [ ((21-divide)*10) +: 10];
+      
+   `ifdef DEBUG
+      $display("filter_lookup: %b", pll_filter_lookup);
+   `endif
+   end
+endfunction
+
+// This function set the CLKOUTPHY divide settings to match
+// the desired CLKOUTPHY_MODE setting. To create VCO_X2, then
+// the CLKOUTPHY will be set to 2'b00 since the VCO is internally
+// doubled and 2'b00 will represent divide by 1. Similarly "VCO" 
+// will need to divide the doubled clock VCO clock frequency by 
+// 2 therefore 2'b01 will match a divide by 2.And VCO_HALF will 
+// need to divide the doubled VCO by 4, therefore 2'b10
+function [9:0] mmcm_pll_clkoutphy_calc
+   (
+      input [8*9:0] CLKOUTPHY_MODE
+   );
+
+      if(CLKOUTPHY_MODE == "VCO_X2") begin
+         mmcm_pll_clkoutphy_calc= 2'b00;
+      end else if(CLKOUTPHY_MODE == "VCO") begin
+         mmcm_pll_clkoutphy_calc= 2'b01;
+      end else if(CLKOUTPHY_MODE == "CLKIN") begin
+         mmcm_pll_clkoutphy_calc= 2'b11;
+      end else begin // Assume "VCO_HALF"
+         mmcm_pll_clkoutphy_calc= 2'b10;
+      end
+      
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+function [37:0] mmcm_pll_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle // Multiplied by 100,000
+   );
+   
+   reg [13:0] div_calc;
+   reg [16:0] phase_calc;
+   
+   begin
+   `ifdef DEBUG
+      $display("pll_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+         divide, phase, duty_cycle);
+   `endif
+   
+      // w_edge[13], no_count[12], high_time[11:6], low_time[5:0]
+      div_calc = mmcm_pll_divider(divide, duty_cycle);
+      // mx[10:9], pm[8:6], dt[5:0]
+      phase_calc = mmcm_pll_phase(divide, phase);
+
+      // Return value is the upper and lower address of counter
+      //    Upper address is:
+      //       RESERVED    [31:26]
+      //       MX          [25:24]
+      //       EDGE        [23]
+      //       NOCOUNT     [22]
+      //       DELAY_TIME  [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX   [15:13]
+      //       RESERVED    [12]
+      //       HIGH_TIME   [11:6]
+      //       LOW_TIME    [5:0]
+      
+   `ifdef DEBUG
+      $display("div:%d dc:%d phase:%d ht:%d lt:%d ed:%d nc:%d mx:%d dt:%d pm:%d",
+         divide, duty_cycle, phase, div_calc[11:6], div_calc[5:0], 
+         div_calc[13], div_calc[12], 
+         phase_calc[16:15], phase_calc[5:0], 3'b000); //Removed PM_Rise bits
+   `endif
+      
+      mmcm_pll_count_calc =
+         {
+            // Upper Address
+            6'h00, phase_calc[10:9], div_calc[13:12], phase_calc[5:0], 
+            // Lower Address
+            phase_calc[8:6], 1'b0, div_calc[11:0]
+         };
+   end
+endfunction
+
+
+// This function takes in the divide, phase, and duty cycle
+// setting to calculate the upper and lower counter registers.
+// for fractional multiply/divide functions.
+//
+// 
+function [37:0] mmcm_pll_frac_count_calc
+   (
+      input [7:0] divide, // Max divide is 128
+      input signed [31:0] phase,
+      input [31:0] duty_cycle, // Multiplied by 1,000
+      input [9:0] frac // Multiplied by 1000
+   );
+   
+	//Required for fractional divide calculations
+			  reg	[7:0]			lt_frac;
+			  reg	[7:0]			ht_frac;
+			
+			  reg	/*[7:0]*/			wf_fall_frac;
+			  reg	/*[7:0]*/			wf_rise_frac;
+
+			  reg [31:0] a;
+			  reg	[7:0]			pm_rise_frac_filtered ;
+			  reg	[7:0]			pm_fall_frac_filtered ;	
+			  reg [7:0]			clkout0_divide_int;
+			  reg [2:0]			clkout0_divide_frac;
+			  reg	[7:0]			even_part_high;
+			  reg	[7:0]			even_part_low;
+
+			  reg	[7:0]			odd;
+			  reg	[7:0]			odd_and_frac;
+
+			  reg	[7:0]			pm_fall;
+			  reg	[7:0]			pm_rise;
+			  reg	[7:0]			dt;
+			  reg	[7:0]			dt_int; 
+			  reg [63:0]		dt_calc;
+
+			  reg	[7:0]			pm_rise_frac; 
+			  reg	[7:0]			pm_fall_frac;
+	 
+			  reg [31:0] a_per_in_octets;
+			  reg [31:0] a_phase_in_cycles;
+
+				parameter precision = 0.125;
+
+			  reg [31:0] phase_fixed; // changed to 31:0 from 32:1 jt 5/2/11
+			  reg [31: 0] phase_pos;
+			  reg [31: 0] phase_vco;
+			  reg [31:0] temp;// changed to 31:0 from 32:1 jt 5/2/11
+			  reg [13:0] div_calc;
+			  reg [16:0] phase_calc;
+
+   begin
+	`ifdef DEBUG
+			$display("pll_frac_count_calc- divide:%h, phase:%d, duty_cycle:%d",
+				divide, phase, duty_cycle);
+	`endif
+   
+   //convert phase to fixed
+   if ((phase < -360000) || (phase > 360000)) begin
+`ifndef SYNTHESIS
+      $display("ERROR: phase of $phase is not between -360000 and 360000");
+	`endif
+      $finish;
+   end
+
+
+      // Return value is
+      //    Transfer data
+      //       RESERVED     [37:36]
+      //       FRAC_TIME    [35:33]
+      //       FRAC_WF_FALL [32]
+      //    Upper address is:
+      //       RESERVED     [31:26]
+      //       MX           [25:24]
+      //       EDGE         [23]
+      //       NOCOUNT      [22]
+      //       DELAY_TIME   [21:16]
+      //    Lower Address is:
+      //       PHASE_MUX    [15:13]
+      //       RESERVED     [12]
+      //       HIGH_TIME    [11:6]
+      //       LOW_TIME     [5:0]
+      
+      
+
+	clkout0_divide_frac = frac / 125;
+	clkout0_divide_int = divide;
+
+	even_part_high = clkout0_divide_int >> 1;//$rtoi(clkout0_divide_int / 2);
+	even_part_low = even_part_high;
+									
+	odd = clkout0_divide_int - even_part_high - even_part_low;
+	odd_and_frac = (8*odd) + clkout0_divide_frac;
+
+	lt_frac = even_part_high - (odd_and_frac <= 9);//IF(odd_and_frac>9,even_part_high, even_part_high - 1)
+	ht_frac = even_part_low  - (odd_and_frac <= 8);//IF(odd_and_frac>8,even_part_low, even_part_low- 1)
+
+	pm_fall =  {odd[6:0],2'b00} + {6'h00, clkout0_divide_frac[2:1]}; // using >> instead of clkout0_divide_frac / 2 
+	pm_rise = 0; //0
+    
+	wf_fall_frac = (odd_and_frac >=2) && (odd_and_frac <=9);//IF(odd_and_frac>=2,IF(odd_and_frac <= 9,1,0),0)
+	wf_rise_frac = (odd_and_frac >=1) && (odd_and_frac <=8);//IF(odd_and_frac>=1,IF(odd_and_frac <= 8,1,0),0)
+
+
+
+	//Calculate phase in fractional cycles
+	a_per_in_octets		= (8 * divide) + (frac / 125) ;
+	a_phase_in_cycles	= (phase+10) * a_per_in_octets / 360000 ;//Adding 1 due to rounding errors
+	pm_rise_frac		= (a_phase_in_cycles[7:0] ==8'h00)?8'h00:a_phase_in_cycles[7:0] - {a_phase_in_cycles[7:3],3'b000};
+
+	dt_calc 	= ((phase+10) * a_per_in_octets / 8 )/360000 ;//TRUNC(phase* divide / 360); //or_simply (a_per_in_octets / 8)
+	dt 	= dt_calc[7:0];
+
+	pm_rise_frac_filtered = (pm_rise_frac >=8) ? (pm_rise_frac ) - 8: pm_rise_frac ;				//((phase_fixed * (divide + frac / 1000)) / 360) - {pm_rise_frac[7:3],3'b000};//$rtoi(clkout0_phase * clkout0_divide / 45);//a;
+
+	dt_int			= dt + (& pm_rise_frac[7:4]); //IF(pm_rise_overwriting>7,dt+1,dt)
+	pm_fall_frac		= pm_fall + pm_rise_frac;
+	pm_fall_frac_filtered	= pm_fall + pm_rise_frac - {pm_fall_frac[7:3], 3'b000};
+
+	div_calc	= mmcm_pll_divider(divide, duty_cycle); //Use to determine edge[7], no count[6]
+	phase_calc	= mmcm_pll_phase(divide, phase);// returns{mx[1:0], phase_mux[2:0], delay_time[5:0]}
+		
+      mmcm_pll_frac_count_calc[37:0] =
+         {		2'b00, pm_fall_frac_filtered[2:0], wf_fall_frac,
+			1'b0, clkout0_divide_frac[2:0], 1'b1, wf_rise_frac, phase_calc[10:9], div_calc[13:12], dt[5:0], 
+			3'b000, 1'b0, ht_frac[5:0], lt_frac[5:0] //Removed PM_Rise bits
+		} ;
+
+   `ifdef DEBUG
+      $display("-%d.%d p%d>>  :DADDR_9_15 frac30to28.frac_en.wf_r_frac.dt:%b%d%d_%b:DADDR_7_13 pm_f_frac_filtered_29to27.wf_f_frac_26:%b%d:DADDR_8_14.pm_r_frac_filt_15to13.ht_frac.lt_frac:%b%b%b:", divide, frac, phase, clkout0_divide_frac, 1, wf_rise_frac, dt, pm_fall_frac_filtered, wf_fall_frac, 3'b000, ht_frac, lt_frac);
+   `endif
+
+   end
+endfunction
+
diff --git a/game.hw/game.lpr b/game.hw/game.lpr
new file mode 100644
index 0000000..d56332c
--- /dev/null
+++ b/game.hw/game.lpr
@@ -0,0 +1,8 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2022.2 (64-bit)                     -->
+<!--                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.        -->
+
+<labtools version="1" minor="0">
+  <HWSession Dir="hw_1" File="hw.xml"/>
+</labtools>
diff --git a/game.hw/hw_1/hw.xml b/game.hw/hw_1/hw.xml
new file mode 100644
index 0000000..2e0f599
--- /dev/null
+++ b/game.hw/hw_1/hw.xml
@@ -0,0 +1,17 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2022.2 (64-bit)                     -->
+<!--                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.        -->
+
+<hwsession version="1" minor="2">
+  <device name="xc7a100t_0" gui_info=""/>
+  <ObjectList object_type="hw_device" gui_info="">
+    <Object name="xc7a100t_0" gui_info="">
+      <Properties Property="FULL_PROBES.FILE" value=""/>
+      <Properties Property="PROBES.FILE" value=""/>
+      <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/TopModule.bit"/>
+      <Properties Property="SLR.COUNT" value="1"/>
+    </Object>
+  </ObjectList>
+  <probeset name="hw project" active="false"/>
+</hwsession>
diff --git a/game.ip_user_files/README.txt b/game.ip_user_files/README.txt
new file mode 100644
index 0000000..023052c
--- /dev/null
+++ b/game.ip_user_files/README.txt
@@ -0,0 +1 @@
+The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended.
diff --git a/game.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/game.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
new file mode 100755
index 0000000..0fa97db
--- /dev/null
+++ b/game.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1__25.00000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_0
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  resetn             : in     std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_0
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   resetn => resetn,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game.ip_user_files/ip/clk_wiz_1/clk_wiz_1.vho b/game.ip_user_files/ip/clk_wiz_1/clk_wiz_1.vho
new file mode 100755
index 0000000..3bdc63c
--- /dev/null
+++ b/game.ip_user_files/ip/clk_wiz_1/clk_wiz_1.vho
@@ -0,0 +1,92 @@
+
+-- 
+-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+-- 
+-- This file contains confidential and proprietary information
+-- of Xilinx, Inc. and is protected under U.S. and
+-- international copyright and other intellectual property
+-- laws.
+-- 
+-- DISCLAIMER
+-- This disclaimer is not a license and does not grant any
+-- rights to the materials distributed herewith. Except as
+-- otherwise provided in a valid license issued to you by
+-- Xilinx, and to the maximum extent permitted by applicable
+-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+-- (2) Xilinx shall not be liable (whether in contract or tort,
+-- including negligence, or under any other theory of
+-- liability) for any loss or damage of any kind or nature
+-- related to, arising under or in connection with these
+-- materials, including for any direct, or any indirect,
+-- special, incidental, or consequential loss or damage
+-- (including loss of data, profits, goodwill, or any type of
+-- loss or damage suffered as a result of any action brought
+-- by a third party) even if such damage or loss was
+-- reasonably foreseeable or Xilinx had been advised of the
+-- possibility of the same.
+-- 
+-- CRITICAL APPLICATIONS
+-- Xilinx products are not designed or intended to be fail-
+-- safe, or for use in any application requiring fail-safe
+-- performance, such as life-support or safety devices or
+-- systems, Class III medical devices, nuclear facilities,
+-- applications related to the deployment of airbags, or any
+-- other applications that could lead to death, personal
+-- injury, or severe property or environmental damage
+-- (individually and collectively, "Critical
+-- Applications"). Customer assumes the sole risk and
+-- liability of any use of Xilinx products in Critical
+-- Applications, subject only to applicable laws and
+-- regulations governing limitations on product liability.
+-- 
+-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+-- PART OF THIS FILE AT ALL TIMES.
+-- 
+------------------------------------------------------------------------------
+-- User entered comments
+------------------------------------------------------------------------------
+-- None
+--
+------------------------------------------------------------------------------
+--  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
+--   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
+------------------------------------------------------------------------------
+-- clk_out1__25.00000______0.000______50.0______181.828____104.359
+--
+------------------------------------------------------------------------------
+-- Input Clock   Freq (MHz)    Input Jitter (UI)
+------------------------------------------------------------------------------
+-- __primary_________100.000____________0.010
+
+
+-- The following code must appear in the VHDL architecture header:
+------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
+component clk_wiz_1
+port
+ (-- Clock in ports
+  -- Clock out ports
+  clk_out1          : out    std_logic;
+  -- Status and control signals
+  resetn             : in     std_logic;
+  clk_in1           : in     std_logic
+ );
+end component;
+
+-- COMP_TAG_END ------ End COMPONENT Declaration ------------
+-- The following code must appear in the VHDL architecture
+-- body. Substitute your own instance name and net names.
+------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
+your_instance_name : clk_wiz_1
+   port map ( 
+  -- Clock out ports  
+   clk_out1 => clk_out1,
+  -- Status and control signals                
+   resetn => resetn,
+   -- Clock in ports
+   clk_in1 => clk_in1
+ );
+-- INST_TAG_END ------ End INSTANTIATION Template ------------
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
new file mode 100755
index 0000000..872bb57
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
@@ -0,0 +1,149 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Aldec Active-HDL Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     map_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     map_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Map library.cfg file
+map_setup_file()
+{
+  file="library.cfg"
+  lib_map_path=""
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      vmap -link $lib_map_path
+    fi
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work activehdl)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
new file mode 100644
index 0000000..a67ebc4
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
@@ -0,0 +1,22 @@
+vlib work
+vlib activehdl
+
+vlib activehdl/xpm
+vlib activehdl/xil_defaultlib
+
+vmap xpm activehdl/xpm
+vmap xil_defaultlib activehdl/xil_defaultlib
+
+vlog -work xpm  -sv2k12 "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_0" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vcom -work xil_defaultlib -93  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
new file mode 100644
index 0000000..cb21d11
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
@@ -0,0 +1,20 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim +access +r +m+clk_wiz_0  -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_0.udo}
+
+run
+
+endsim
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
new file mode 100755
index 0000000..22c6747
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
@@ -0,0 +1,165 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Mentor Graphics ModelSim Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64  -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="modelsim_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf modelsim_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
new file mode 100644
index 0000000..0485668
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
@@ -0,0 +1,22 @@
+vlib modelsim_lib/work
+vlib modelsim_lib/msim
+
+vlib modelsim_lib/msim/xpm
+vlib modelsim_lib/msim/xil_defaultlib
+
+vmap xpm modelsim_lib/msim/xpm
+vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib
+
+vlog -work xpm -64 -incr -mfcu  -sv "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_0" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vcom -work xil_defaultlib -64 -93  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
new file mode 100644
index 0000000..68a9ae7
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
@@ -0,0 +1,19 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim -voptargs="+acc "  -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -lib xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_0.udo}
+
+run 1000ns
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
new file mode 100755
index 0000000..d85db81
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
@@ -0,0 +1,172 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Mentor Graphics Questa Advanced Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  source elaborate.do 2>&1 | tee  elaborate.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64  -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="questa_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf questa_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
new file mode 100644
index 0000000..a07c691
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
@@ -0,0 +1,22 @@
+vlib questa_lib/work
+vlib questa_lib/msim
+
+vlib questa_lib/msim/xpm
+vlib questa_lib/msim/xil_defaultlib
+
+vmap xpm questa_lib/msim/xpm
+vmap xil_defaultlib questa_lib/msim/xil_defaultlib
+
+vlog -work xpm -64 -incr -mfcu  -sv "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_0" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vcom -work xil_defaultlib -64 -93  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
new file mode 100644
index 0000000..8a0f445
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
@@ -0,0 +1 @@
+vopt -64 +acc=npr -l elaborate.log  -L xil_defaultlib -L xpm -L unisims_ver -L unimacro_ver -L secureip -work xil_defaultlib xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_opt
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
new file mode 100644
index 0000000..81ab20f
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
@@ -0,0 +1,19 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim  -lib xil_defaultlib clk_wiz_0_opt
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_0.udo}
+
+run 1000ns
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
new file mode 100755
index 0000000..056e819
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
@@ -0,0 +1,151 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Aldec Riviera-PRO Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     map_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     map_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Map library.cfg file
+map_setup_file()
+{
+  file="library.cfg"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      vmap -link $lib_map_path
+    fi
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work riviera)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
new file mode 100644
index 0000000..667a7d5
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
@@ -0,0 +1,22 @@
+vlib work
+vlib riviera
+
+vlib riviera/xpm
+vlib riviera/xil_defaultlib
+
+vmap xpm riviera/xpm
+vmap xil_defaultlib riviera/xil_defaultlib
+
+vlog -work xpm  -sv2k12 "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_0" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+vcom -work xil_defaultlib -93  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
new file mode 100644
index 0000000..05f355e
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
@@ -0,0 +1,20 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim +access +r +m+clk_wiz_0  -L xpm -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_0.udo}
+
+run 1000ns
+
+endsim
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
new file mode 100755
index 0000000..7f76b9a
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
@@ -0,0 +1,234 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Synopsys Verilog Compiler Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Set vlogan compile options
+vlogan_opts="-full64 "
+
+# Set vhdlan compile options
+vhdlan_opts="-full64 "
+
+# Set vcs elaboration options
+vcs_elab_opts="-full64 -debug_acc+pp+dmptf -t ps -licqueue -l elaborate.log "
+
+# Set vcs simulation options
+vcs_sim_opts="-ucli -licqueue -l simulate.log "
+
+# Design libraries
+design_libs=(xpm xil_defaultlib)
+
+# Simulation root library directory
+sim_lib_dir="vcs_lib"
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  vlogan -work xpm $vlogan_opts -sverilog +incdir+"$ref_dir/../../../../game.gen/sources_1/ip/clk_wiz_0" \
+    "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+  2>&1 | tee -a vlogan.log
+
+  vhdlan -work xpm $vhdlan_opts \
+    "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+  2>&1 | tee -a vhdlan.log
+
+  vhdlan -work xil_defaultlib $vhdlan_opts \
+    "$ref_dir/../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+  2>&1 | tee -a vhdlan.log
+
+
+  vlogan -work xil_defaultlib $vlogan_opts +v2k \
+    glbl.v \
+  2>&1 | tee -a vlogan.log
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  vcs $vcs_elab_opts xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -o clk_wiz_0_simv
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  ./clk_wiz_0_simv $vcs_sim_opts -do simulate.do
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+      create_lib_mappings $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+      create_lib_mappings $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Define design library mappings
+create_lib_mappings()
+{
+  file="synopsys_sim.setup"
+  if [[ -e $file ]]; then
+    if [[ ($1 == "") ]]; then
+      return
+    else
+      rm -rf $file
+    fi
+  fi
+
+  touch $file
+
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    mapping="$lib:$sim_lib_dir/$lib"
+    echo $mapping >> $file
+  done
+
+  if [[ ($lib_map_path != "") ]]; then
+    incl_ref="OTHERS=$lib_map_path/synopsys_sim.setup"
+    echo $incl_ref >> $file
+  fi
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(ucli.key clk_wiz_0_simv vlogan.log vhdlan.log compile.log elaborate.log simulate.log .vlogansetup.env .vlogansetup.args .vcs_lib_lock scirocco_command.log 64 AN.DB csrc clk_wiz_0_simv.daidir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
new file mode 100644
index 0000000..b77c6f1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
@@ -0,0 +1,2 @@
+run 1000ns
+quit
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt
new file mode 100644
index 0000000..0a8c167
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt
@@ -0,0 +1,48 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'execute' function for the single-step flow. This
+function is called from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh
new file mode 100755
index 0000000..b91f012
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh
@@ -0,0 +1,176 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Cadence Xcelium Parallel Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_0.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Set the compiled library directory path
+ref_lib_dir="."
+
+# Set xrun options
+xrun_opts="-64bit -v93 -relax -access +rwc -namemap_mixgen "
+
+# Design libraries
+design_libs=(simprims_ver xpm xil_defaultlib)
+
+# Simulation root library directory
+sim_lib_dir="xcelium_lib"
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  execute
+}
+
+# RUN_STEP: <execute>
+execute()
+{
+  xrun $xrun_opts \
+       -reflib "$ref_lib_dir/unisim:unisim" \
+       -reflib "$ref_lib_dir/unisims_ver:unisims_ver" \
+       -reflib "$ref_lib_dir/secureip:secureip" \
+       -reflib "$ref_lib_dir/unimacro:unimacro" \
+       -reflib "$ref_lib_dir/unimacro_ver:unimacro_ver" \
+       -top xil_defaultlib.clk_wiz_0 \
+       -f run.f \
+       -top glbl \
+       +incdir+"../../../../game.gen/sources_1/ip/clk_wiz_0" \
+       -input simulate.do
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      else
+        ref_lib_dir=$2
+      fi
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(xmsim.key xrun.key xrun.log waves.shm xrun.history .simvision xcelium.d xcelium)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt
new file mode 100644
index 0000000..275a6e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt
@@ -0,0 +1,4 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f
new file mode 100644
index 0000000..f953cff
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f
@@ -0,0 +1,13 @@
+-makelib xcelium_lib/xpm -sv \
+  "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+-endlib
+-makelib xcelium_lib/xpm \
+  "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+-endlib
+-makelib xcelium_lib/xil_defaultlib \
+  "../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+-endlib
+-makelib xcelium_lib/xil_defaultlib \
+  glbl.v
+-endlib
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do
new file mode 100644
index 0000000..fb6d1f7
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do
@@ -0,0 +1,2 @@
+run 1000ns
+exit
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
new file mode 100644
index 0000000..193e9e2
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 10:47:03 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_0.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_0.sh' script.
+
+./clk_wiz_0.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_0.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_0.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
new file mode 100755
index 0000000..659543d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
@@ -0,0 +1,219 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_0.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 10:47:03 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_0.sh [-help]
+# usage: clk_wiz_0.sh [-lib_map_path]
+# usage: clk_wiz_0.sh [-noclean_files]
+# usage: clk_wiz_0.sh [-reset_run]
+#
+#*********************************************************************************************************
+
+# Set xvlog options
+xvlog_opts="--incr --relax "
+
+# Set xvlog options
+xvhdl_opts="--incr --relax "
+
+# Script info
+echo -e "clk_wiz_0.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  xvlog $xvlog_opts -prj vlog.prj 2>&1 | tee compile.log
+  xvhdl $xvhdl_opts -prj vhdl.prj 2>&1 | tee compile.log
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  xelab --incr --debug typical --relax --mt auto  -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot clk_wiz_0 xil_defaultlib.clk_wiz_0 xil_defaultlib.glbl -log elaborate.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  xsim  clk_wiz_0 -key {Behavioral:sim_1:Functional:clk_wiz_0} -tclbatch cmd.tcl -log simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_0.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy xsim.ini file
+copy_setup_file()
+{
+  file="xsim.ini"
+  lib_map_path="/opt/Xilinx/Vivado/2022.2/data/xsim"
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      cp $src_file .
+    fi
+
+    # Map local design libraries to xsim.ini
+    map_local_libs
+
+  fi
+}
+
+# Map local design libraries
+map_local_libs()
+{
+  updated_mappings=()
+  local_mappings=()
+
+  # Local design libraries
+  local_libs=()
+
+  if [[ 0 == ${#local_libs[@]} ]]; then
+    return
+  fi
+
+  file="xsim.ini"
+  file_backup="xsim.ini.bak"
+
+  if [[ -e $file ]]; then
+    rm -f $file_backup
+
+    # Create a backup copy of the xsim.ini file
+    cp $file $file_backup
+
+    # Read libraries from backup file and search in local library collection
+    while read -r line
+    do
+      IN=$line
+
+      # Split mapping entry with '=' delimiter to fetch library name and mapping
+      read lib_name mapping <<<$(IFS="="; echo $IN)
+
+      # If local library found, then construct the local mapping and add to local mapping collection
+      if `echo ${local_libs[@]} | grep -wq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        local_mappings+=("$lib_name")
+      fi
+
+      # Add to updated library mapping collection
+      updated_mappings+=("$line")
+    done < "$file_backup"
+
+    # Append local libraries not found originally from xsim.ini
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      if `echo ${local_mappings[@]} | grep -wvq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        updated_mappings+=("$line")
+      fi
+    done
+
+    # Write updated mappings in xsim.ini
+    rm -f $file
+    for (( i=0; i<${#updated_mappings[*]}; i++ )); do
+      lib_name="${updated_mappings[i]}"
+      echo $lib_name >> $file
+    done
+  else
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      mapping="$lib_name=xsim.dir/$lib_name"
+      echo $mapping >> $file
+    done
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(xelab.pb xsim.jou xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log xvhdl.pb xvlog.pb clk_wiz_0.wdb xsim.dir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_0.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_0.sh [-help]\n\
+Usage: clk_wiz_0.sh [-lib_map_path]\n\
+Usage: clk_wiz_0.sh [-reset_run]\n\
+Usage: clk_wiz_0.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
new file mode 100644
index 0000000..6ac0dc8
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
@@ -0,0 +1,12 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
+quit
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
new file mode 100644
index 0000000..35382c8
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
@@ -0,0 +1,2 @@
+clk_wiz_0_sim_netlist.vhdl,vhdl,xil_defaultlib,../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,incdir="../../../../game.gen/sources_1/ip/clk_wiz_0"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vhdl.prj b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vhdl.prj
new file mode 100644
index 0000000..deb0801
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vhdl.prj
@@ -0,0 +1,4 @@
+vhdl xil_defaultlib  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+
+nosort
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
new file mode 100644
index 0000000..35119d5
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
@@ -0,0 +1,4 @@
+
+verilog xil_defaultlib "glbl.v"
+
+nosort
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.sh
new file mode 100755
index 0000000..4976e1f
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.sh
@@ -0,0 +1,149 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Aldec Active-HDL Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+     map_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     map_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Map library.cfg file
+map_setup_file()
+{
+  file="library.cfg"
+  lib_map_path=""
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      vmap -link $lib_map_path
+    fi
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work activehdl)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.udo b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/clk_wiz_1.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/compile.do
new file mode 100644
index 0000000..edb5679
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/compile.do
@@ -0,0 +1,19 @@
+vlib work
+vlib activehdl
+
+vlib activehdl/xpm
+vlib activehdl/xil_defaultlib
+
+vmap xpm activehdl/xpm
+vmap xil_defaultlib activehdl/xil_defaultlib
+
+vlog -work xpm  -sv2k12 "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_1" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/simulate.do
new file mode 100644
index 0000000..7cf3fb7
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/simulate.do
@@ -0,0 +1,20 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim +access +r +m+clk_wiz_1  -L xpm -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_1 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_1.udo}
+
+run
+
+endsim
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/activehdl/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.sh
new file mode 100755
index 0000000..16f2443
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.sh
@@ -0,0 +1,165 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Mentor Graphics ModelSim Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64  -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="modelsim_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf modelsim_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.udo b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/clk_wiz_1.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/compile.do
new file mode 100644
index 0000000..12bf40c
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/compile.do
@@ -0,0 +1,19 @@
+vlib modelsim_lib/work
+vlib modelsim_lib/msim
+
+vlib modelsim_lib/msim/xpm
+vlib modelsim_lib/msim/xil_defaultlib
+
+vmap xpm modelsim_lib/msim/xpm
+vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib
+
+vlog -work xpm -64 -incr -mfcu  -sv "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_1" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/simulate.do
new file mode 100644
index 0000000..62ddcce
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/simulate.do
@@ -0,0 +1,19 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim -voptargs="+acc "  -L xpm -L unisims_ver -L unimacro_ver -L secureip -lib xil_defaultlib xil_defaultlib.clk_wiz_1 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_1.udo}
+
+run 1000ns
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/modelsim/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.sh
new file mode 100755
index 0000000..0768a3d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.sh
@@ -0,0 +1,172 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Mentor Graphics Questa Advanced Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  source elaborate.do 2>&1 | tee  elaborate.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  vsim -64  -c -do "do {simulate.do}" -l simulate.log
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy modelsim.ini file
+copy_setup_file()
+{
+  file="modelsim.ini"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    cp $src_file .
+  fi
+}
+
+# Create design library directory
+create_lib_dir()
+{
+  lib_dir="questa_lib"
+  if [[ -e $lib_dir ]]; then
+    rm -rf $lib_dir
+  fi
+
+  mkdir $lib_dir
+
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaborate.log simulate.log vsim.wlf questa_lib)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.udo b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/clk_wiz_1.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/compile.do
new file mode 100644
index 0000000..45cbc5e
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/compile.do
@@ -0,0 +1,19 @@
+vlib questa_lib/work
+vlib questa_lib/msim
+
+vlib questa_lib/msim/xpm
+vlib questa_lib/msim/xil_defaultlib
+
+vmap xpm questa_lib/msim/xpm
+vmap xil_defaultlib questa_lib/msim/xil_defaultlib
+
+vlog -work xpm -64 -incr -mfcu  -sv "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_1" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -64 -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/elaborate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/elaborate.do
new file mode 100644
index 0000000..fc77006
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/elaborate.do
@@ -0,0 +1 @@
+vopt -64 +acc=npr -l elaborate.log  -L xpm -L unisims_ver -L unimacro_ver -L secureip -work xil_defaultlib xil_defaultlib.clk_wiz_1 xil_defaultlib.glbl -o clk_wiz_1_opt
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/simulate.do
new file mode 100644
index 0000000..0614ca7
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/simulate.do
@@ -0,0 +1,19 @@
+onbreak {quit -f}
+onerror {quit -f}
+
+vsim  -lib xil_defaultlib clk_wiz_1_opt
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+view signals
+
+do {clk_wiz_1.udo}
+
+run 1000ns
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/questa/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/questa/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.sh
new file mode 100755
index 0000000..2a27335
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.sh
@@ -0,0 +1,151 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Aldec Riviera-PRO Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  source compile.do 2>&1 | tee -a compile.log
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  runvsimsa -l simulate.log -do "do {simulate.do}"
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+     map_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     map_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Map library.cfg file
+map_setup_file()
+{
+  file="library.cfg"
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      vmap -link $lib_map_path
+    fi
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(compile.log elaboration.log simulate.log dataset.asdb work riviera)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.udo b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/clk_wiz_1.udo
new file mode 100644
index 0000000..e69de29
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/compile.do b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/compile.do
new file mode 100644
index 0000000..cecc0dc
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/compile.do
@@ -0,0 +1,19 @@
+vlib work
+vlib riviera
+
+vlib riviera/xpm
+vlib riviera/xil_defaultlib
+
+vmap xpm riviera/xpm
+vmap xil_defaultlib riviera/xil_defaultlib
+
+vlog -work xpm  -sv2k12 "+incdir+../../../../game.gen/sources_1/ip/clk_wiz_1" \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+
+vcom -work xpm -93  \
+"/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+
+
+vlog -work xil_defaultlib \
+"glbl.v"
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/simulate.do
new file mode 100644
index 0000000..acb65f1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/simulate.do
@@ -0,0 +1,20 @@
+onbreak {quit -force}
+onerror {quit -force}
+
+asim +access +r +m+clk_wiz_1  -L xpm -L unisims_ver -L unimacro_ver -L secureip -O5 xil_defaultlib.clk_wiz_1 xil_defaultlib.glbl
+
+set NumericStdNoWarnings 1
+set StdArithNoWarnings 1
+
+do {wave.do}
+
+view wave
+view structure
+
+do {clk_wiz_1.udo}
+
+run 1000ns
+
+endsim
+
+quit -force
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/wave.do b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/wave.do
new file mode 100644
index 0000000..70157b0
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/riviera/wave.do
@@ -0,0 +1,2 @@
+add wave *
+add wave /glbl/GSR
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/clk_wiz_1.sh
new file mode 100755
index 0000000..27393e1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/clk_wiz_1.sh
@@ -0,0 +1,230 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Synopsys Verilog Compiler Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Set vlogan compile options
+vlogan_opts="-full64 "
+
+# Set vhdlan compile options
+vhdlan_opts="-full64 "
+
+# Set vcs elaboration options
+vcs_elab_opts="-full64 -debug_acc+pp+dmptf -t ps -licqueue -l elaborate.log "
+
+# Set vcs simulation options
+vcs_sim_opts="-ucli -licqueue -l simulate.log "
+
+# Design libraries
+design_libs=(xpm)
+
+# Simulation root library directory
+sim_lib_dir="vcs_lib"
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  vlogan -work xpm $vlogan_opts -sverilog +incdir+"$ref_dir/../../../../game.gen/sources_1/ip/clk_wiz_1" \
+    "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+  2>&1 | tee -a vlogan.log
+
+  vhdlan -work xpm $vhdlan_opts \
+    "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+  2>&1 | tee -a vhdlan.log
+
+
+  vlogan -work xil_defaultlib $vlogan_opts +v2k \
+    glbl.v \
+  2>&1 | tee -a vlogan.log
+}
+
+# RUN_STEP: <elaborate>
+elaborate()
+{
+  vcs $vcs_elab_opts xil_defaultlib.clk_wiz_1 xil_defaultlib.glbl -o clk_wiz_1_simv
+}
+
+# RUN_STEP: <simulate>
+simulate()
+{
+  ./clk_wiz_1_simv $vcs_sim_opts -do simulate.do
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+      create_lib_mappings $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+      create_lib_mappings $2
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Define design library mappings
+create_lib_mappings()
+{
+  file="synopsys_sim.setup"
+  if [[ -e $file ]]; then
+    if [[ ($1 == "") ]]; then
+      return
+    else
+      rm -rf $file
+    fi
+  fi
+
+  touch $file
+
+  lib_map_path="<SPECIFY_COMPILED_LIB_PATH>"
+  if [[ ($1 != "" && -e $1) ]]; then
+    lib_map_path="$1"
+  else
+    echo -e "ERROR: Compiled simulation library directory path not specified or does not exist (type "./top.sh -help" for more information)\n"
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    mapping="$lib:$sim_lib_dir/$lib"
+    echo $mapping >> $file
+  done
+
+  if [[ ($lib_map_path != "") ]]; then
+    incl_ref="OTHERS=$lib_map_path/synopsys_sim.setup"
+    echo $incl_ref >> $file
+  fi
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(ucli.key clk_wiz_1_simv vlogan.log vhdlan.log compile.log elaborate.log simulate.log .vlogansetup.env .vlogansetup.args .vcs_lib_lock scirocco_command.log 64 AN.DB csrc clk_wiz_1_simv.daidir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/simulate.do
new file mode 100644
index 0000000..b77c6f1
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/vcs/simulate.do
@@ -0,0 +1,2 @@
+run 1000ns
+quit
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/README.txt
new file mode 100644
index 0000000..bbfd6b9
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/README.txt
@@ -0,0 +1,48 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'execute' function for the single-step flow. This
+function is called from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/clk_wiz_1.sh
new file mode 100755
index 0000000..ca5ad31
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/clk_wiz_1.sh
@@ -0,0 +1,176 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Cadence Xcelium Parallel Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+# Prerequisite:- To compile and run simulation, you must compile the Xilinx simulation libraries using the
+# 'compile_simlib' TCL command. For more information about this command, run 'compile_simlib -help' in the
+# Vivado Tcl Shell. Once the libraries have been compiled successfully, specify the -lib_map_path switch
+# that points to these libraries and rerun export_simulation. For more information about this switch please
+# type 'export_simulation -help' in the Tcl shell.
+#
+# You can also point to the simulation libraries by either replacing the <SPECIFY_COMPILED_LIB_PATH> in this
+# script with the compiled library directory path or specify this path with the '-lib_map_path' switch when
+# executing this script. Please type 'clk_wiz_1.sh -help' for more information.
+#
+# Additional references - 'Xilinx Vivado Design Suite User Guide:Logic simulation (UG900)'
+#
+#*********************************************************************************************************
+
+# Directory path for design sources and include directories (if any) wrt this path
+ref_dir="."
+
+# Override directory with 'export_sim_ref_dir' env path value if set in the shell
+if [[ (! -z "$export_sim_ref_dir") && ($export_sim_ref_dir != "") ]]; then
+  ref_dir="$export_sim_ref_dir"
+fi
+
+# Set the compiled library directory path
+ref_lib_dir="."
+
+# Set xrun options
+xrun_opts="-64bit -v93 -relax -access +rwc -namemap_mixgen "
+
+# Design libraries
+design_libs=(simprims_ver xpm)
+
+# Simulation root library directory
+sim_lib_dir="xcelium_lib"
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  execute
+}
+
+# RUN_STEP: <execute>
+execute()
+{
+  xrun $xrun_opts \
+       -reflib "$ref_lib_dir/unisim:unisim" \
+       -reflib "$ref_lib_dir/unisims_ver:unisims_ver" \
+       -reflib "$ref_lib_dir/secureip:secureip" \
+       -reflib "$ref_lib_dir/unimacro:unimacro" \
+       -reflib "$ref_lib_dir/unimacro_ver:unimacro_ver" \
+       -top xil_defaultlib.clk_wiz_1 \
+       -f run.f \
+       -top glbl \
+       +incdir+"../../../../game.gen/sources_1/ip/clk_wiz_1" \
+       -input simulate.do
+}
+
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      else
+        ref_lib_dir=$2
+      fi
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+  esac
+
+  create_lib_dir
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Create design library directory paths
+create_lib_dir()
+{
+  if [[ -e $sim_lib_dir ]]; then
+    rm -rf $sim_lib_dir
+  fi
+
+  for (( i=0; i<${#design_libs[*]}; i++ )); do
+    lib="${design_libs[i]}"
+    lib_dir="$sim_lib_dir/$lib"
+    if [[ ! -e $lib_dir ]]; then
+      mkdir -p $lib_dir
+    fi
+  done
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(xmsim.key xrun.key xrun.log waves.shm xrun.history .simvision xcelium.d xcelium)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+
+  create_lib_dir
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/file_info.txt
new file mode 100644
index 0000000..1ead462
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/file_info.txt
@@ -0,0 +1,3 @@
+xpm_cdc.sv,systemverilog,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+xpm_VCOMP.vhd,vhdl,xpm,../../../opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd,incdir="../../../../game.gen/sources_1/ip/clk_wiz_1"
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/run.f b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/run.f
new file mode 100644
index 0000000..7998e87
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/run.f
@@ -0,0 +1,10 @@
+-makelib xcelium_lib/xpm -sv \
+  "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \
+-endlib
+-makelib xcelium_lib/xpm \
+  "/opt/Xilinx/Vivado/2022.2/data/ip/xpm/xpm_VCOMP.vhd" \
+-endlib
+-makelib xcelium_lib/xil_defaultlib \
+  glbl.v
+-endlib
+
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/simulate.do b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/simulate.do
new file mode 100644
index 0000000..fb6d1f7
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xcelium/simulate.do
@@ -0,0 +1,2 @@
+run 1000ns
+exit
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/README.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/README.txt
new file mode 100644
index 0000000..5895c5d
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/README.txt
@@ -0,0 +1,49 @@
+################################################################################
+# Vivado (TM) v2022.2 (64-bit)
+#
+# README.txt: Please read the sections below to understand the steps required to
+#             run the exported script and information about the source files.
+#
+# Generated by export_simulation on Mon Feb 27 12:44:43 CET 2023
+#
+################################################################################
+
+1. How to run the generated simulation script:-
+
+From the shell prompt in the current directory, issue the following command:-
+
+./clk_wiz_1.sh
+
+This command will launch the 'compile', 'elaborate' and 'simulate' functions
+implemented in the script file for the 3-step flow. These functions are called
+from the main 'run' function in the script file.
+
+The 'run' function first executes the 'setup' function, the purpose of which is to
+create simulator specific setup files, create design library mappings and library
+directories and copy 'glbl.v' from the Vivado software install location into the
+current directory.
+
+The 'setup' function is also used for removing the simulator generated data in
+order to reset the current directory to the original state when export_simulation
+was launched from Vivado. This generated data can be removed by specifying the
+'-reset_run' switch to the './clk_wiz_1.sh' script.
+
+./clk_wiz_1.sh -reset_run
+
+To keep the generated data from the previous run but regenerate the setup files and
+library directories, use the '-noclean_files' switch.
+
+./clk_wiz_1.sh -noclean_files
+
+For more information on the script, please type './clk_wiz_1.sh -help'.
+
+2. Additional design information files:-
+
+export_simulation generates following additional file that can be used for fetching
+the design files information or for integrating with external custom scripts.
+
+Name   : file_info.txt
+Purpose: This file contains detail design file information based on the compile order
+         when export_simulation was executed from Vivado. The file contains information
+         about the file type, name, whether it is part of the IP, associated library
+         and the file path information.
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/clk_wiz_1.sh b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/clk_wiz_1.sh
new file mode 100755
index 0000000..b4aa5fe
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/clk_wiz_1.sh
@@ -0,0 +1,212 @@
+#!/bin/bash -f
+#*********************************************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : clk_wiz_1.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Simulation script for compiling, elaborating and verifying the project source files.
+#               The script will automatically create the design libraries sub-directories in the run
+#               directory, add the library logical mappings in the simulator setup file, create default
+#               'do/prj' file, execute compilation, elaboration and simulation steps.
+#
+# Generated by Vivado on Mon Feb 27 12:44:43 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# Tool Version Limit: 2022.10 
+#
+# usage: clk_wiz_1.sh [-help]
+# usage: clk_wiz_1.sh [-lib_map_path]
+# usage: clk_wiz_1.sh [-noclean_files]
+# usage: clk_wiz_1.sh [-reset_run]
+#
+#*********************************************************************************************************
+
+# Set xvlog options
+xvlog_opts="--incr --relax "
+
+# Script info
+echo -e "clk_wiz_1.sh - Script generated by export_simulation (Vivado v2022.2 (64-bit)-id)\n"
+
+# Main steps
+run()
+{
+  check_args $# $1
+  setup $1 $2
+  compile
+  elaborate
+  simulate
+}
+
+# RUN_STEP: <compile>
+compile()
+{
+  # None (no simulation source files found)
+  echo -e "INFO: No simulation source file(s) to compile\n"
+  exit 0
+}
+# RUN_STEP: <elaborate>
+elaborate()
+{
+# None (no sources present)
+# RUN_STEP: <simulate>
+simulate()
+{
+# None (no sources present)
+# STEP: setup
+setup()
+{
+  case $1 in
+    "-lib_map_path" )
+      if [[ ($2 == "") ]]; then
+        echo -e "ERROR: Simulation library directory path not specified (type \"./clk_wiz_1.sh -help\" for more information)\n"
+        exit 1
+      fi
+     copy_setup_file $2
+    ;;
+    "-reset_run" )
+      reset_run
+      echo -e "INFO: Simulation run files deleted.\n"
+      exit 0
+    ;;
+    "-noclean_files" )
+      # do not remove previous data
+    ;;
+    * )
+     copy_setup_file $2
+  esac
+
+  # Add any setup/initialization commands here:-
+
+  # <user specific commands>
+
+}
+
+# Copy xsim.ini file
+copy_setup_file()
+{
+  file="xsim.ini"
+  lib_map_path="/opt/Xilinx/Vivado/2022.2/data/xsim"
+  if [[ ($1 != "") ]]; then
+    lib_map_path="$1"
+  fi
+  if [[ ($lib_map_path != "") ]]; then
+    src_file="$lib_map_path/$file"
+    if [[ -e $src_file ]]; then
+      cp $src_file .
+    fi
+
+    # Map local design libraries to xsim.ini
+    map_local_libs
+
+  fi
+}
+
+# Map local design libraries
+map_local_libs()
+{
+  updated_mappings=()
+  local_mappings=()
+
+  # Local design libraries
+  local_libs=()
+
+  if [[ 0 == ${#local_libs[@]} ]]; then
+    return
+  fi
+
+  file="xsim.ini"
+  file_backup="xsim.ini.bak"
+
+  if [[ -e $file ]]; then
+    rm -f $file_backup
+
+    # Create a backup copy of the xsim.ini file
+    cp $file $file_backup
+
+    # Read libraries from backup file and search in local library collection
+    while read -r line
+    do
+      IN=$line
+
+      # Split mapping entry with '=' delimiter to fetch library name and mapping
+      read lib_name mapping <<<$(IFS="="; echo $IN)
+
+      # If local library found, then construct the local mapping and add to local mapping collection
+      if `echo ${local_libs[@]} | grep -wq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        local_mappings+=("$lib_name")
+      fi
+
+      # Add to updated library mapping collection
+      updated_mappings+=("$line")
+    done < "$file_backup"
+
+    # Append local libraries not found originally from xsim.ini
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      if `echo ${local_mappings[@]} | grep -wvq $lib_name` ; then
+        line="$lib_name=xsim.dir/$lib_name"
+        updated_mappings+=("$line")
+      fi
+    done
+
+    # Write updated mappings in xsim.ini
+    rm -f $file
+    for (( i=0; i<${#updated_mappings[*]}; i++ )); do
+      lib_name="${updated_mappings[i]}"
+      echo $lib_name >> $file
+    done
+  else
+    for (( i=0; i<${#local_libs[*]}; i++ )); do
+      lib_name="${local_libs[i]}"
+      mapping="$lib_name=xsim.dir/$lib_name"
+      echo $mapping >> $file
+    done
+  fi
+}
+
+# Delete generated data from the previous run
+reset_run()
+{
+  files_to_remove=(xelab.pb xsim.jou xvhdl.log xvlog.log compile.log elaborate.log simulate.log xelab.log xsim.log run.log xvhdl.pb xvlog.pb clk_wiz_1.wdb xsim.dir)
+  for (( i=0; i<${#files_to_remove[*]}; i++ )); do
+    file="${files_to_remove[i]}"
+    if [[ -e $file ]]; then
+      rm -rf $file
+    fi
+  done
+}
+
+# Check command line arguments
+check_args()
+{
+  if [[ ($1 == 1 ) && ($2 != "-lib_map_path" && $2 != "-noclean_files" && $2 != "-reset_run" && $2 != "-help" && $2 != "-h") ]]; then
+    echo -e "ERROR: Unknown option specified '$2' (type \"./clk_wiz_1.sh -help\" for more information)\n"
+    exit 1
+  fi
+
+  if [[ ($2 == "-help" || $2 == "-h") ]]; then
+    usage
+  fi
+}
+
+# Script usage
+usage()
+{
+  msg="Usage: clk_wiz_1.sh [-help]\n\
+Usage: clk_wiz_1.sh [-lib_map_path]\n\
+Usage: clk_wiz_1.sh [-reset_run]\n\
+Usage: clk_wiz_1.sh [-noclean_files]\n\n\
+[-help] -- Print help information for this script\n\n\
+[-lib_map_path <path>] -- Compiled simulation library directory path. The simulation library is compiled\n\
+using the compile_simlib tcl command. Please see 'compile_simlib -help' for more information.\n\n\
+[-reset_run] -- Recreate simulator setup files and library mappings for a clean run. The generated files\n\
+from the previous run will be removed. If you don't want to remove the simulator generated files, use the\n\
+-noclean_files switch.\n\n\
+[-noclean_files] -- Reset previous run, but do not remove simulator generated files from the previous run.\n\n"
+  echo -e $msg
+  exit 1
+}
+
+# Launch script
+run $1 $2
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/file_info.txt b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/file_info.txt
new file mode 100644
index 0000000..38264b9
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/file_info.txt
@@ -0,0 +1 @@
+glbl.v,Verilog,xil_defaultlib,glbl.v
diff --git a/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/glbl.v b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.ip_user_files/sim_scripts/clk_wiz_1/xsim/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.runs/.jobs/vrs_config_1.xml b/game.runs/.jobs/vrs_config_1.xml
new file mode 100644
index 0000000..7e60f1f
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_1.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="clk_wiz_0_synth_1" LaunchDir="/home/prasic/game/game.runs/clk_wiz_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_10.xml b/game.runs/.jobs/vrs_config_10.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_10.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_11.xml b/game.runs/.jobs/vrs_config_11.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_11.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_12.xml b/game.runs/.jobs/vrs_config_12.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_12.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_13.xml b/game.runs/.jobs/vrs_config_13.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_13.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_14.xml b/game.runs/.jobs/vrs_config_14.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_14.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_15.xml b/game.runs/.jobs/vrs_config_15.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_15.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_16.xml b/game.runs/.jobs/vrs_config_16.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_16.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_17.xml b/game.runs/.jobs/vrs_config_17.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_17.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_18.xml b/game.runs/.jobs/vrs_config_18.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_18.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_19.xml b/game.runs/.jobs/vrs_config_19.xml
new file mode 100644
index 0000000..5bdc25d
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_19.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_2.xml b/game.runs/.jobs/vrs_config_2.xml
new file mode 100644
index 0000000..bf9e98b
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_2.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_20.xml b/game.runs/.jobs/vrs_config_20.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_20.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_21.xml b/game.runs/.jobs/vrs_config_21.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_21.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_22.xml b/game.runs/.jobs/vrs_config_22.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_22.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_23.xml b/game.runs/.jobs/vrs_config_23.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_23.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_24.xml b/game.runs/.jobs/vrs_config_24.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_24.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_25.xml b/game.runs/.jobs/vrs_config_25.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_25.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_26.xml b/game.runs/.jobs/vrs_config_26.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_26.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_27.xml b/game.runs/.jobs/vrs_config_27.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_27.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_28.xml b/game.runs/.jobs/vrs_config_28.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_28.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_29.xml b/game.runs/.jobs/vrs_config_29.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_29.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_3.xml b/game.runs/.jobs/vrs_config_3.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_3.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_30.xml b/game.runs/.jobs/vrs_config_30.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_30.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_31.xml b/game.runs/.jobs/vrs_config_31.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_31.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_32.xml b/game.runs/.jobs/vrs_config_32.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_32.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_33.xml b/game.runs/.jobs/vrs_config_33.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_33.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_34.xml b/game.runs/.jobs/vrs_config_34.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_34.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_35.xml b/game.runs/.jobs/vrs_config_35.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_35.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_36.xml b/game.runs/.jobs/vrs_config_36.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_36.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_37.xml b/game.runs/.jobs/vrs_config_37.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_37.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_38.xml b/game.runs/.jobs/vrs_config_38.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_38.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_39.xml b/game.runs/.jobs/vrs_config_39.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_39.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_4.xml b/game.runs/.jobs/vrs_config_4.xml
new file mode 100644
index 0000000..07b1a23
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_4.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_40.xml b/game.runs/.jobs/vrs_config_40.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_40.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_41.xml b/game.runs/.jobs/vrs_config_41.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_41.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_42.xml b/game.runs/.jobs/vrs_config_42.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_42.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_43.xml b/game.runs/.jobs/vrs_config_43.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_43.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_44.xml b/game.runs/.jobs/vrs_config_44.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_44.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_45.xml b/game.runs/.jobs/vrs_config_45.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_45.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_46.xml b/game.runs/.jobs/vrs_config_46.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_46.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_47.xml b/game.runs/.jobs/vrs_config_47.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_47.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_48.xml b/game.runs/.jobs/vrs_config_48.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_48.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_49.xml b/game.runs/.jobs/vrs_config_49.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_49.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_5.xml b/game.runs/.jobs/vrs_config_5.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_5.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_50.xml b/game.runs/.jobs/vrs_config_50.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_50.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_51.xml b/game.runs/.jobs/vrs_config_51.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_51.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_52.xml b/game.runs/.jobs/vrs_config_52.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_52.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_53.xml b/game.runs/.jobs/vrs_config_53.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_53.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_54.xml b/game.runs/.jobs/vrs_config_54.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_54.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_55.xml b/game.runs/.jobs/vrs_config_55.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_55.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_56.xml b/game.runs/.jobs/vrs_config_56.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_56.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_57.xml b/game.runs/.jobs/vrs_config_57.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_57.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_58.xml b/game.runs/.jobs/vrs_config_58.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_58.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_6.xml b/game.runs/.jobs/vrs_config_6.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_6.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_7.xml b/game.runs/.jobs/vrs_config_7.xml
new file mode 100644
index 0000000..4d18869
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_7.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_8.xml b/game.runs/.jobs/vrs_config_8.xml
new file mode 100644
index 0000000..07b1a23
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_8.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/home/prasic/game/game.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/.jobs/vrs_config_9.xml b/game.runs/.jobs/vrs_config_9.xml
new file mode 100644
index 0000000..5bdc25d
--- /dev/null
+++ b/game.runs/.jobs/vrs_config_9.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="impl_1" LaunchDir="/home/prasic/game/game.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+	</Parameters>
+</Runs>
+
diff --git a/game.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst b/game.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc b/game.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
new file mode 100644
index 0000000..10d1bcc
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc
@@ -0,0 +1,4 @@
+set_property SRC_FILE_INFO {cfile:/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc rfile:../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc id:1 order:EARLY scoped_inst:inst} [current_design]
+current_instance inst
+set_property src_info {type:SCOPED_XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design]
+set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.100
diff --git a/game.runs/clk_wiz_0_synth_1/.vivado.begin.rst b/game.runs/clk_wiz_0_synth_1/.vivado.begin.rst
new file mode 100644
index 0000000..e1928c7
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="prasic" Host="LikeUE06" Pid="21225" HostCore="8" HostMemory="16307824">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/clk_wiz_0_synth_1/.vivado.end.rst b/game.runs/clk_wiz_0_synth_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/clk_wiz_0_synth_1/ISEWrap.js b/game.runs/clk_wiz_0_synth_1/ISEWrap.js
new file mode 100755
index 0000000..db0a510
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/ISEWrap.js
@@ -0,0 +1,269 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game.runs/clk_wiz_0_synth_1/ISEWrap.sh b/game.runs/clk_wiz_0_synth_1/ISEWrap.sh
new file mode 100755
index 0000000..c2fbbb6
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/ISEWrap.sh
@@ -0,0 +1,84 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game.runs/clk_wiz_0_synth_1/__synthesis_is_complete__ b/game.runs/clk_wiz_0_synth_1/__synthesis_is_complete__
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp b/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..08b3e248e4bbd9eb3a5701ea43e6671ccdbfd720
GIT binary patch
literal 11896
zcmWIWW@Zs#U|`^2xD^^5rl(f@{|F-k!%P+i26hGphLq$2y^7qN-YMS2vkgRAuYX;C
zVMCU<qC<yldQLjeAw$U?8ylhWr3V$VOeGAREVk^oD_djdX`S=l^7-yv?UHKcZ}%~<
zAId&;YUQHUvx>~6ecs4=&ewMHW|XVXN@iV?aq>sV#VI!*96r(&%b#Q)7wO0FC&ho+
zsjCG``twVkep;fRbpCL@+R8Tn16dgp88eyJuyFe^da+*cT`+U|^~blfh1Vpo@SHU`
zH>0#6A;*2vM-P6_V+S5CS<Uvt!bE>tNX?{cE!;1&F9$Blp5^gcKfJ9{alXh#uUDBL
zd*WY|ubIp0@j4}XLZ$MI?lo%X`@_AL_bhT-a3^i4!rOk?phE2<mlkNg_w=k#^7yuV
zPs?j1LCeS0meaM(Ur&7=b<pOA&hz7p+ZO7cUd+mPar>*1O~O0mb{$U?i9U7z{PC?B
z+g?5Vkr^R&ar@T87f;B%Zqxhyd+wH&d-aC9*Sv7Q<siM=Zren8%h@Zs7pwZ6k6oEN
z?OSr&>7Tb=F1S)PJ!Fae!NzxsxD_Jr>F@iv`{A}X(@SfuUo6X<`Kj(F!@1ps^Hl#{
z;#d6iv6`dCWkr1Uwa;DZKm6+TYkzak5jg7jq9vA1-88$x>s9?EwdwootTrEfAc`8%
zH!lBM@s)vrVKyTJgD?XFLvl`be0gS7yg__%NokT^Sw>1uY@eg|5d(qN_di98LpG#F
zr(8Un8QCqub?Vi@XpdVrWX)7mXTG==pMUG{t*Tj)`^?|()oE^)cI*G!xuBxvM%vS5
zIuDt7Z=IICvh8eQK97vLW5Z&;TlFvX(%Gg?uWQ-0O6y$Gh0oLW@3rrI_H?IR$D$ke
zp8sC<uqV^oLwU<HoqZqOa~PPJD@x}*V7tU-Tr`pEhNDzL=|khMnp1O<GX5`qDfsrw
z_7u*$=XTzW&)Bsz-````(if&IU0ipb@0zOeX@!!iP1M4kobygQy*GuQlhoCX_gELf
zvq_lQkJq_rTl}hPZkh#r_YSV&VN;MdP51hqvHa1Tzf7p1l+PUV-i4Kc;jRD!121wY
z=@q3UE)Bn(ciT*2UNe*HjV*_F<lW`TxzKR;)}|-HF(t2Sd@fsTOFy35o+yyfxpC(U
zlSxH)Y)_YMJ{|kU_M1fY<iC^NxcTO21nSEetNr}=yY8QQS%-?-`Nzk0Tz+%&-qT5+
zvNpDSTl!qvEH=pc|9A6@`&;yTyua-ZJZ0}V|Le@^iwm31#x713{+#q|?TQosr@Qwb
zi4NDRI<t)VQ9$GG41ev1^IrW7sy&?ZY~7Xc98VqptKPffu7q;)g-_=`9mZ;Y^*}10
z;m+mTj-3roF0!$BRo;6!t=dNCkW>v%hE!g<v-Rs9p@8KKOCK+`cHY{4`Cw#u&X@MH
zeoXIJ9-P`STk_qSTHZC27}xw>d{J&*->k<=&bBdx9X(#o_FDFPn_K#=H`{+7{^rT_
zf%Vp9?;TUwJS;cw{rP*wS-*6n+}sTxycdKWo@=t??G-h9-brT6I<elzZynS6wpIK6
zf!GJ3$IoBhkoTeKucz08q+hx*Ip#~h-?0yh_{Y%7`M+O8E-ldF?R-@czJ?&y{T|IX
z-7=$PTtD9R=zpJE@gU0c^JBSN+S5PqM=qG8beLsX_+{Z6W^-n5lwCA+Ysr?DyR5}6
zr&g-e_@%n|TGuR=b$iCY^XjFG+X{Ej)G}~mZ$7Zo>u&YbsuzczM(-%Fy6@>y!P4^j
zN2=5vvqQHp3)b}&N!9I=4wy0Lr;x-+3G1|sJzPiKr$jB-&@=z7TgNuT_}HI*KMZ|N
zhdA$jT^OiyxMr>VvgfboZkn``r@p_)D(}s)TT3%aineIVJ>5{DW7EFMPQ7X7D;YhL
zU%_*N!$so`_-gU!PWifSO?+?9SB*ZUy%P<)CSCl&^Yqk?ebQ;|8kdV}Zq&AX(^9>2
zN3oNAoyUZJDS->iOr@T7OkARUa?c5~+zq~>_1l?Wm~HEfT~{PNJ$c=dJF43fgKmoz
zPFvj)d!|fmWzmeocXmE>Z<112(RO<G$(Zl=w2!Y}6eBvl?EN0S#inoPu08o$-m7lg
z%V(#)o(XV@YFl<y>-9X9aPRff=BI9^O)&6Yv3}0XDd%N(io8C}`BgTpO=!{%v4>$S
zr7cBYzf61GwlCYYP<Q>LnU|yDYHAa8vmL&kWP8fSm%@9wA-m^7l}0&-;ylO1MT=v&
z*QAMY*h{n+?<`yuZK7<h5u2}meTmcmGk#ptHVA0dHw0`+Q0f$U7`!HX^33C%OTt37
zJ(M;7S2(G@g)i;l;Wba!_T4Sk|9{-JM1VQ^;%44ef1TC(VkZZ;@0xVOBK#2hi+>gc
zif)-d?yJ9ekaJLTV~Y?+R^o{&xn1m~u@5Q}=YC>*J7;G|qrT9MPcJXekM);2v|P<P
z{mL&_&6a+Tr*kcqJ`mOuO-;Sl8K&hKeCBl3(gm8VWv)jHY`V4X{oL7P@5*n{ThXs!
z{&8>6Mv;p-zYn%Od#t_m<E$p{m_Gt<qkDpbH>n<#fBOH9bN}Q|yzO2e>=<9aJAXqa
zkLTCirnb*7J~nuLoOSZ3W^~|c_Za;NA7ySVHkNcPR-f|g;m!Mt*2}8ND4k{9I%}FK
zXPWc;L#Y*iOgBGKy2sHgx@VRDNiDbTy5B;4Tys{QemRG81^*SPN*O+;fCmXej_mW^
zux_*cV6`!KajyL0T8ner)bI3d-0u)^z(U1zS<|U~=ILoBpGB*b#5P>n%~JZXCb;QI
zq(Y_%uUm}4DgSC`3*-O!e6{CR@{5?UDFtl2$EEhc-m>I=iOz@muK%ZU<ClokecqwS
zbX@Vz+Mo?H6pUvdTBT~dve0t}cVxWgA1#~kozVg!il43}hF>t<8>ao`ChOcXZ_}$r
ze^V|W(hcI_KVk2}ufW7(z#ifIhaa`L-Qo5)`XmPfL%lJ2ahsZwb~QS?c+m-|fAium
zK5Z9Xd&-j`|Kycjp=<_;?gyEC)U3B&ZM~&s%_Wwkx4ZP;@0WQ~x|_@<SeBNpa<l$m
zmv8^Rb>E)eP?N3c37h^f-hZ=Sp`6iSYD}f;y<=sv3JiP&TmN3Qt8zVk_rCpw<FjA;
zhF`PF(J$YgEq=8(|L5(qtSk0aZhNoXu256m%5y(zZQ}vX(gU0h8O-yTd&M%iLZ06$
zem-w2(^U1}S7+@K4Y>PxMM6h`Zb(*0-lKJ4Cq6npt;^Q0ZFnF5%|<#ySpEa=0n>dZ
z@AsKVCn(HZqWy5wq&BJghST2-^ZZW!eZ}?jn)M6K+L_EV_N7}F2kea8p<S~1+nQe6
zoin3%_}Z;|eq!g%9ntwsW)t>ryl%em|DtPOwH2j<PuF)v?Z{?*<@mN~*0t@&=RK`$
zIC`Zm`+VHZeSf<){61Ltz(e6e%EKMr<^LF0ohvhSo7a-It=aL$<SU17L}u({;a?@P
z<xjsU6aOZy*Ig1C2N!O<C|xjN{}%JQ58_jUQa7)cc;?4-`etAMo|&eO3H6-px4zbV
zU|1D=+gbS5S~H_|W#bof{@gZw5#Dogvc^9bx5G?5@7(vc&-d`OcFj~@d?Zz5YU|df
z%>SPw_}Lygh$Vzq{p!4P^xyS+w$IKa9E$rgFZ7zh)`tPpZX6Lcoo=!3@J4T=pkw6~
zWu9eAnRct}zGMX=*fyt3j@)!qA#$tD`9sWG+GT9a89bRqJ%67w-(OH4lGTuZRieaW
z*Ox;I*RCH~?-OZeqT)MMk|lGKc2>-%2b}#ZqNWoQ)t?A?7CW7r@cX$c|KHnFRb+S1
zsHx3o^~+PQnX}IAkgbu&NuGTcDN7QpyuyxL4)r+S`C<t-FR$7;=jPTg7Xm^8C%Lkn
zOpmQfyRbsKbK&&dv=^5PUoAh&>GL@<=}YS3wJYXZPHt`a*5fCTwCT+R)1UI|Oh0!0
zXWtv2)>^q`o$cd3kxI7XGHsI+?yjA0w%PTc;-PuF-RAvg-1qfr{)Y7{j8wFLI((L?
ztY9v_E%GiYt~bijU+$K|eapVX>gCQno90d_{IdC1=NzlTjT;`b&+dLO>FtBJ^P2Da
zeCJk?lbhu_)0@dl@51iW3e_j>cOOYx8s@E%zGCvaAI7DZcJC}rDnI+6!rFSFMZ~rF
zm*%{?RsB}lB)+X^%T4K5ryLBYs$1UJvn*lLp^JGRx1TMOnVQPmXS-#i<7Z(pR_}lR
zdtH;tZ-|O+UfpFV5obLk;LR)bghh)Sjb6V|TxNG_ni`v<=ezRw2f^FzyS^StO*UcP
zJZaZ1k;kgvcP-vGv-hRv%ey7+%cgan;>y~4Zu@N?M^)u3_KM!`^KLWMUt4fBYg5y;
zFQSvQ)qT3&f8>&AYyQ2)<5_abOf!>>nfp%%ElYLX;~2i9;#>ps9IFlDj_=o1E<9Oy
zQ&U2zy+2lY-j?e!f6L`8j68S>6x!HU^u?BU>ew7Tv*syVs+C$q#$m;Ifm<Wqeb(9g
zXzF*t39Riqivoh?iFo!^uei5MjW6k7-x+a@eH|)y7xhUVWWD2E$hx<C<DYXkUflGs
z5nI{tNbD1<?i23_jVD_JG?EtvTyR?0()x9e*tOFU5&9X4ds|w0ZP=xgrq7rmJpHn9
zuhG0rkuN@rTheD$>gG(_xFPbwp)^kJ=t|w3sT((hUN{tH$i4MoKv?g(l^GjFpS<sn
zvaf!2{N91sP1df43wEqFaNJWqy>#-Gv)^hzPJf(p*<eaY2-m?TA#XvAwL3)^UbW2>
zUwYd1$Lyq8o8+ut9uj=+?`Gq~X&KNq@rK%$UA4;9E8AMxr8wWm#Y_pkBVQ9DCwIQ#
zP^$k7$(As+V{cwP%ix&5yX)kfZIP#6rLER9c~o84mTJ01u|6qNR^;R-{Z*n<Z*2ZP
zuVCi`<Ga&ReWmlO^JYh0OIVh8^K*Q`qoiO94z2tDf2d~sk6PLIYW}*n3o_S4NBvSr
zyWk$wF~@10>Yqso(uY=QyJ!XPzND|Yep=84oz)8h!yNanVOqF`Y2O+qz5PuKnC6SS
zwDr%KF<~Xs52J%&UQ4@zwEU+8c`llAN?>V7m4<7P^1X7)?H`~1IX1l{#`65fr!nQ>
zT(!|$zULlvE#~HV^@T%M{h4BCMZnUMop(=0`@KEr7?XG5UyPo9LAlRyw(hOTXSZ<9
z?e~3QbGpUPzwl;+%ah3+>VYY#((@LiYz~@q>T&A#5;N<$KPBGqZ&N7m;{GZy|F*r<
zV$Spow)FIyZ~AuMyuRsj=G)R|OAfrz>fI+b`EvO2hkmAyOwQkwXy#~}nf>9Oi{HBA
ze9NCl@9f+(`N|3IAj?R(Y7=qqs%c!}-)aiG?lx)*Z8`BzW3E)~O9kQS-3yDmWGg)t
zHqXwfYJ60zlO=A*SWp){&F0<G_%DwiWX${O@$dfwjelm(_N@^8@}<-L<MLgKY_-<{
zYqIPo2K&n%o$MCE#gROv=B(1=ur*E&ai31C;Z7-B&;9mV?jkRt>`mvkE%f+PXjvt}
zfAsP9O>*p2d3UbQ^DNZ~TlR5p?k2CvZolrF5kI-%@;SrLbLA7h3FX|KE*<XTJ6%y$
zDC452R+fMFE0@Hi*tgN^b?2no^xN-Py<h!Z)>|u!TE$4!GNqSq*$#d^bZGvyOY^Ls
zO#RE($-8&sCfOH<>`&%%?cvj1!#aI!xlM&!?7TV)>(JS)wx5&BbJ|OGRBrxz@=&cU
z(?j*^rD@-4$|dzvj(eYZe)nBLxN*y}<m{%4Uqb)-`S1GAb#gUB_`{Wj?J`O6mbXPa
zbt~6d*%UHGW+$G%`EHBwoZW2Vt<Bw67~e^MdT^z-IO$Br7NvNdyC?ZgY|T!UUw{3f
z>cq63)3SRsD_)!qSE_t0%qLe;_2zEi?r`nXH>12gVjkWM7HRlDdH0#=GFxAW|6CF4
zV!!XgMILok$wfZmGq_n?jBaKaf9OyzYhl;@r54%xET*)}%z|~1Vb?2Lxm`hRYaEPJ
zY+hu4@Vv%5Y1ylc0{zz;o7Dbha_+euU7heO;Cfy2Bq3&*zcr5~c5eF+BEWP{J-7S0
zMg69k*ISS6FMk^R>2~jbv@YhqT25&ub_NCwUIqq!lrCm*Mq)u~Zg})`X?MYS)5^9k
zz37p3^^I%xBLzK1=FZKNu4hXYoX9YV;`EkEO-p$%bwXi%>iQF#3ir(J-YIqH=mdts
zhK9z&51)Ks;(yW@eXjAzhX>||l7Dd8918A}U%0E<ZTbY;bknc3p|P={v3D<jb_u)u
z@3WQN7SmhOZ|#F$@y*x!xx0Dw;h@?Aiyamg68GXa$?vcFd+WuicZn-Eg~jPdR#&TX
z@_SuxV?Uhj&#NzMKkJIgTf1M^<z_6O|0&b?#H_L^d!aSSk*X$<T<`w<-nx5c^@IH%
z<qy}~<yy1h-PUuKcQ-uCNpn2BCB5{}+`9)e<}*7l)_?dQA-y1TMk~v**LD6YKTkN~
zb9!y7?)G<E*1nZFvruniOXZCIEBhW_m9^*BJhQ`VnWCw%n1TJVSD8!+c9Ya&s*=QQ
zeJ`B!^Oe4>m}0Pe*}MR@z>Sf&@8;ZOJ&|O#ZBh^C&d&8G?49I_H&5ZL(TZ8>tT7={
zCdx%I@KRw;D1-23!KE{lH*}od_Vjkx-IeZ7rB+W{7E<`)Q{o{b)?1r1T-M%S@q4yo
z@#zLpmXo<`EL|L5cw&_-)+`dtoYnt@wUDLPW11Lih4@sZu6Z`w?&#cU&fJ(HB>F((
z<zfMq!w=&=Svv2L?#=9$nGztsJpT0IY8QT&$Q@f{_DsJL{Gm4R_z6Ld_u{8Rx%Ex%
zmc>SiKD3JoxfQn9aH+vV=E;5wS6a_|`{ZHss>ez_1vz&F1yc{TFOte*6Q9$S%^r5U
zg+IBDDK&S~2f;wj#2cUebr1bLp<Z}RJ1=+lb|(G>mX|%d;@ci~7$56pySVy;g5KV{
zF<D^=yk|Yr63^&6N^)=BQxdQE-I&iwi}w)csjc3br`~N~UcZ;;bw}x?vzIC@G*@5Y
zoT|q!E%aOPSwWYW<+a^^8=OOhPBnCx%sE!6^s86&hsG=?jzfJ<+55zQMzr-SYTe6^
zem<u|@4)euix)kM74D5}Fcx06W=6Y#_xS*x>1C`xj_3rNJxtt~boN4C)ZKNL`7-DF
zY*71c%q6nW>|W2~l$@D95!tMDyHl-YUS%9{FnOwEapJg(i4Hq&)}65Lb9j_A4m`_I
z+H!LC=1zgjLW%kQ>Yq+Vwh38k3*DUOmm+#=hsoLAwU#9pGOnrL)@F~r@;7(?<FcbC
zWOl4DuU+lu#aYdCZLNfzkn_dsY86SZ{9ah{?ye1+HRHkgf>$z}M>aa%y|6u{hV9GC
z6M}zz3ndHu7zI=0&IPNxUJT;=w4qgU(jyk54ZYmD=67D1zDzA-EM0Hd`8AlyFX?Xy
zk1LN=4O{RN54ouRb7A`e8w$34I#LsMWv5~6&x1P7Q}<i^{#Lx}tI3-OHM`|aq%wD?
zEX`{_EWoSTTK8aUj@K)rw4BqQpD=CRmARwobM(6O{N;(_&#nKibu;BvR-Nm0`1zEQ
zDn_}(OWtoa-q6lnwp+i9yZyZP^GBl5*}KCwF#MjeM0^cnzp_B|y&GD;*<xgwSN#(<
zTruHU6wftInIj9l9t1QAi@tnt(olij|EPmSg%#VOgT{s{ep$sFpTZ)`yiefcliLmY
zUK=**$<}A`ocK6BIbh+#_=$(juUSj}(9+Y`IICIOC(hv1RIT3g2d^(vSo`a`=$bhq
zmCqmC)bzNOuTil{XVR%d=8|Whui6;-W17>YX@|E<rd?rs7qQJ<f7@)IFDsq8KgjO-
zwIVDa*Efl6-)V!TX2OSxb1nt@7V5}0K9`((|Kh#RFFXnkE$}>lHcfEf@fRXJ_g!<Z
z+iBl>m6t2I@BD@Z%VsWm-zskqqxxCw?4SROFMVJ-Y}wzzbY~HFL~jt^;<k$qUE4mJ
z?vgF>{h@ex&eQzz-19d>%r}|*>1g}*X4>Rt`PE4mvqJuUUj0Gnu<Lg5mbH3kB`)|}
zDSNu%;)4&uT2rIGesa6JTJNC0WYOxUEqlvuaIRfD&0jRG>9+8-{zyLi#Rs2g_T=e!
ze<)VFH)S)c(F1WF@n3g0$9}Id4@}`)aQemC>Hn+Doi7#sxIKG&oacxA#`hlR8|Hm-
zubjO8vvtq@Q$M8svp<&e|9Ib^Zsu-RT~_n_y?ShFj3(<uw@wn{=44nlqjK)Mqdxz*
zW*Vxx`!JbsDY54N`(`S6(B@9X)AID7g5TetM6^rS*L<7r`RQ=UkE^Tq|0!+SoTTJB
zPxxrcBZ<WmwSQTEXN=S<n%UFwFe0fpbZfh?Z|@J0JvHvjX8UOVf1J5A=$x_dL>p#S
z<;QGV2ln3!C{$1I3tF>4=E0$J_mUrG?2k!mo3+%!z0iP5g|$5Il7iqd`Fp44T|9j;
zz1{Z4&Dz`3-BRDm?l+kx6tZ*iBX1?v{5$_XM@LGYihuXd(<5Zkp^X0zJ~(nUJmYs4
zpTDQ3^}MKe8{fYd1rjm)t)85;jD5_Rbmi&Ml^;@~#IJV<t6Q9YJ#+6x*XkYH)pI3Z
z6es;I{AQ0jM4-O8Z-qP)1H&;E1_mMI>N374B~dS{I6tp<N^Jfu1Ch4(zqR`&s0FV4
znZu!7F1zKn%7UyDr<P=P`6L}Uym4l(#+FC>ub18WxY6)cx5mfcbH2;S%Rl|e^JSH4
z#ktm|^*Lu;J4GI@xz?1vI&$yX=h=>G!ArMqmlues?U{Rl-}#51M&fGjw-a_3_-d-K
zO_-3-c~nR|?`_iSX+?ey8Mz~$uC$$?s%4=QoBXsb;CftP3EzCN7O?_J^U`??d901Q
zW7K;O^hfos4pVN5Q)d<4^7@`5m-KbH^nz}80W-x9(SdieTH5yBN!?$N*?&dV_|My8
z{f;^k$~jDhPkK6<^b)&8zi<RwUAfDh`+1Yg8iR|6%Jj82s0dF}Y<m$U#Ca}X&uHg`
z84=CF0-bIm*;$@Nb8M9og)R3lS$BE%C!y-%MwOF$IWN6`_V88KlIfQtzj@3vvY(v2
zY+2-kox5(wT{ThXIb>0G@74FN8o4(v9ZWmb4#w5z%v|=){?5LfSH_>T@)sTWe)axA
zgMjtEax*4<xhJfbHSJc&2kDCQ!V)367k>1=^@!APEn=&!4N4B3yDsaIpBMMNvuAz0
znk`$NGEJYM>9To}xWtc&niJ(62X-oX=Q#6SO*tr{S-4llXP$JzcE)KEMv?Vb`Idg!
zVKPT<>ZQj)MfHEzzdb*tU!c|Oy7Q%@-aZT8-?3m$ui*0IJSzW>y`1-}-F@AvOQi;;
z^%K6|e78Zp_IGztOY6RrfZ)c!#C(%gZD-e<J-_)ZH)?X)nb7w0ECU0>21W)336$iN
znHQg&pI4GvQKDColDzc%MXn|T5w-`_^B?VLSm>%hLBiwGkylDid`A6hU7K^+1ou@h
z;}osDdbRAqpZos`JvXX7S^9u=hUv)|m4s;H)0-YlnRqP9W9@@;r<p#V%2RIU@9fc<
zbXQe!vzN)F%he~=uw+Tbdula_3p9Fqy3NfvEF<>TV3F1Hm2JO2tlRsh-_?HW<fQwa
zCch%RY{Qql`1M+P#&k7xUb(|p6Ze)m-;rk)-<I>bF5>XD8?V(L{y1~<&)N#>FH9+C
z9FKpkz0uw-esHCZwbPQx^?x2IKW0P?0-pP~pHwg~FwA5?n+eh@N-fSWElN%;4n1qg
zeaJw7^}*k|v#ObwDx!sE^WD2R$?U;3M;DnR2mkY{S?t{V{^NtfyPgw`nUxCe9&W$i
z|NQO#Nx!=%T;YrkT(&d)ZH=?s(m3f}soj74PgQjbcu(L<ez<3??n}nRXR2&=Li#U@
zj?DTTVs<ITq(kN9`VB_gH#X~|I`n~~X@e~n1A_$@0|O6oT<KNhF<NjP{~D1~5oT!N
z>ZG8cpkSD2ZUUke5{(T0K0kgZcjjcvF1B0W>(BZeu{~V=<F0Dg6fp;`$J<x`o@Qjj
za`ApqYtO<Mn<BZC-_w$>w_Mo}+n~hr|83=>-pTuxtmlqh|E&Gg+QrEyzkJ`YXUdc$
zZ!xVr`E}_A4A)%N2PJF^OqkhwDz`%Rx^j+RQiSr7jWOX0M-A$jdd$op_j`y;tWy%o
zJ|sOiKwUw4-o)s#8BrXuz4Ljr!ugA09Pd2xVd*(u-1|BwdGS5RPku>zy9$<gt&`K^
zdf>EF@WrpbK;Q2xXK#Gf!##JW{ER;V9%k}YTw0Ct`&zUYT@<-dUmU3#%fJ?XyHuw1
z`Ejl1tlVPV?4k?4*yq|AEIFDuyHL%X|LF~f6tB=<7N-t2oPLxUaa4e7ebvf~u^e|B
z=KZu>qLO~Ky7*)LlhZfXoZb4WuVv;I&k1**i=`Dhu-6^(pSjQcP5Pm`*9)1ugq7w9
za|(BCP3S5=7<z8){<lX?3ue4MYwK>7Uc|iV0N06h$$J84^BJbU`KDGa%~SQK<adt3
z-L>7T!oOT>`V;bK56d0jr)yvSWl{0I$<rISbIIK~cBN<Te|7n}a>mK1Q2DdGn%hge
z_<cX+EVlmlq(A%2-pd^(i$d<(?0B%wF5;no)|=y-yu1D_3SR#w`B6wiWZt#d%bz-V
z=39E*5_qO9urOk4$UN4gDeptRMcl}`XDxCq`Np!XUJ>1gjaROjr^l>#crs6wSIJ&Y
zj&JW%GJ@8{%Kh8b7jkL!YdgkMpCfOkrU?J&PphdDt2wH5?ahYY!5__xjAv}NJ8Ek5
z{_ldMzdIe`Zbqf7Y+0b&G$&2qN0n!1D#x|!ulE-1mk7+ixBTk-D^gaOhRwUw%2JtC
zzDSB6F7b}$6E=LGo8#3Q*Yxn~vH8OB(@sRqy!PwplLJa?cFaxx7rZu!TmRRzko8x$
z-F}`Gv;XQwf0HTG6&~2Avt^z5vqOTZNmL=4_no4`^H*h#8Rz+DZTgoaG9|2Y$=0^Z
z8<vHpYHdyJ;(ve4Q)lr5PXlhgrL{adK~pX~YLOFW?LRA$vi)uGy>!z*FN>uw8dn@T
zaroE8<=#i^64`j_nG3dZd&|r3h|qbw-LkWO{`W(SLK)d-gQ8y-)ymi82pGP4moVGq
z`?VAm7lS-0naQr)2JRdAwXat0$?vG1d@PHlu_JWtdHwK34<>I{%$l1R%$~S&tLxw1
zd7p|-RvR%iTb`5Zm?Powv?M-%a?~#k^I0*c{lA;J6-j=qe{zKPe}{SI9^JX$WUijL
zwXD=g=#JLEmnM$cn_o@Is|Z#JGu<AxvO)ifdPjWa;|q=(Oq}zLuS;9r-u-stvQxHq
zUmrdGM&ac7>-vgq(+(z7-}L4<sWsK2e@>-$YRtNfWA!sEIc_Qc`TA2hi1DC3+oml}
zdxJJiHhh!p(QLoTd3xG!JEc|@kIDCHmUF+jG}&a9xTmPTeAIdqy{$%ihQ2nI8hORL
zugLOVe0gRjd)b2ZwQry4G9K}?(OD6GFnwl<=l}ir`$gH`DL6jOt&XU4dHQwtv;Uc$
z0l&02e;0oB{q36LnTMY6S1x*HJ^NNGBkz}RwW3YyF9zR<mb)Q*qnclF;chjibm>zk
zKDxg$Ui)@)l0=+H++{ho!&b9oQ%(1J=spn3S)V#l=<W-Sb0+NU58J={OnZ~@<<@$c
zdv0Ie>D3+Fof{h>^LSD7KI7gC0&A)#yx$wrw&?4o0|7o!mi@ss#&L)H>|`F9e|s>|
zG;N;VB*n{nCl_zs`b{$T&E#D{nF(8imh5(8ZQ1wz;IxWsMRS$b^=A21WmRq7X7SnM
z^_R#OyVk`R#yTEezB0&q`(HLq?&<rNNopo0cDqi95_uM&-kbBn@Z=_k@Y_j8_gqm@
zz9D&Rn$HR|>lGVTeg4+fyYFRN?!A4fx3^dHwACfM>{w;dxbhv>&m$UBZ?{Qr4Xjx9
z-1TLE+Qsm)PwY$*KBw7o@)WGH_O&Z~bXvK9=Vze%<;>!eT`_ZZeBmp~acgWjka>&m
zhe&qmW!(w)CEnhtUGrUVt+-{yyG34X!W%4w6Kww4MbCX^{+;{b73U{i&b}9e_FtGF
zHs`&>7D4NPlQthK{g*xNjdSdJnY;F1)72I0M2}QW-E-y5yAO=lAAaJw^ZL6&L)I!M
z_P@*r7Jpbi`LUUz;(gw^3-aUaeg{l{AFCy$a)aY<N=(C?h&VBZE4^VAa{sNrUn-mR
zwQ-A~(&Z}K6DF4CX>+np@gCDJF8z8aUjE`V|Kg;5XAVd|I-($){y|(m>|%lQ%A%8n
zM_D7!WjrufczbYDTsmvjshux(%(QPx`XlUmO7_|9-M1E&t+xBon|!WGAmewDgtfk2
zN8}`@qWK2NJPY-7_g^*VOId!lB)~?-D5U(wRPBZ}=XNr`u{pBg_3Fy~c@~}r^+noE
z8|Owayj#oDnzUzjoQ$UK9Rmg<W2KtD%KL#2P8Ky3UY^}o7`%Av><{T7-$gkXLR5S|
zvH8uObfb6I%D*h{L~r+A^jLU%^R_gZ&^0#Uo5b4Q+?NVu{pOWZ@!MgM#J#-4rz&z2
z6yl^7T=&|YyKSoC7U7=Y6qlG~kp@B^w37DwFTcIvTzs>{L^<LA+pTw4)||HZQQ<Y)
zr*nf#^ki#qE>4HFiNPGzyDHfkekR_vuv@AUrpX>zw|+v?Gr4~r+UwU#teGIP>7++b
zsrXr~{^ZuwD94n8Jv*HnWIY}`&s$q$$C~sY>Y!tNHfv3*cfaY`i;9ib|4J6V5p?bQ
zSF7q19wpZ2*l9X*=e{Ez_R4Ov>RX)b!di<rsnlm!KmM^(pugztJ?S@nM%%MmXK@&P
z*soJ}JLB+XpC*|vJRj^{<dwd4o|PB2o#ogp$2QCGTNkfi5Ik?Y`#0m}LozeJeLOj@
zhdZHJ;nV?k*BVyi@9%E>zqEI*hQ4X;3&VuwRL2a(i*sy_@ZP+;EdHu;mg%p(y=<2J
zncn5ztNyIgbaIhgAL_nR=uwWq7XPxO<KeOO8Z-J0Mf-)~Z#=L1wq7K}Rbi#N)W0bw
z`o3;3-*EJO9M?44>E#FJUHkddQY_%ZX~XvUi7U%$i=@{mYSi<!|6(<7<I<k<$Kr$7
zT76!3=4n@%4$rX8<GA|Mer0yhj_%gKYT*GrDiw7ZQ7f{${SW@1s<Bh4wf)Qdg%8>`
zPj~aZ$bX1^&l2C|mow)rn)jfDsoDOtj~Zu9(SwbQd7%ex1l{mvYGhpAs4ez##a-8}
zm#ypdw@j7@4e^qA)X{R{%1g%5ob3*wueVQK(A~<-R?Jx)$)mfY=Yh3>#kpN~wyt+v
z9ml$VQC@|wbZ-XR!;g|1R)t#!YZyKBPW8B8!|)|9QcCs9)D;iv!#nufv^E3>th#r)
zDmeCK^Zm_jB_axWcLED-ZZEr_`e_D(k@D7Ea$7gqZJ+-(D_AnnZGPyd%17@zU8gmD
z>^;t&>Xa)|9c+9#wB4pc^N5Z?F4LQ%zZ_<)uv7hTCA6d~+Tmok-XArcPrpUqJuzSL
zuSzDjB>$^j9m~Pc?S9c=#mRD>by56tmu^44;Q2fMJ=-eset!%^ZDuCV*W1(0#K7RA
zj6Mlno|1Mq@@?L21D-$omv7wX;hlf^hS&ys-3^S@|L$IlG!oI=CY2{7wUW_Wt>4CT
ziN@FKpB_K|zWut`zRrw^toxOMH(y`nx$4Z)>}wj1Vq09T?xl0i`ndLVkecj;V1=*u
zt$dYQ5;rWp(Z*Q+ed{`bv%0PBH`Zx?XOEn}C8O=-@rd~!y(_%BmOAUaZa(J7TDK%N
zXR&_fzbRj1!~cu@{=N3U?zQhd4Z7dH_cZE$+pooC_T!Ts--n$N0V)$xws16mO`4}y
zp=O%l`_}kSYSY5JD?eL538#o3(-mm5mt!<L$M9~BGLzY(L!TMKgqOWl-8)72%PvFT
z4e>2utp1Ge{)G7CY;YIWl*m4}vfS>fL*F6wiOf^>t~c(M=$hH#)MBg5Ymx4@eZ#Fe
zn-{!#Gtbq5JvQa*gIS%7>2C}t2HY|Gm^Sh8?CV-w8PA>zzKUF3?6#(T!b0B_%p066
zH}DoueL5w*tY8C!8)t>CK&&wri>dqRRZPNLr(V8X&9~rVj83$q?wiQZPC?IiuXvh!
z%-`rn*6QA@lYi&ATzncJc%<#>&3EOC`;?vj&+bpnm9uw$FQ__A_X)#Rfz}E)+f!#8
zQrEs^yKj>sQul4&F1^s%opbYf&$y%>3wyWzVqP`hgx&v-ezOQvoA$HEfBA%i%eNkz
zFuA(S{P{&|?Y(za-#XJdDc173?;X}R-T8ebB6pQzxY?v@KN~)H?R(TPd*#GDM!9s?
z6&p+K9?ur!>fa}+byv;(`Ng9)90kt=zpJ}H^?H43cag)5ti_*pZ&v9jkY|uc&3BWE
zu6W*HBfQ_#zvjrRU90aVOnG;}<)`TdU5!Iuiq7ROU8<;;=^@45w=#C$or|+e4}`Vf
zV&5J){r_$K{JHO*$*A=Fl15FneG?cY-!m{U<T5fa2q1Tk;vwt#Li-Q;9X1efdH=7=
zvPoo;8avA?&cat~R|z}{%bn}Hc~!RiHfQO5a@L(KJ0kAQth6o*eR!95^7LZ)!2SDd
z>`iAcJ2+ip<98<O)!us~o1OVsWGpuPf3_`T)v6B{y&2-$EgrtQb<rSCsG8@T`<6Al
zm0gc?tURYJGc0hJk@Ln<#lR~46X!<RY5~8tFRbe2?$2$?ejAm);SEmU5m8c}_+PBs
z*W%&iy%Oba1&gomxw4e^$~I%e^CAvr-jh6yvUh~MKD~9PO#Y|hJ2p>dODD%3SbpCv
z`pmo=zY143JmE>6vsC8Pq#J8=9`3Jf-PV=6yJST?YTyJOH(eaez`)SQz`($V95{NJ
zdC5iGC(oQc<K18GspHvGep<il`x$RNud}{qwLQ-io<6Cs@2zWe#n{{^NW)L}l+T%y
zYrJ$$o;kmHlb4Ryxf43xp#~=51_1`lNeYM9dIKvYE@e#MW(e?RWD;S<y{wjjfq{XM
zfq`L5BZviEWQ(o|eTgPWDMZstMyv}q(GAFFjzL+y2r>YKw>0uIBN+f%%ZRQOeI*V^
z8^rE94rHyVDQW0h(I>P(T0wYAW04@TR`B!|x@L8>;XRN>h`kFXkTk;w`_T2HkLSSj
z|84vrg{&WSbO+rO^dS+LDO(ypOCy<r7$8A60DY(eWB>?nX`Ca2Y(PaGx=!@k2c!!U
z0L<#hI?FKw9=!-aXx*uaq!n5qpj(WdM-aNNX(8zb=a>L*RyL444+9UweI^EmP;CYV
zJqA~kG*eg4Y>O=4Y<F$9WLN)Sqip9OR|7-i2-A@4B>(U{=d|>YU=yP}L+5;300%Lk
A*#H0l

literal 0
HcmV?d00001

diff --git a/game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl b/game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
new file mode 100644
index 0000000..06ff876
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
@@ -0,0 +1,246 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+set TIME_start [clock seconds] 
+namespace eval ::optrace {
+  variable script "/home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl"
+  variable category "vivado_synth"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+OPTRACE "clk_wiz_0_synth_1" START { ROLLUP_AUTO }
+set_param xicom.use_bs_reader 1
+set_param project.vivado.isBlockSynthRun true
+set_msg_config -msgmgr_mode ooc_run
+OPTRACE "Creating in-memory project" START { }
+create_project -in_memory -part xc7a100tcsg324-1
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
+set_property webtalk.parent_dir /home/prasic/game/game.cache/wt [current_project]
+set_property parent.project_path /home/prasic/game/game.xpr [current_project]
+set_property XPM_LIBRARIES XPM_CDC [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language VHDL [current_project]
+set_property ip_output_repo /home/prasic/game/game.cache/ip [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+OPTRACE "Creating in-memory project" END { }
+OPTRACE "Adding files" START { }
+read_ip -quiet /home/prasic/game/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc]
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc]
+
+OPTRACE "Adding files" END { }
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+read_xdc dont_touch.xdc
+set_property used_in_implementation false [get_files dont_touch.xdc]
+set_param ips.enableIPCacheLiteLoad 1
+OPTRACE "Configure IP Cache" START { }
+
+set cacheID [config_ip_cache -export -no_bom  -dir /home/prasic/game/game.runs/clk_wiz_0_synth_1 -new_name clk_wiz_0 -ip [get_ips clk_wiz_0]]
+
+OPTRACE "Configure IP Cache" END { }
+if { $cacheID == "" } {
+close [open __synthesis_is_running__ w]
+
+OPTRACE "synth_design" START { }
+synth_design -top clk_wiz_0 -part xc7a100tcsg324-1 -incremental_mode off -mode out_of_context
+OPTRACE "synth_design" END { }
+OPTRACE "Write IP Cache" START { }
+
+#---------------------------------------------------------
+# Generate Checkpoint/Stub/Simulation Files For IP Cache
+#---------------------------------------------------------
+# disable binary constraint mode for IPCache checkpoints
+set_param constraints.enableBinaryConstraints false
+
+catch {
+ write_checkpoint -force -noxdef -rename_prefix clk_wiz_0_ clk_wiz_0.dcp
+
+ set ipCachedFiles {}
+ write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.v
+ lappend ipCachedFiles clk_wiz_0_stub.v
+
+ write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.vhdl
+ lappend ipCachedFiles clk_wiz_0_stub.vhdl
+
+ write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.v
+ lappend ipCachedFiles clk_wiz_0_sim_netlist.v
+
+ write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.vhdl
+ lappend ipCachedFiles clk_wiz_0_sim_netlist.vhdl
+ set TIME_taken [expr [clock seconds] - $TIME_start]
+
+ if { [get_msg_config -count -severity {CRITICAL WARNING}] == 0 } {
+  config_ip_cache -add -dcp clk_wiz_0.dcp -move_files $ipCachedFiles   -synth_runtime $TIME_taken  -ip [get_ips clk_wiz_0]
+ }
+OPTRACE "Write IP Cache" END { }
+}
+if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
+ send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
+}
+
+rename_ref -prefix_all clk_wiz_0_
+
+OPTRACE "write_checkpoint" START { CHECKPOINT }
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef clk_wiz_0.dcp
+OPTRACE "write_checkpoint" END { }
+OPTRACE "synth reports" START { REPORT }
+create_report "clk_wiz_0_synth_1_synth_report_utilization_0" "report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb"
+OPTRACE "synth reports" END { }
+
+if { [catch {
+  file copy -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
+} _RESULT ] } { 
+  send_msg_id runtcl-3 status "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+}
+
+if { [catch {
+  write_verilog -force -mode synth_stub /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_vhdl -force -mode synth_stub /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_verilog -force -mode funcsim /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+if { [catch {
+  write_vhdl -force -mode funcsim /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+
+} else {
+
+
+if { [catch {
+  file copy -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
+} _RESULT ] } { 
+  send_msg_id runtcl-3 status "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
+}
+
+if { [catch {
+  file rename -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.v /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.vhdl /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.v /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+if { [catch {
+  file rename -force /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.vhdl /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
+} _RESULT ] } { 
+  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
+}
+
+}; # end if cacheID 
+
+if {[file isdir /home/prasic/game/game.ip_user_files/ip/clk_wiz_0]} {
+  catch { 
+    file copy -force /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v /home/prasic/game/game.ip_user_files/ip/clk_wiz_0
+  }
+}
+
+if {[file isdir /home/prasic/game/game.ip_user_files/ip/clk_wiz_0]} {
+  catch { 
+    file copy -force /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl /home/prasic/game/game.ip_user_files/ip/clk_wiz_0
+  }
+}
+file delete __synthesis_is_running__
+close [open __synthesis_is_complete__ w]
+OPTRACE "clk_wiz_0_synth_1" END { }
diff --git a/game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds b/game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
new file mode 100644
index 0000000..61cd9e1
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
@@ -0,0 +1,280 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Mon Feb 27 10:46:19 2023
+# Process ID: 21266
+# Current directory: /home/prasic/game/game.runs/clk_wiz_0_synth_1
+# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+# Log file: /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
+# Journal file: /home/prasic/game/game.runs/clk_wiz_0_synth_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 3167.195 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source clk_wiz_0.tcl -notrace
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+Command: synth_design -top clk_wiz_0 -part xc7a100tcsg324-1 -incremental_mode off -mode out_of_context
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 21293
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1957.090 ; gain = 369.656 ; free physical = 6382 ; free virtual = 32373
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 2962.531; parent = 1960.062; children = 1002.469
+---------------------------------------------------------------------------------
+INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0' [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v:68]
+INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0_clk_wiz' [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-6157] synthesizing module 'IBUF' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:71326]
+INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:71326]
+INFO: [Synth 8-6157] synthesizing module 'MMCME2_ADV' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:79852]
+	Parameter BANDWIDTH bound to: OPTIMIZED - type: string 
+	Parameter CLKFBOUT_MULT_F bound to: 9.125000 - type: double 
+	Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 
+	Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKIN1_PERIOD bound to: 10.000000 - type: double 
+	Parameter CLKOUT0_DIVIDE_F bound to: 36.500000 - type: double 
+	Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 
+	Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 
+	Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 
+	Parameter COMPENSATION bound to: ZHOLD - type: string 
+	Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 
+	Parameter STARTUP_WAIT bound to: FALSE - type: string 
+INFO: [Synth 8-6155] done synthesizing module 'MMCME2_ADV' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:79852]
+INFO: [Synth 8-6157] synthesizing module 'BUFG' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:1082]
+INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:1082]
+INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0_clk_wiz' (0#1) [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0' (0#1) [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v:68]
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2025.027 ; gain = 437.594 ; free physical = 6471 ; free virtual = 32465
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3027.500; parent = 2025.031; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2042.840 ; gain = 455.406 ; free physical = 6471 ; free virtual = 32464
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3045.312; parent = 2042.844; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2042.840 ; gain = 455.406 ; free physical = 6471 ; free virtual = 32464
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3045.312; parent = 2042.844; children = 1002.469
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2048.777 ; gain = 0.000 ; free physical = 6463 ; free virtual = 32456
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+INFO: [Timing 38-2] Deriving generated clocks
+Parsing XDC File [/home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6372 ; free virtual = 32379
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6372 ; free virtual = 32379
+INFO: [Designutils 20-5008] Incremental synthesis strategy off
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6429 ; free virtual = 32437
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a100tcsg324-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6429 ; free virtual = 32437
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file  /home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6432 ; free virtual = 32440
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6430 ; free virtual = 32439
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 240 (col length:80)
+BRAMs: 270 (col length: RAMB18 80 RAMB36 40)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6416 ; free virtual = 32429
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6294 ; free virtual = 32314
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.491; parent = 1263.150; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6294 ; free virtual = 32314
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.667; parent = 1263.330; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.929; parent = 1263.592; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.108; parent = 1263.771; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.187; parent = 1263.850; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.218; parent = 1263.881; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+-----------+------+
+|      |Cell       |Count |
++------+-----------+------+
+|1     |BUFG       |     2|
+|2     |LUT1       |     1|
+|3     |MMCME2_ADV |     1|
+|4     |IBUF       |     1|
++------+-----------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.249; parent = 1263.912; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2121.715 ; gain = 455.406 ; free physical = 6344 ; free virtual = 32364
+Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6344 ; free virtual = 32364
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6338 ; free virtual = 32358
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6386 ; free virtual = 32408
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete, checksum: 5dda54c
+INFO: [Common 17-83] Releasing license: Synthesis
+32 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 2121.715 ; gain = 860.691 ; free physical = 6591 ; free virtual = 32613
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP clk_wiz_0, cache-ID = 186611fea06d2870
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Mon Feb 27 10:46:52 2023...
diff --git a/game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb b/game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..e20e8f8455f49f15761ba815bebf973f13280a32
GIT binary patch
literal 242
zcmd;LGcqtT(leUGtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZl8V3^Cxu;RP>Q(h4Jtq=o4gZ*A%h85-Rts-34m2G+@LE?8M849MjEtLYX*UE#`
z{FP^52y#BEV0Zqr-C0$T_*^vx26pGCY9My65s18N#K2JCywn)PUTeX?z~Jx~L^>U{
zWMDYqFv*I;Db&r~IV8yEtR2Y6x%LbU2OOT-hlRE}zI6d<+Up7uX>|j!*9L;fzkwi?
IM}t6Y0POTZ^8f$<

literal 0
HcmV?d00001

diff --git a/game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt b/game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
new file mode 100644
index 0000000..691f1df
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
@@ -0,0 +1,173 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Mon Feb 27 10:46:52 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+| Design       : clk_wiz_0
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Synthesized
+-------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs*             |    1 |     0 |          0 |     63400 | <0.01 |
+|   LUT as Logic          |    1 |     0 |          0 |     63400 | <0.01 |
+|   LUT as Memory         |    0 |     0 |          0 |     19000 |  0.00 |
+| Slice Registers         |    0 |     0 |          0 |    126800 |  0.00 |
+|   Register as Flip Flop |    0 |     0 |          0 |    126800 |  0.00 |
+|   Register as Latch     |    0 |     0 |          0 |    126800 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     31700 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     15850 |  0.00 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+Warning! LUT value is adjusted to account for LUT combining.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 0     |          Yes |           - |          Set |
+| 0     |          Yes |           - |        Reset |
+| 0     |          Yes |         Set |            - |
+| 0     |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       270 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++-----------+------+-------+------------+-----------+-------+
+| Site Type | Used | Fixed | Prohibited | Available | Util% |
++-----------+------+-------+------------+-----------+-------+
+| DSPs      |    0 |     0 |          0 |       240 |  0.00 |
++-----------+------+-------+------------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |    1 |     0 |          0 |       210 |  0.48 |
+| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |         6 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |         6 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        24 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |         6 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       202 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        24 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       300 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    2 |     0 |          0 |        32 |  6.25 |
+| BUFIO      |    0 |     0 |          0 |        24 |  0.00 |
+| MMCME2_ADV |    1 |     0 |          0 |         6 | 16.67 |
+| PLLE2_ADV  |    0 |     0 |          0 |         6 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        12 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |        96 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        24 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++------------+------+---------------------+
+|  Ref Name  | Used | Functional Category |
++------------+------+---------------------+
+| BUFG       |    2 |               Clock |
+| MMCME2_ADV |    1 |               Clock |
+| LUT1       |    1 |                 LUT |
+| IBUF       |    1 |                  IO |
++------------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/game.runs/clk_wiz_0_synth_1/dont_touch.xdc b/game.runs/clk_wiz_0_synth_1/dont_touch.xdc
new file mode 100644
index 0000000..2c3b700
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/dont_touch.xdc
@@ -0,0 +1,32 @@
+# This file is automatically generated.
+# It contains project source information necessary for synthesis and implementation.
+
+# IP: /home/prasic/game/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint.
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
+
+# IP: /home/prasic/game/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint.
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
+
+# XDC: /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
+# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint.
+#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet
diff --git a/game.runs/clk_wiz_0_synth_1/gen_run.xml b/game.runs/clk_wiz_0_synth_1/gen_run.xml
new file mode 100644
index 0000000..1540117
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/gen_run.xml
@@ -0,0 +1,45 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="clk_wiz_0_synth_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1677491176">
+  <File Type="RDS-DCP" Name="clk_wiz_0.dcp"/>
+  <File Type="RDS-UTIL-PB" Name="clk_wiz_0_utilization_synth.pb"/>
+  <File Type="PA-TCL" Name="clk_wiz_0.tcl"/>
+  <File Type="RDS-UTIL" Name="clk_wiz_0_utilization_synth.rpt"/>
+  <File Type="REPORTS-TCL" Name="clk_wiz_0_reports.tcl"/>
+  <File Type="RDS-RDS" Name="clk_wiz_0.vds"/>
+  <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0">
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopModule" Val="clk_wiz_0"/>
+      <Option Name="UseBlackboxStub" Val="1"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0">
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopModule" Val="clk_wiz_0"/>
+      <Option Name="UseBlackboxStub" Val="1"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
+    <Filter Type="Utils"/>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+    <Step Id="synth_design"/>
+  </Strategy>
+</GenRun>
diff --git a/game.runs/clk_wiz_0_synth_1/htr.txt b/game.runs/clk_wiz_0_synth_1/htr.txt
new file mode 100644
index 0000000..3ddc6ff
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
diff --git a/game.runs/clk_wiz_0_synth_1/project.wdf b/game.runs/clk_wiz_0_synth_1/project.wdf
new file mode 100644
index 0000000..4cc2dc6
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/project.wdf
@@ -0,0 +1,32 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76365f305f31315c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6636316632346539316466643436376561323639363231653035643630613965:506172656e742050412070726f6a656374204944:00
+eof:2446048647
diff --git a/game.runs/clk_wiz_0_synth_1/rundef.js b/game.runs/clk_wiz_0_synth_1/rundef.js
new file mode 100644
index 0000000..c1782fc
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/rundef.js
@@ -0,0 +1,40 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;";
+} else {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game.runs/clk_wiz_0_synth_1/runme.bat b/game.runs/clk_wiz_0_synth_1/runme.bat
new file mode 100644
index 0000000..c51ae31
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game.runs/clk_wiz_0_synth_1/runme.log b/game.runs/clk_wiz_0_synth_1/runme.log
new file mode 100644
index 0000000..a2e99d1
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/runme.log
@@ -0,0 +1,278 @@
+
+*** Running vivado
+    with args -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+
+
+****** Vivado v2022.2 (64-bit)
+  **** SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+  **** IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+source clk_wiz_0.tcl -notrace
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+Command: synth_design -top clk_wiz_0 -part xc7a100tcsg324-1 -incremental_mode off -mode out_of_context
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 21293
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 1957.090 ; gain = 369.656 ; free physical = 6382 ; free virtual = 32373
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 2962.531; parent = 1960.062; children = 1002.469
+---------------------------------------------------------------------------------
+INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0' [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v:68]
+INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0_clk_wiz' [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-6157] synthesizing module 'IBUF' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:71326]
+INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:71326]
+INFO: [Synth 8-6157] synthesizing module 'MMCME2_ADV' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:79852]
+	Parameter BANDWIDTH bound to: OPTIMIZED - type: string 
+	Parameter CLKFBOUT_MULT_F bound to: 9.125000 - type: double 
+	Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double 
+	Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKIN1_PERIOD bound to: 10.000000 - type: double 
+	Parameter CLKOUT0_DIVIDE_F bound to: 36.500000 - type: double 
+	Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double 
+	Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double 
+	Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string 
+	Parameter CLKOUT4_CASCADE bound to: FALSE - type: string 
+	Parameter COMPENSATION bound to: ZHOLD - type: string 
+	Parameter DIVCLK_DIVIDE bound to: 1 - type: integer 
+	Parameter STARTUP_WAIT bound to: FALSE - type: string 
+INFO: [Synth 8-6155] done synthesizing module 'MMCME2_ADV' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:79852]
+INFO: [Synth 8-6157] synthesizing module 'BUFG' [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:1082]
+INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/opt/Xilinx/Vivado/2022.2/scripts/rt/data/unisim_comp.v:1082]
+INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0_clk_wiz' (0#1) [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68]
+INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0' (0#1) [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v:68]
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2025.027 ; gain = 437.594 ; free physical = 6471 ; free virtual = 32465
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3027.500; parent = 2025.031; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2042.840 ; gain = 455.406 ; free physical = 6471 ; free virtual = 32464
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3045.312; parent = 2042.844; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2042.840 ; gain = 455.406 ; free physical = 6471 ; free virtual = 32464
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3045.312; parent = 2042.844; children = 1002.469
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2048.777 ; gain = 0.000 ; free physical = 6463 ; free virtual = 32456
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst'
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+INFO: [Timing 38-2] Deriving generated clocks
+Parsing XDC File [/home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6372 ; free virtual = 32379
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6372 ; free virtual = 32379
+INFO: [Designutils 20-5008] Incremental synthesis strategy off
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6429 ; free virtual = 32437
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a100tcsg324-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6429 ; free virtual = 32437
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file  /home/prasic/game/game.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6432 ; free virtual = 32440
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6430 ; free virtual = 32439
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 240 (col length:80)
+BRAMs: 270 (col length: RAMB18 80 RAMB36 40)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6416 ; free virtual = 32429
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1391.227; parent = 1181.156; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6294 ; free virtual = 32314
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.491; parent = 1263.150; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6294 ; free virtual = 32314
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.667; parent = 1263.330; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1472.929; parent = 1263.592; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.108; parent = 1263.771; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.187; parent = 1263.850; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.202; parent = 1263.865; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.218; parent = 1263.881; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++-+--------------+----------+
+| |BlackBox name |Instances |
++-+--------------+----------+
++-+--------------+----------+
+
+Report Cell Usage: 
++------+-----------+------+
+|      |Cell       |Count |
++------+-----------+------+
+|1     |BUFG       |     2|
+|2     |LUT1       |     1|
+|3     |MMCME2_ADV |     1|
+|4     |IBUF       |     1|
++------+-----------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6293 ; free virtual = 32313
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1473.249; parent = 1263.912; children = 210.070
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3092.172; parent = 2089.703; children = 1002.469
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2121.715 ; gain = 455.406 ; free physical = 6344 ; free virtual = 32364
+Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2121.715 ; gain = 534.281 ; free physical = 6344 ; free virtual = 32364
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6338 ; free virtual = 32358
+INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2121.715 ; gain = 0.000 ; free physical = 6386 ; free virtual = 32408
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete, checksum: 5dda54c
+INFO: [Common 17-83] Releasing license: Synthesis
+32 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:24 . Memory (MB): peak = 2121.715 ; gain = 860.691 ; free physical = 6591 ; free virtual = 32613
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP clk_wiz_0, cache-ID = 186611fea06d2870
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Mon Feb 27 10:46:52 2023...
diff --git a/game.runs/clk_wiz_0_synth_1/runme.sh b/game.runs/clk_wiz_0_synth_1/runme.sh
new file mode 100755
index 0000000..4bb6b81
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/runme.sh
@@ -0,0 +1,39 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin
+else
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/prasic/game/game.runs/clk_wiz_0_synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
diff --git a/game.runs/clk_wiz_0_synth_1/vivado.jou b/game.runs/clk_wiz_0_synth_1/vivado.jou
new file mode 100644
index 0000000..ef43e72
--- /dev/null
+++ b/game.runs/clk_wiz_0_synth_1/vivado.jou
@@ -0,0 +1,13 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Mon Feb 27 10:46:19 2023
+# Process ID: 21266
+# Current directory: /home/prasic/game/game.runs/clk_wiz_0_synth_1
+# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl
+# Log file: /home/prasic/game/game.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
+# Journal file: /home/prasic/game/game.runs/clk_wiz_0_synth_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 3167.195 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source clk_wiz_0.tcl -notrace
diff --git a/game.runs/clk_wiz_0_synth_1/vivado.pb b/game.runs/clk_wiz_0_synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..abf5a5b3f4b2698a389d5bea5b5671998d138cb5
GIT binary patch
literal 36694
zcmd-o<kIyFaCUU|aJ5nhD$UEw%u82DPE5{7RY=ZAP0m(G%P&&!3{c3-D=x88P%Y*X
zV3lGqGBq*NVo%O5N=;AAGm_=vOwP%UFVC!sH!xyPNli;E%_*^hF{0QFEp^Q-jZ88a
z3Ro*xConPyFmWwn<l=G8&&^HDOMw{5BE@8Cti@TDS(ccRUy__-RLB)uT$xvr5ucJ;
zoSB}dpj(n(pa8c<LAM~Ws6?S6**wwEz@Q|#INjLDMAuM3H#0A}C^a`VuOu-iJ~uxl
zRUtnuO+gpJDlLi6Pm52^&nro-D8b@TRuf%AL*opF0+tFEh%>FY#DYr_i%LLYg6tYD
z0TwA{Lla9R2S>4*=o%XtBI};d$mQTzQj(fm05+f`Um-oUL?KZjCo?%UuQ(MPvT3P_
zC8b5F3hJuG>I#W@Df;<E3Mr{&naL0#utmlO7Fuk{`MJ6I;IIw`1xspiW-+E0jAXbt
zU}1tO8^va5u4`;!j_k&MMlJ*Q{1VdK#45#XY-m7DH(4Slj&Lr1pZvrWP@scjS+!Vz
zRf^fr)Le@V5;R6CTmmp}!lE9Vca02nO$<O03Qu>9j9ebRr8y;;B^gDj5Gzvi5|eUL
zQ^2u-9LEZ!#UK|XD&!_sWagIUD&(grs1_>}6y+zU78j=$>wz+YiG`7c7HfWDab>ZQ
zC>Ns%Hdk2anj4s-crcO6-Y2m%FF6BbK}Kp$L241qT!=FY63Zd^Fe5W3r6@H|0g^9Z
zic&!V!eU}!WDd1EiVbXu1#&1Ra4CDB8l3~SGc`q_JhLQ2A;8l`0UWX*gG`_X8A)=n
z8W|c{8sqecDY6~COkAd=c`2#MIf+H7DGJ4vxk>ps;IyoxkXT$?nwy%U04)y`@={9_
zN-7H==^7Mv=B5TlFl(i_*n(W$ot<4V?KP6%VkyroO2r}*%jK@0Ur?eSk(ra3SD_yU
zE<E&&42+EQjPz3yOA__VQj0Qk^3(N;i<0$A^D=W2lZ*28oq`;F<AXi@+<jc*eI1>H
z{PoHN7_k^H#l>P|Xl@|Dgehmi=)f4oZlP;vXk-G)^Y8?Am63}{wOD{hixr%fjP@{c
zEoX$6AweNN3a&YcN%=*IC7Jno3RVgsnYpP78pWDc3dsef3bqOc238<stYEE>nv+;i
zoSK3VG*Qq~@J-FlFRE0~@O9F(QYc7G%vP{fFtju^*E6s*P_R}=Pt43yuvIWNv(z&)
zHB+!wNGnQBRVc`)EY3_$%u%paFf+C=f(e&p7L}BOMU9P&&5gM*y_vyKz*E5kDGR5e
z`K*pB3tkc_B$pNyrRJ4DToeGa4(izGfZ*U*G$$Kd8tNGtnOiFqB!V^CDi|7C80s0C
znprC(!&1Gif{~$to`Jam)tnXv^)k$5VHhqmvNSW&Gc`6ucA2G_fu4bx5sJ$U4GfI*
zOw23^IPD1|mlQZ9q!wpZfwFsUeoAQ$Bojb$pNS<b8xyEWjYPN@FyqK5n9Ei_BR@A)
zzo00wI5Sy4Jux>GOz5Sj=IIybmlh?b7RMXvXBOzg>rVs=N7|R*VluP9ney2zbj=J+
zK@|x+;eR2?qpDnjaJR%mSy;S=-NVsbt`v9}W*xOWeupHFOK`DxI)%Cs?N?tebKFH-
zadJ^+K}oTGQ3<59E6vL+&diNZ&d)8t;X7$AR&zsRBQsKBb~+Q6dP;s?ssiqGte~M<
ztgKqB2`bi2Oe{@d#X70J73E^Y(t-rltcF-ZY+%9$r2>3JQsm2Varyc>`??y%JGz8n
z@euapP6KaRT9_J<k_1x0l^PeUQuF1q<P1nGO3Y0yNi9-va`bZv_jC#IP)N!z%}Y@z
z$+uGQ4+!z}^^9_LQP2ff`c?|XB}Jg(4|{RRRlx<RWU^2#3gWUuvdG!T+s(;8G$h_P
z)F&j~4aqu7Jwqc?0|NuNZ7KPsNja$s1Z>MhwJng#7MpDW9*)7TNR}Ds8Gr$amgS&Y
z7Q*F#&9cy7*LXKiKiBwxU?eNu9DRaa;r0<1l!QFz>1P-p;2PxV?}B6zsJf+v=lnxM
z4B}lp!#rJFQ6kRROb--qB*$DXYG8(PIidz8#J<pw$av>SXCKtqBg?`JR0{*RY_M2J
zrIeD5YF99qJr=vDmr&ACt@G!y#<0#L-q|tO+0g|#AjwISDX3QYa9JQ*<?kEd>KE)7
z;_2^)lq91({C!9*Mv_qN@!~Q=vd6_U%-P2qni`QTGK6Qa%)FA+^wc6k#Rp#B1cx{V
zg@gvghdX+PAX!3AsLdt60w%A<8SrYDi24bo8oo_ZH7vo!;uPxUK2Q~~6c>x3fdxtR
z?=<pL0I8lG(6}cqXi%blJ^4XHQ7447a2oI~3rd*HCqK;akM-i{p^_F>1J<8K2_vin
zIc}MGnZ+5YDGEdn<d|X`$T7t+kYi+EWU6OiWR4ifF)=pRGqp5<jo2U$<d~Qn!i13r
za!kxjvA23h+CYx6fsr|=afvjL0|{Ty*bdSV6OjWsb*N2>G_D|BY6I-?2JajBqPoD8
zOA$P-sNj*9my!eOTRE2&m*nRvIF^(YWhRxDq!tq}aNxZ(@Gf|OHmZ4?k!uqpJe%VV
zTHHgoB<6S%BRvZfq@i0AQ&T+?1FS>0ICH!S_J|&NIo`xn&)CoiCC7uq*Myv*+ksD<
z1Mh-+XxZZ^Bi9B*_5js_{skqOxtUdv`HX;!#Nt#1!_mxvlmrLKyoRyCFv}e8n7E2m
zi_z!LREtp>T&l%5np$9W(AhFD8-1b-A&6*QfmT28NHLpOAm+aHxTO42OL8)cOB9Op
zAqxdS!|yq%C8>G}SbCT;TpXbJJ<u#4IkH9uCKh_;=H^)RN^-G+M<%gINpP{4nV4aj
z(*;fU8XK9Inqg`JFBUL2GD4mLOy$yb%uCFv1W#nC7Au5;=NlAKbHFPuiotW&MX3cj
ziOFCA&{7d5Lvt<mJV*%P7{`xdHL}z-M43#=;xdAo9a5B-SDcn#lnW_fU}2l0keLUX
zjdu<RRVYqP&d*B$FHB)FvOuv7%VYxBHY1doa&IopfTGlbMDPGL)U9B@<m9JkCM)D4
zm2e<0F&mp$YOxm-<!7ZPmqf7|>YADxAWw?hamjE6KvoHWT4fO~&I-=?dBr6~iJ5sN
z#o!ekMkXdGCK#E4!Wq8o#Fk6iGcU6wGcgA;X9y}`^U@Vk^U^c(Qo#lon^>S2U}^?h
zU;sDZ2qTwDKw=Si0RzZ>x6GVWg=p2{Sg^N}Q*$7b^kAbbOf0mxA&YEEOEPncjUu_6
zDVbi&&rjB?NJ+*uqYhd}B*2N8-YgiS*o+KxElfaB1NX>TMlM}=Ym9gwnGY%-fs!`d
zN5?3M8VZLIlJXOaQm7X>=cy7o1MVl3=s7@1^n`NRQ;>707cnQP5-|hl8<Z$%V&=NZ
z=$TspsbwJbKyg88a%Ng)vO+SVWK}3nEdnj71I<B}XMjpP*b*;<B84=NIH)AlQwYgO
zElx!>ATuXNAt_ZMGd(XKw4MpH^bt1cU96B)TB1-6RZ$9Bn3I{Okcr|fJq6F)g2d#K
z)D#qB6N^$6KrMt+aIIC6k&5IBaD`%IYz!~Wje;poM3{xEkv^BSUPNXNV%bN0K~a7I
zC{RJE4pRfDS~D^>L$1~=xTIWCi!#eVby|9AUTRSy*q=H1$=Tq#m(fUz4N_4>u^3zE
zf+{rl;*iOVTw+*DZ*UD{Xl{vGUI%g6;K)ZsrFq3jo&ZNqyrF(deqKp@Nq%W^2FN?u
zsvc<dVhCP{2QQ50FmlP`uU?=IM5`ic=|GhF&x1?NIUkh9Kw*uiQ2}<Qk%=ih8G$3v
z47BzU?%9#qU^X-|)H63U#Z2O$wlBU0v$44mc1@tga+a7<*c!}rT#DG+vB9Oexrs%U
zR$SmUV9bVwhVYm(vgA_r%g1P2gA2D3SZg>nMGwoE4=B<N4N=x3ejgOQBoi*ACa^+S
zVoqiXq#O?_%_{+|fV9HaSCZ%AhV_;746rQ#BG{QS8qnBJ=2G-TEJuegpDG5eg-I+)
zO|OKmzcn&4H$g1`#krXC)6%e{F?J&ZT~h-CP#FO)Tt^q-qA$W7_;It_Xyayw7`fIW
z#?27jLFBxEYca4PXr2dsF|eVb0`|qguq2O|Lozis(KE6D8G=5>VP;}viFFRi*c?mg
zf;<v1LKXuX8(13Y8JeSxC|g+SnHw07jws`p{=%Me;Imj^sIyphTw0J>EZB~Z0MNdk
z#Jm*H%6L#y(=!h~`a{6vfzS2csD3l%l85;Uw2#FKW4qFz_s~nUV(bDV*KR~H2J;-A
zD8o4uFj}aA_w@|K4u{b~4M)j^N0>lPjY7@%PF#ABLd~(DAg2;kim4Z;mVmq5sYNA~
z>I$GSSwtgXbe>*USC>EtzeOvFt}t@#N0dZ~#D(*Klt#u__gk5mP;XPyklt@KS{mUg
zc5p}$$SY(`+8Yf_<^ZjH0e4Ffv#iEOCdP!e)s6N)M*AP+Wey+Is@jB00a8_i*0O+x
zTJ!Tj`&ffZ5=%0ROEQy-32g!xjJ*z*)RM$Z(4KZwPl38p3RZ*PL;k2yWX`1wAEQV0
z&`^q{flntMsKIK$B?C$M;O<*cDrBRu0(4}WKov9azG;Ru2;qAYv$?`iJW_1M<q{lF
zY^7jiVxXXroS&nRlbV-al3`_GpveU~0RSXrjw+=P<ml^UXrW+X0A?ARDVP{&4*HP5
z9Cd(a7nBegGEqD5i7Om6tJrgCL$Zo<QGRi;f)i+)YhqC)=)4vM$D-6k1>{ALgFd}@
zaH)Yd@8;yB<{-^UBo}3tq!wi+Dr6Qb<mHzr<ffJgut|Z=2C;<h=7t<HVgXv~#8$xu
z*{?hBQS}N^4!{rGxX8%02hlMkCc<zxd_g@ojDdF}stmjv!dG~sG<=Ol8@}KpO-6f#
zI9j)Ogb5TpL8xV#6_*;MOoNY6fd-{QGIK%0Rik55DB(;XWS^oHL1!4bwj+ul0^y6h
z^Z}I+7^ROnHA){N#EvrL(#P14z8gtQ%#HL+EKyF{F)}mOGc+|o>D<!)44~1{2POFv
z#UYSK+)zs&JuWFo=>sirhExM;;2S+R(Mp^Hj9jY`B@UJ_9pR;nnHkDSW1v#T*m$&*
zAu3}dDI$=^2R>i8qLwncT$0dICN()DFFz+gy;8w9v7jI`FMZH^W#9wz23jGrpOI@7
zQXzv8qytmNfKE!pm_RT#r0;2lpq7lKktOo3KTsKCYKeMg;Al$*sW8F89P}B}9<_{7
z=MsjLF`oVko_WQoMWBN{2fZf-zH|vh4Ms~YRY)+p<s_Drq=HYsbxKXkFG^Jy4OrBy
zLLhO4pa!fBmj-+|cr<KLLlTomAZ&3>p}1w{CFUr=HUc~6q$cK-77#FN;IrVsy8zGB
z#b}UXrcSU3fn;?Ft<|=Zk!uN})rMS_;%={j7KNjaJQ*2KXXI&+wAYOF3=J&M+iT|L
zhNB}-;6z3|K_EE}d>MnengG(!2ujUM1P^w*!#6W}<`tJD<|U6FoCJz~0ulQNt(-Z@
z$h8Gg&fp8&kzVdtV2njsjE;DNQXEN`K=K^;atG%^v(%*0%pCCTCZWZtMG797sYQuJ
z$r+V{-bVu;ruWebonwq#8<7hg93eZxOB^Eu<PB_wpphvHGt<$Q4oT$=!5s<%pF1#n
zQ#e~X0r^EGquWjhZ#yBdlpeDQ2@crN@&>(UHCo<~SlAFwngd_nj2=i!dZZGpMyP%u
zt>K6m%^7XukdqcM^bGnwh!^VYh7p$>&Nhx;>Sz-O6u|`I^e$ST>Ifs(dgMM8#wZym
zTt|8f#}H%e*ur9T>==~VNWlbB=D@dbaP_Fdi!#Ai`XVmC3`#A?FDe=I9&$u2J~g>S
zxgaiAaLP$c&UVVLNG&F~LlCnJ*4EWVjdvujHi1CFG_6LV2Id-tyu{p8g&O$4yh07h
zrcu{zl$ZtyeE3ckq`-FuoyZ$noS2@9EAZiG`9r3l;f5G+$w0#zN`d16%El4&c+9F%
z0D~H^gTPFM8t44dyb@dikH;*SBfu_#5HKO^k%-5v8bh#SYC!ikfmsR)5T_d9ND%nU
zG6I`W;}aSJF%@Q(AsJ>FL(D>4>j-rhIcAwa%mUpH3T9E@E?7WAf*nl4gb0NFz!$ps
z$6Rm~y(7IvVuG>y&=PbL2GT`0qpJ_W`2a70J#)YhFDpW=KBKtY5G{Z-*xgXz+tm#e
zQj3c6i;8s=3=}|HE5X-qmnRm17Pb|GH;x)Az_{3s^2}f;fZGZ^Oc1`+8GM)^!eZpT
z6AFj}HPLTIfE=Z12)bMfeQk%K1<`9e@LW%0Yy!Wh3T0Q38ID~=aR1$b^g!VLJIu(n
zjuD6dKu0uyE>{?c>`t}^owyXh_ZZ|Pf?JK?Q;R|Ofv2V@K(8AB9|LV{Y5+e47Ic)W
zsktHY0nDT4_a>I+lvuH+q^5xw1kdj^w!l0<6{N}7$k-H%6t?qwM=!j9BuxAlUX*fa
z29$!Y#ZxU-$jmECEh<SZ(kRwcD9H!i(UFs%n4(aSnWs^#sRuHS$<jiLIlrI;bR7tm
z3p#KJN3j_j>KYoOoP0Z)BS0B}_#9z@<(?NvjxaGGGDi&jiPmXcD&V?7!6mggGd)iM
zb|s9CLUKlGa&~cPu9X7lq8=70W>YgGEzYvcvc#19lH?pCX)botl$1nMlVr?-A&SjJ
z*U-e+AcLU*eqeeimsn70PHH0f8kL;P<kY<4REXKEQcM=cT5QSrxw-jyMzUO-U>Btp
zXBJ~Inbpu-*8+4BJN(4vV~kwxs>KSR^LUDNKv^Ul+AsifoI!&N$%#1%Fi~)GLA6-H
z72KjwNX<(I^~h3-K!<<}ut<R}y+QJ&7#EW<wv)?6xfrpXp^f`)PAqvdip50N1XL-(
z!zhkRO0^gs2Pq20rOC;u#l>l*IXRV}L+P1}ERmx?fr|%R`^7^poWT-WAR9n;2f%H3
zGAK?kSK{JBb~x7a%HhY68)3_52w4+MZyU*QallS)#v&`t#cp9{pl4=@Ee1iiJDFmY
zk>p}EHZn8Ba`6+k%5DoImqJKJDkwdws}`#(WF!_VB&DY2DZp=g02klPW=4kaq%X(C
z1<rK^`I&hoSRC%pWlh>m4#_#$@#UFS@dkP+$px4OMzI^3gK7a#8iZ%3C5&7)jwvar
zDM-he<d>Edl$L;MAkP4W<iupqr5pK03Z4N9s>M1G0bNfQ1zT`Y!3w(5%T$X!Ilm|s
zR8SDO<W_}Cz|g|X%+N3`HPOH<#mK@OTUKE+(ls;#-)01N=#UNJ8ITq_s}!S&7F$ti
z9w?A%xH4QTQj<%;O<F63BJcocJm?B3*lmEi;LBFvF^Vh~56(<_MFk}ax&=uJ_|z06
zVegc|L$!gCOT@JTG9as3tdLlupjxb_2T7|&24?WID#gVX2CfS*la-MUmxOPAo`PFy
sl7f-Bf}w$xiJ6tDk%Ezd5w6T*WPoxz3Lh7jYhH>%P-=31QHlT~01kJEo&W#<

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/.Vivado_Implementation.queue.rst b/game.runs/impl_1/.Vivado_Implementation.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.init_design.begin.rst b/game.runs/impl_1/.init_design.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.init_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.init_design.end.rst b/game.runs/impl_1/.init_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.opt_design.begin.rst b/game.runs/impl_1/.opt_design.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.opt_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.opt_design.end.rst b/game.runs/impl_1/.opt_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.phys_opt_design.begin.rst b/game.runs/impl_1/.phys_opt_design.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.phys_opt_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.phys_opt_design.end.rst b/game.runs/impl_1/.phys_opt_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.place_design.begin.rst b/game.runs/impl_1/.place_design.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.place_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.place_design.end.rst b/game.runs/impl_1/.place_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.route_design.begin.rst b/game.runs/impl_1/.route_design.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.route_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.route_design.end.rst b/game.runs/impl_1/.route_design.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.vivado.begin.rst b/game.runs/impl_1/.vivado.begin.rst
new file mode 100644
index 0000000..a59d34d
--- /dev/null
+++ b/game.runs/impl_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="prasic" Host="LikeUE06" Pid="238931" HostCore="8" HostMemory="16307816">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.vivado.end.rst b/game.runs/impl_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/.write_bitstream.begin.rst b/game.runs/impl_1/.write_bitstream.begin.rst
new file mode 100644
index 0000000..d0f069f
--- /dev/null
+++ b/game.runs/impl_1/.write_bitstream.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="prasic" Host="" Pid="238972">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/impl_1/.write_bitstream.end.rst b/game.runs/impl_1/.write_bitstream.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/impl_1/ISEWrap.js b/game.runs/impl_1/ISEWrap.js
new file mode 100755
index 0000000..db0a510
--- /dev/null
+++ b/game.runs/impl_1/ISEWrap.js
@@ -0,0 +1,269 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game.runs/impl_1/ISEWrap.sh b/game.runs/impl_1/ISEWrap.sh
new file mode 100755
index 0000000..c2fbbb6
--- /dev/null
+++ b/game.runs/impl_1/ISEWrap.sh
@@ -0,0 +1,84 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game.runs/impl_1/TopModule.bit b/game.runs/impl_1/TopModule.bit
new file mode 100644
index 0000000000000000000000000000000000000000..c18c55677ed5674e35e11c868a572d043bcdbcf5
GIT binary patch
literal 3825894
zcmZSJ<o^JH3=E8k4B8?21-|(yr8%kAp~b01o-Vcq5pGam9hO>DoSC0zYh++#q-VsC
z#K3EwXlP(il3bi_Y-GZa%)o7AU}UUsV5Dzk!H~khX=rX`U~FYzz>vydl~D7a0AOHX
z*e$5U-~v;&YG!Df0s{ks0h0nF1DIxj&<qV=8Y~B4vxC?mY`~NNmNQ^fU}R#je`>&Z
z0LpJ*WKI^CYyeTu30BJhl4D^sU|7J&z`)9A0Om6=7%)TZ0ja@<4H%&Af|$t&Qft8A
zz!1PU^#;hjqj)p~MnhmU1V%$(Gz3ONU^D~<ZwSbB4qmr04PK{F#bw~;DHfABUSJU%
z6{1TB;7W61Np!KEEK4}Z(lx4SGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1n3t60uJ=Ei-1i6P<a>)<&ILLAut*OqaiRF0;3@?8UmvsFg!wF5VgBN3+O<B
z3Zp^nQ9K#~qaiRF0;3@?8UmvsKtu>&t31UQ5TS7Zm0)x806K>*R`X@j#Tt?=!L{~@
zWP^sF205`o<LJRN8alv1A`mpd4nVe^1<b*<WD_ho5{N++CkzY>4d6f@iM0sW<D<lA
z2#kinXb6mkz-S1N7y=HUb|wg8=o9~gAv8b&4h#&84h${~3@!|;AgAJX^8h)J+SV>$
zU{GknX6WFF69xtbrUeX23=B#PObrYSObj^PjLjdTVxu828UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Api>j*)AB1{xsy+2>RPQYU5}KjE2By2#kinXb6mi5a8_|IA4z5zc_GV
zKWZ~+At1*`ntEEQ2A$|ZOY=v~9t{C<Lx9hZT%EMjH=18)7q+7&lNSPm=neq}SpI;~
z<oRe+*JucghQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n@{-V4Oiaccb0Eh{mOzy+g%hCL9ix
zc!@(|RCqK5Mqmgq;_x%a3mg)o!lNND8UpYTpk*D8cK;$87w&{n#%KtPhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5TIKKuv2h9Bie<FXk5B^
zVc1zDfa^lKf%7Tzz*#+N^JoZ+hQMeDjD`TYAwWqTk9OfA8kbxbjp`c>fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRHLx2&_{U>M_nxJuqV`$LVPfR$hlX!_kVpMoE1V&&8;JOZo<HZOJj8QL-
zh5(5nfUk~6yU+xUOQJ=i%11+B2!{Zl-{5n+!{Bq$sEbBJU^E0qLtr!n2!z0BeL^6B
zMx{qXU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n^K_Ab4yt
z>@pJ>`k(m>vwEn8?Wns4ZwLs`%4uR(Xl3%Kx#Wg`ln`9!Xqf^Jic!W84S@y{-AzzE
z>@pL9Zn()XA-DjI81%A-M$R8Kb~FS=Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Uh0x0xZ<FpI8G0Us2m;24bzIy2V4yKqedx
zmUxLnVpMoE1V&&8Fyint#|s=1qr#&hF!(}%gW66a)<DMB)V7&{SgWaS@u-2LAut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMniyz5TN?qIn*+c;}j9DM^!>Ct5HoI<&B2GXb6mkz-S1J
zhQQDa0jl4fLoEX(PLmv<)Uujni$^t#hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5EwKeK=nHdscs;SppsvOAwe&zF)SWw0!%nOEb$VD#HjFS
z2#mlGV8r2Pju$v2MukU1fchao^*alxZXgan^RLE`pqJGc7LN*yhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;sDu)2Z-HV(?RJLIV8;9$@
zM0$IP)sx;fj@maG0;3@?ltTd59hUU=lc)#1Z5*`^Ed(&`UX(OOQ!&aN4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OLnj0<?q4M2bcxaX
z83_du&E#?22PyHAW>$_G3<&{@JIo-$xJi!DJI-(i4vl4S-95<hg2on)8b2BWqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONfU*#9piDcpbhElq%cN0rMnixG
zAuyUxXb`BQMvjKSXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4k5ry8&BXgmI;S_5-)K`
zj0%s2zz7ThMjU?Tc!5J=RCqK5MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E119s-OExXw_fxz!|DAOu#8>s)k@q{JN%
zIUMi>-V406^oIZ|0}J)c7eF&gqysL{Ap0H8rZ$6$KA^VK2DN!ClA8v#`$nSUM&o28
z#`>tAM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz11s2sn`F5)M5QHH<1B1*0J_
z8UmvsFd{-=v_2UT(LCzO(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S|6V0kZEk#-&mI$H04P
zu($x<{o(X<BdaGpZ5y=<IRrS6IY?}d*GQZZ&SV@U{ulKaBn}yM#%KsoF9dKEL1fz?
zE=;!8QH`S^Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz5lj2;jP=grJYe)hKv_pwXiWMnhmU1V%$(Gz3ON
zU^E0M4*|08Hz8N!XdO>^q>Y*|8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLjY$8kb8|H1KApJIt3!ZJbFJPBp?_V7?|L!fn~6I4y^s7wvUDY
zz7Sx<CrL*+Q4cy=Hfq&q2oM(n<X)r5K(<B#?i#J*2?Wrn^k@i-hQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVD%
zAwc#uC1h))FdW1zC^TSH`)CM^hQMeDjE2By2#kynAp4pUvNci|uA_B4g#kFKeKZ6{
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`T#5E!WY7qL19
zNs#3f5@&=nnFfjfSv?1dLq?r38Uk2CfDwz>KnRI?41}$tc8-Ps_7E7T`xmjh1|ceP
z8o?fAjfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7
z0b)a7u-?B&$o;~r2&ovA9}R)g5Eu=C(GVC7fsq{ogZ2JJLb1ZUnvjZ7`Oy#<4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!3hxh5!>a4Wqy;R!<5vk7^wa0UCz@BaO``)_745VpWc+8x4Wc
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMniy@5MUxk
z5gpX9deXs?Q7cA6fXonJBvT)?wTXIA+r&}xMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4FSv$V1f$4Xef8UD4087G$DrwG!RlT1mzu|ZidlN?toD+cfe=@
z4jG1V0`a*60|S@=CI|!qNE)UNMuWr#3f~wgi%0Dp4FSR-FoCcV`lw*0k2M2f3&%iM
zN(DQGvZ-JQVIu^fN?<gUOIwPOw#L)UbmkQ_GkTy5hO{Ib7#P3|FhPV<VCrBr5n5=a
zL{NfOCZm|E0Oi4GD0h?^4S~@R7z80eOmBl2HJDz3>4MRiD##WQ8wBMi*^VF8I2r<@
zAwZ1~Af{v?Mh&K0V7g#5rV6q}M$0a;gKkvgXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5THW{urWdIYJ$;p
zuo7&A2eH>Kf>jeku!|6*YAC2-R7e0j6GnqMbRbk1sD056I~N_CJ#bdwzDWde_CK;3
zgebb35i$@KM3)2Pf+%#w4A9FV!Qv40FcLz8B~S<?ai))ut0mzik{nzQ$bNKj7#~K%
z6+;>5;xImphAJDSFhhW2DyA3#5o%{ukaaLKVKhi=#A2=^M0lO9H~10Z9^#b{lPif)
zgJ~m77mUVKLAHn}4a_9NF|b&N(PS7ge6+A_B-t;t2{(F|TA(@-)9ctpLFy1P2p04T
zNRTuJMyP_YU?habP>$SI5M_t*AZi<+JiL0qk`VQbB&x^f3$U@H1Oo%N3Y9{E+PMdo
zZ(uZ)oH=UDXb6xK0z7Wy=pvwJaI_l;ICAhx^KetoZIJOM>X|oEP2w)3$mdiae}lUQ
zR*%4FxWw>hNV!wVkH}$1PT586HUgErHEPUg2!KLhv_1ia!YCdMfzc2c4S_)u0z<ad
zAiQPJgdwF4QDRVn0464eA>CDCo=s^`3>N)L3`z_P42)psurM%^(;f%wC69pEOP*eI
zUE*KRMTRaP6emO1^$3>>uprn&n}rc0$i3Jh<uzf_=d=c?{~&b<h=kTBLpDwr^ag1V
zlk6;v`h>1=Le2U_#F1prk7^hVfe{h{Ees3{OB5Iwm>497O?@)^2?Ikz{KfAK4B2iB
z3<rpaNmm92wgLtQa4Sa;t9n*sQ4WTJ3Z`m?2FPk&$Seg51H%GV1_tg4kmU);%1LE|
zTJMl<mAnC|dIzieNv;eG!jj+jG%!@(CoWEyxEPpcFyLFZfwFK{fnkP3>s*E|rb&|~
z2uu)}ATU8-8|wst6G;=qSQIFa6L7eKjo~r`vqy<R6arF3SRDh-&sb$f1!*1vBO*>5
zz$?^2Byl4yAeEq%@erDD96;28NUE4G5rD-?h{+&3ut;JNf~m(Mg+~aY3y*?PsnHM^
zEFmD9K0q!3w=f3C?m=!XZ|VR!kgg*i1LQ|Ct(6HTQzLF|kd6;-dHTyhR;GhU;&LZQ
zC8*m1p$WSeq7Fn-#eA%FJj7&>9faKjQUOyBViS)cx`<a#y2>HiqUU8N-Kztl16J0-
zX4zr%fH-E<(vcPdlA(BgOj;j;G}YKGhUEuTS;&YVXwVc@d|2{?u=N>f?Njo~dD3+a
zzSag&AuH2Hl(K<V!~{L80hV6|+C4P2U*Z#9<4G%xAgT$cXTmD5ISQf+R<^-tY$`{^
zMnizgAt0wpWdkWSZZ!Qf@L#1g5aIf9g(fa>xJD=gmpYg@E*h$Rlo|~I+Jyj52-VFV
z?O{_Gq->WcG=PDD@;HFB*I*8TnFpg`;-mCv2n<*V$WpJ4C)W?Kx|>}6!%iPJ>1V2;
zhXJ+16lM;wG`c&64WCDpYF>ebCDjZZsRnVhQ|xnCT%gl1gJI$@K02)cJ#Px8j#L`m
zydlqLr+l^#Jq*bW6LfP3@yWGkRNrU_jE2By2#kgR4MIRl7u8T$A&x3K%A;opNM=&U
zHuMQVLVR>v38^O}k8a*j=JSYA&Go3GR-_w?uAfvs={62h9il-LI}EYq6*4=E;6sc?
z=R-shBtjltKXH77NyCIig*ahgfG%JF1qr0PgI+74^Fb<+F+v_)KXH6yvqssYAut*O
zqaiRF0;3@?8UmmY;7<aPAnX8Ydx9{CLkf0bU<GM&U;rJO<-))K<-?6Y=ffqS45&Q1
zexmtMV}=hUkJzWRfPq1wi4319F)%eiR#!7E0G(@w;6n^U=R-shBtjltKXH77Nu#XM
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFoHsWf6|ckEX$B}`KZH3Ltr!nMnhmU1V%%E
z4j~{vnGTlF#tIJF7&~g}Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4T0et0&;`*e#X)J7l(7g8}-|02#kinXb6mk0L?>yZ}8sFIC}pg&0~Mm
zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsF!Dk`cHr)3
z9KC;W<R!OJACHE>Xb6mkz-S22F$8!A?taG6`xogL&!bk2hQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70ir{InP`<0h*mkOkfIRa
zq21lGqj$$r6f>im2U7^h(C&W5(fbz%Q$&xtWi$jvLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1N9|8@`yAhfN5X?~)wh&;%CP;lTnIMFj
zEFB0o6<CZ8tW+>%)QHg#7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF
z0)rw1xCM!J1{2XLM-`5Sz%UB|X#t`=M5i0=iFVJZ!qE^I4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OctU_%5RW9P6cZ|Uls6gzBPRr;
z1&H=5Bhe~H6^@3$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiTjLO}k~koPjnkoN(a2e<{%1aNbia7&HKjE2DQ4gqNaqW#B6w8~M1qaiTNLV*AB
zkoOSBkoUo;2S!6+Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S22Ed=-m|NV@^?*2u(Mee9YqaiRF0;3@?8UiCa1mp(){fwjcFOKLGI_mk+5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF
z0;3@?8Un*51ehWQ+$Ut;Z$h@l0rxU~2XG7Gmq(IiLSm0HM?+vlg@CjG(cWbwTIHz1
z(GVDBAutH)c(U&|AzR}xi^EaxjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kgRECf8)!C0g8Xb6mk0Im?A!`)3}U!zF2MqHj965>n@oI8hvLq{Ds8Uh0u
z0u7Se2h#dcyGOy$2mw0O@nm14NVdkI5%i<391Vfd5Eu=CVHE;gvvGN6v^2*RGNa<7
zAut*OqaiRF0+=B%TAyHs$*9O^2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kgR6+^%Zmswm6AQ=`x5IKtJ83F<(xNK8b0L#1tlcU6F2#n|uklKRR
z`vQ1nM<qu?U^E0qLtr!nMneD^0$f|64D1x7?S)-#RFp;`!0m@(Fe97?s@)kG7{Gg_
zAZ!o`mmX!%E(8SF@tCcGM{ZP#W+A}MgU{#&)UpfQv&W}?RE{bkkc`h1P*FCzZWvz(
z(LzpY2R>6lae_~NRE`!QAQ*tdR8XnK)S!TrOF$wx6psp1GX%KVNHA@*J|Q8n$X6<G
z0!1$q3Qq*Y<6vL_<q%XEki;maQ3!D1KC^%Y6cr4bG_rWqSezjsV24wxi3Qqa#HnIb
zf;J&wfXi4&n+rnXQZp(}ixA-I!euI`0%1`c9Z<m)KC}}TFvMkchXYd=149EY=}~do
zg@7eaqZI-elpte}IF*b_&>{q++HsoN62id1fHvZVQ}L(-6+=J~mswp*N?jacY)Bn>
zTq;M!sT%@PeYni)T2|JjA=ajWOMX<GRv{oDgUjUZV`W`jV#`MRjJN`bcH+jkjCNp{
zY<y<bXuAtn_|Q(AYdS8o0~Cynjd8{pE?uMIl!pMmIQjp7G)^cFVKPiG#ihrA;s1XI
zrO~nrSNPCQ9Pb(l3EJ5^YBKH+keY)_E|Gy@G)`~@&VY%Rmk*fLqZZ=`fxCBcNQ?>(
zSO|>flK~5QoEDGf6P!UqQwfjT=*B|^9a$70`xzMoz<fpq1`g09*XXn(dT`Nz&o~j?
zP&M#G7E{l1@Y*h>PBn;v1~u^VmC;EqNN~`S<n<-mbnr43EULjv@3Dvz6e3$AL6e8F
z0wxBDSfbnynwB3ew}=WV$`vs_Aj%9-9syyZ6pt#RC<I3DKcOi2XrozbF#&VI%`O6J
zMy0750zCRyjDxJzc3^N|U=U=5$_Fqou`og;pdv7e>{ugPBh2PuN^@hoyNQXxg@J*A
ziGhIy5(%IIdr<EL8U{#AsK_Wq)ewlnVj2r5J{%YrKs`l}v;#u}gM$DlW<b1AOydxc
z#<RziiDLn1g%{Wb;0Q@zU|_fa;x;gV$~6#c6jMJ0qz|E)*}%{Q5)oiv-~gBR4IH3S
z3{P<dRt3^Bim4O=QqQp(#0csnf@M%T+u+;+79PzfkYJz%$?c8PR4yhC4oD<`atWx%
z2*M4ZY=cwJs00;4fN>9oAx#WT3>~0~grh-$fvpQ%HZd_Y2|z}MaqUUPFlJPM>=5{a
zrW2Giz~vRF-PORL02&BBz~ImT>a9Rp#-Qjz(gcn(B>pIq(hv|tj}y>Hy#NCTgMb1H
zQxk_TBWUEYp@D&kIiZz7gMo($UeQo$^QeAYAt3MxhYX`50|$cx69dB}4h9w$29^dP
z2CWt*rqNu1BfO|6%pHcsFac2JU}$h)aAaWN;c#GJWMpt)@L>VR2PymMu^2rnL^uSb
z;<2gGU|`^2QJBDB1TLup7z99>h9N<L2|V|RO~<Gh^+Ev4@xh>pcSeB*mM(@61_6cw
z4mK_Z1_lic1_cI(28PiQ7V3pPE|a*<V#qLo2f9G*Ee!^SfCdHu7RX4700V=_=#F`e
z;G({OKr@D!O_0S9PXrtooN@vfI3}pDEOBUI;S$hz!N3HmR50|63Q!R+CSe%H!6YES
zARxln#l^IOiBq+KtE-8rNvla=%@h_987U0qqXJY90Y?lIyO<0_83jb2-t!83l^0ps
zHEUhlvP0|I-|gM=XR4fB4~F_t0V;=pR2GJTT}%c-90F6`&U&@#cYWmAIrG4Avd(JH
zzBgiWa*`P8M+K-I0<5?UG!8Xp$Xdmp7P_jP|M20^&@*Q~EM)-g6T+ooRGeBNz>Lcv
z<5kB0r-t&+3|+;~fB5jKRcGe#M~%h_uF#^YIPN$JHD;I^%5S_X^uveD%+OHdWPbk9
zIH79T<1h^O-Z@Y{$y&vKW>sj#hs?}XtBj35d|+Vs{|kpfqry}R0YQv&A{bkQIv5xf
z85kJ^K=rwc3xfoc0t<tX3xkByXqS;{0guNZfjo3c0S5*TkXSK811L`91Q-N3SQr?X
zKs2O>50V|lv<m^o+30334V`(0f#HAo)c*|i|9^%4KXc~YJCK_1{}~t<Kp4as#WV~7
zuFdF%{|ARVI8Ofm|AB}T<K4`oEn)P)q6r^!T|Fe9#BZPazoGvBuc`mvo%sjJC*K*)
zF#HEClc0%1Mh%6BfD~vo1e^zAAo5B5f8??Ybj}Av4y0-nkA}c#2#kinXb6mkz-S1J
zhQMeDjE2Ba4*_Xxd*>jYf_6oq6hsU`g4VDic%v+8hQI{U3<EDEhHF9P!G%W|6or7)
z6Fk~E7&sIdKr2(hgbFyvphp9ERUQN6-WohcjY<(80s@J+)Pgq3f#e(*6~G}5+Jpk)
zgE<h!C`pqLkoLqd7Q9#(E(+!`GB5}zU>T?fOTv|oGN=;*g0BggqW~_lKzo20z>ByE
zsUMZ6Gz6qE*QP=fe*mPWU=&~!V1RCKgzn9TNKk6?sD4}_z%>t-3<CowUnnpzXn?W@
z2M2=&IKzNAa4pd21M$I<AjT-BGz7So5HbO}VNMV!{6Siv!XV}-rfvve&c~ye551fb
zB&Gn)Hy{=QBS|9!M_HpGFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd72GKLnUKA%4V3!-m{l=0X%ANQeyl{u2fUF38b#9H4Wepfe~83~+e?$UP}6
zTOs<`Mo-B>1SJ{^Hk(d}7Tb@ekwFa{xJ*3D!AIPIA{BI^EdzrZ1K6wv$bBfF`%yqT
znK;$K>X^?!?@j4|(xYb^fP#lCES`x=8#rn}l5hrygO2e@qAzhl*E`C`76MEROlz@;
zV-*{n^2Hh~BnlES7bww2qM4)0X&nL$Lb8-uF<L&67=EDA2DEme0lZ$WfdK^3j9|uo
zhXCm8LC9P$6N3u)JTH(r$a*1s2#F-lgdu_?Im$#10Z{B9^WjIE^I)#IV_*O`q!}Tn
z+kuZMZ~*C7aD-+G$gw%B3=V<}3@ic+@Z$?vpvx#=$4}v_|4|$>A~+lYpw$Z;0v!nq
zObm()3@uJ9N(>4d3|<T^LJSJvbPru(DtR4ifUtp%9%Ntu9cJ6W1iE>HDZqh~0leN@
z0Tfpa436N1^`N){FFNWHU}9iUU}9i`)?r{-tVWLt5()u9OAHkp4B-1u7#f(M5d&K1
z)c`t60-R?c(ZIyWslXTkI=s_?fk6PWY!#G^M)L+ncp?NG8X;^41_me#a%!*xg9B*w
zF9QPuXn`XGe>OxJ8p*-{>a{a)FeI!<g5)SCa0U=yU;xz^U^XbKAle)nl^7tVL-?a4
zo)7@n?F<|Y@Dz`smK**4_y%w#4Jvv-H=jT@I&d)XfHev+FtA)>U}Aut`wTLj4WyDm
z2zG`&69W@i0IYnJz!w70$`_KnQ4~tQN8zG!K!p+LN-Y)!76um(2XvS%r0fEl2x5YT
zAvF|;3Bss`jPg)J0DR>S0|!Hs0wnb|LCPUms%BtdU|xo*1I`0w0tQf>!obA9)u6$|
zz`(_@3M8wb!~x58u+{=YGZPa7=x{cs(R>05BCMEW0l3|zz_EaVi9v~hfuo57-0tFF
z=wJf3yFe-208}^2VVr@Z0J?OhgQ0=p07rudL&^#U0R{sG1_vPr@TE>HA>d{h1A`zJ
zLl+Z+0FMHL5`zN=Lx(^E_<j^nRDx0hBn@D7+{hJ#)$sug42_U7D*)W%fYkBeZ~>J+
zT#LX=1ku0%Dyi5RSh&DjP8b*$L7Gwv8o=!<aKyAYFfcT=3NX4bFfdI3wYvluK%2Qh
zB^V>Py^Jt!l!X)mpn8CVNdR=pJSgFV`Wn!N60A!HRxG|7Od%1VEX2UzAix1?V>xgz
za0sz*@GvkqaIt^{xIh7Kz`(~Kz|6qXA_6vA0aS@FvM_*8QD<NQx0sO(9A$z-0Ft!9
zEhx~gHzp2HF~y(&>ij`M3>1oBm7<1V3W<PZDh3A^P%)(G;4zIsK|p|mg@Z*2ter!O
z0e(KZ0s|uhO8}n$LxKW)U;rFTNXCsa;UVC_!qC9L0U{b0!C}bQz`!EG!q5OJuw>xM
z7+7r(Y!nt#f`|hsPk^!wBSR-BQaK?l1RfR!P6kF$j4?2Q%eMv<B%NS!#?hET3K9rY
z5R_3s*#ty`x`!N~s9<0a0Q2FQgn@xUt_z|AL4uWlVuN7<Ll1)l1H&9f2?hfLP~is}
z&;qp)K;3r`UxATT!GTGEp#jtb0$GPJWt4>x0uvY-I2sxl7#bQFu+gAa5lEH+I^Kw)
zpNlI5)K_P4;JU`ZA_P+E03IR+v06ZeGJ*4gNFNu77SLi~YU1M1>R{mD0u8Z@-W7-v
zm>3*I@bDlgq`-j+E@(j60MwO$DrWA6G7uCagF*<1$0(qoAiyBXzz_gwD1gL3H8?2W
zF)%PdX6YFiSwO2I!UCX`6;uIO?I?j90$c(N3=RR{@X=rhP+;L=VFF$D18U`hXz17o
zNCyk(yjl<gfkBsNfqIqN7XnxuoHzs+SQrFCK;>N%6X@1C4jvW>kjV`!3<?4a42>!>
z6)Z{|#o!tQq>6zFBnyszgqfo(1_l;TL8HLIB?7uu5p)@o0E2^r1_u|YdF09g8u^8W
zl4u5$0jEN^xJ(!n0s<Wbxb(OzSP~i-3S<~S4PzEih_f*mfC8OEgn_ZEPmGa4h#`uJ
z>6VKEhl2<QC?$ZJb<kcV+{94^C<L^oG_W{aSfI-_<$#96r4{U2Et9w$4y@4CYB|8g
zaI{0efdzC8BWNgI0Ao%ogqy1*L?IyXfc3Asx|+D!X*^{$26Z9~Ol@skOjFtzEM)jB
zMEJx67WVaR6Xp<_TyIx(FRi9JQG|<2fQ6BPg@uWU1$zAx$SI>38UkD*4ILf<3OrmQ
z4jLW-8f;uFLR=mW8a!Mq4qP0r9DLx?1+1349ZVq+pg3Vt2nf7Wed`}zW!j4wMI~}F
zF*O-HD$|&lg!nqGc-W-actjYd_w|{HG7GiV$yMFU)2coJjuQrk#?d%I3QQ!X;O<qS
z469g=GMr&p#qfdQ3~R68ZV=7T0j4|t!w;nuz&?KhHp>`9GQR)+|9=BRM+58(?swpk
zNRSl7kpKS~W@>2#d;p1zV%#Bs94Gw$5pfcFS8#V|C<9WQa7*Jh1so>~|Dh2m06w3a
zfngP9oEWcKH5w<l!x17QD98}X&(C@^H1z*}etv#_)?PuuP!PBartkj$4@>oepmrEU
z6FM0Ri<5WYITnHc@BT3`up-9^SV#R*2IK$#9Ug!=qXf<nK*R}12_jAyIs^q7K7=C2
z$y<;LtXR|-v>Jlp|9(&z_5TCIf6!e5pg1`IYSe))6ado=;5Zr0Cs@M}Nl-xHAOnMj
z2!nuvA||aM0vf!6sunJQGT@YBhlB?Qiz0&~gU}4nJt*K78n~Ca(Fv?505n3Qz~BfO
zsc}&V0I6eea%2GAn*ve+qe1LZj0gdT2Jplm=pIsVcm|;I6#~F%oPj|U<9<c~2hi9h
zXtJaMd=Cl(Z2BExIG9zz&;Yuu(M7SN0kjzc)SZN?huoh6Ry0b$L*PFH!-o$aK$XUS
zh7TV=mGqf2Fh1%fCfwd|O;847Sci=<!vat}WX#CG!w|4QX@bkl!WISw2Jn3Y{~18H
z2{15(lo_1?Sz}xuAXxuj3BK}<fx*!QYSbu&6auJm0*VD(al#dgqz%mc7sg;?%)s!U
zUsrb~NZ>1%(uBJV0g4O^9ZDcRwE4y0lBNWSlmD!O|54(E6)Ao|Iz}-l1c;9lZeNgk
zbZo}J0KUr%bZ65C)=iu)GeL3k4jk71>mjNkaq{(RIW$f}!S@S+<VNEJ6bk4VHBRuA
zT>?kY^@55hP(Fc+2W;YWVE~m~tH5Q_2XNWd0L>>KzZyg1Wa?;~z=Mn=26%NN0|R80
zBS<IHRR+-ZI4~15j1Q6nFX3ijfGy63$;0^&b)b<=(C9B0Xn{8)g8*#5Bgm*xOiBoV
z_arhfK=vkrB0!CS0oL7v$-(&0rWsr<Brafj)fm9n2sSK-tQ~8B?RO-_HKS@rLtr!n
zMnix+Ao&ff54wN|%pN6Z69PmpB9SPijRQta#S#LLln<+xK?*p)i|#;c86cwIc;oW}
z-^l=4#RXD>1%nkUfw!HY$b!T{7)20iBn?%3g!3H0)A69`U3dtA7G^OpFfg((I>5ys
zp~MXC2SV5ok`b~L3^a2OTEhjJU<Zv4L*kf$!GX~QybP5ArVb<t(g|5w0gh-87c_Yf
zqDL_(JV6*V-`=3Y;s6Sn1_qXf7A6-42L^`;E({HflQ<l}YrB{joER8*elRsKfRsU(
z27%X~GBBxtmToa{FmN!if%_q#16Dw5VOT&L76lp@!Mlt=%eomD0>MpYP}DLqFexxX
z;&3!3u!aDrI0A(%teXf{1d1aD20^eGxZsgth0e``d0;glf{{TGv?rB;fujMkJd6Pp
z)*#(X0w5B+;TNQkflUKs0BC(Thz1Ld5;#Htw1x{5dTbq_&}3j>>r!F>6=9H7MxY1-
zYvlX_>NuhnXiOj-pk0rk@(Gmd7(p{8VC8Uq44`FS;5A)f@lk?s2!M)p7SP^kNU$k^
zS1oohFoA?v7?_xtK-CQhvzmeo0~bG_rA6QgEC`RGk%1Xfl7Un(fEH~*7Ns&V2r)2$
z$~7j2rUs@akZBMJusSFKH3P~Ur4S(iO615z3aEBwU}O*g?MsA+LzY1{fN};TP9U0D
z83I9fo-i^pII=J>f|wu`ETH@ZExQ;*K)Dd2dXyw01UM8Jm^v6VIGPw3KuMTm71JUn
zhK3G?RSgT87#KL18kj(9u|Y?Ei2E@?PW*r+Z19dmP^MsL0PSRC058pEXkY-Zzh~lL
zU}EB8XkubgU})k{0<G6>S^&w4;4B5Izo0vhh;Ze|Qz8HjWlj*?z`)R@1WM%$0t{^o
zt>D&?5JL+CgH%3fIWTGk58cYZ2(Er00Ve>O3kEYmSr1gJfLeA8Z4C^p3=B;SOrY8e
zl+QqACRo`h0S^ICLIrK{1g!{WVNg-v0k0fpVc=lsVPIisW8h|BS){-K+I9-nB60v)
z$HPJsa+C*X4L5i#egkB!5hnwqf)aS|dqYEm5(fhVlLRbdh&6!<F(U@ZygkSPAfv&X
z>p_fB3=07v1_q|Y4vr=P76uM84h9)c6$S=H9u5X36#<n14rV9N3VR07Q3Ha>pb!Pc
z3usOe+)0FH8V=A>2?q~w6$A=@CI&YKh6V=*Xi>!=pui*~#K7PHJ`pEDfPn?vZh$#p
zltvE$77rzr3mgIlDjW_Hj2sHm3=T{pE@BKyix`BQKxfq;)bm_pVr5`rZ~@%{q@ch6
z3UX-V2!QGn9#{-8Ft{*iIB_H}NH{PtII(dzFfcHKD<V+an#HAQfdiz?fG~NKg&G1I
zgj5blFi1FnP7>MxDxD-$4luY()ZjB<U||rIbP-@+P-tKRRSi7zz(o}33=dE(Ap+{U
zL(Jk(U|?Ys0Pp1mDFm%30`*A*BtWAs0u4$WJQD;27&x31z^yR`&<<2kmV*^u5JN^u
zcnGk7c7tj#F)m?d;NWCfAR(yGz^DL9)*KET;2oz7&<&0ZEOFrb7r}#9tvrmNeeU3`
z?u?*mT?Gb315gVAqF6zJK?&4~17!#Y22KG7P&K8*$mrnU>cGI@;sCdOlz}Y-m_Y|`
zfqN97))y%GGB9X>nq3W`kZWLQfPfYT2JTakZX!qkwEGd%c!EfSf*!n04m3E{(9i(N
zMxYTEP+tZl1h%&W)Es64nG8M$1Z)aeVw6A+fd&Q!4#-H=Dy0SnriR9*290LOp;4f8
zkEC1-v~C4W3@QyNg`hP)s38VY29FI;<bec0TP7W#V`5M~RR1W25&~&j4h=~W4GoiY
zSvWNMgc#V&LIgA#_*}S*TxKyaFlm6Y5Ca2fIjN{EgCM9?rNF?#;RKo_0j)Cyo5jMy
z0B#3^2~dWapryp&a8N;|p#x+BBTEC50uzHk8$(Bj+W{ufxbSFi5gc$hh?$NWJ`Jj}
zGMWqxChP_|f|e&%y$QD$uxw;eV9?6oXii`ORoS4@N#c_LsPJN903F)F2AT_Dfow};
zkP>1LVG4t6R0Ub%z;MAqkduK2RClm2Gq7ynUob(0gMmSmiD?1L0S3kvh9=PZRgltA
zj3osAXII^MR6BRh|J-+W|NqrV+W-6a=l_4X+V$H~=4sV*t$xtb($>(>G>w6QZLf%6
zOB2JPMO`f`vih1d+%B+i2{be`G&RVHamX>nMFj9QFt9W=H8ccG_?IO4@?g!AhAx*j
z?E}-dtY5WBtYhkN@vg3CM-B<jY-wuh8LdySL<Usoe|5&Ye}DJx+igE(kN&>8?fd`#
z`&Yle?ti!0w_AEydaILISi~F{nM4>EggJyn8JZZ_G`LtaoYa^S90FMQ1VDuugWNO*
zIi|7#O+IKBC3eEEBuUSMIw?&ZZfvU=Shw^)+OUm7plxbb*Sjl^HafPjHi6El2e+G{
z&KRYTLSXmqP~-or{;yiK`q!^jAo|RIhX1QRtor}qKLf-6|7ZUH4}~tpX5RjvVfE_&
z469b1VK@U?gU|4RA1VdvCbF_dtqO%KfDBmbKOufWc?Sc7@fomH|IeH;Hf8{kp&vf1
zT6N|OXzvhMW|Y7Z0=xG^;^hDTU%ytb{=aI~8BolC<3tcGPRbd;8|ff%0x}97C%e-G
z8NhK83SLhSiWB|-K_$>CeULg(Y@9jspW)0I<5i<^0uB+pL`P4kG4m?sRSXOPMgJL?
zSFJy@Y8CUU&#MGKeE7idpY_cD|KZTgAO&tPF??WP0Ob>qp&$4`Bq-#|JNN_Q8CHct
z;^aSr{{+-HF*b(92|qt5pFs4DlGsC_qa)OqVHLxwRjUI6{)4vap83zru<FAq&`zO$
zj0{L|!gUjD0yIuQ`-Wg~vfIT)2@)revI`U^sAZS2F|6zwjT3Mv;w8X+ZUq%c{~At1
z2BsL4Ks0P<UTg{IKs1P9pm9Y827v_F5*8?*VIipV4^aXpVR{u9JXi!7To@(@DX=pL
z2{1tSJA&1W5||;70PRzQ##TVGNHnN_t^ni9K+YEii9uqJ0erFzNDFAJ5wsUl0oILl
zV1VqA41t;r>3Iq;Ob}!NwapaZD=EObM+wvrShZsJ?gI=AAGqQf{xvYHTEXz2;U5D7
z!-)?c7(&k&pJDg_h9Es$58ylH1&t4YT2-q;LqQS@Jk09@7$CcVz%|Sn<1=T@7#}`t
zd?qw>=BhKs#>U|NlK=lRtaJR#1M2hhpqw-bHe{55hrs{8yEig02!7y*1)a<B|1an;
z2nGh@-wX^4e?hf5B2FZf5pnXFr@X`X%&O2(@JSIo%v`LHIDr@oi4%VQGsde{rG=g`
zHZumb*#7-@nYqr<0Hl^-G)^EPg^LsvJizcDnoq!L{y8`>ocRE%%i;M1)btXL1EqG5
z3PC{z0|r4*oPc-?4EzW97r1n|fcBY!&r&fyV{Du@)5ga5%+%1Ct3a6sw7>EHf0vmY
zO~wcK7cemRf@Yl|nny{D5C{zw6l8$J2}1)zXy`vs$;Ds{ii8!2d;;PMPDaFu0W*W(
ze}+||4B*0x{{RCQG)}<ggW}|j@rMs*jI&myg&LnZc}DOZ1H-@npcADT4AuvX#tAr>
zs6e=Zh7m#2BCy631Ngp0Muw@-n@j?^oE$+z@d8Xv;9+}klMc;d$OH|f%14tL<&qu(
zykDXBEkbT?U|?WSR{)(&z~}&;Ze(C^Sgrs*s-U4!0k+Q(!xmV4!e|TyqXNW+zySsZ
z0d_5%sv#|22#He>Xs!z^37PBy%`bzwcnC;u#{o1i09xyhM<qmxRP_*DLzZM>V9KR}
z<00jl0IY*U1rtZI5e`hCb8N^A0Z3GU*Mxx+J(<RgYC{cy29`sp0!Tb!YX@X?$ZRB|
zMkEv5B!c-IzN!u)$^{N6@aPFl1%l?lI5(An1GN4Qtb&Pwfdf*SgSmJJ0fqo@RuKRV
z$ly^o%%xxrP&98s=lP)J3X}&@1HSzP#6Vyc1_ois0fV455DbuIs0<7&pnEzPA(6qt
z;2^@v$mqbx06M}0wZ=fI{z1_Wx-|$yBh1Inf@mYYO+c!8?6wY^C@2mD85kNQ7#I>j
zOX5H)M?v=iaDWy<fF!}8iWHqpUQowE@)X$rpx~P10$MD@(5k@7(8K`Rm<ozy0kkd%
zST$5XXpJ6d8!L=EN`pfH9OhsaXsIuV0SZV4h6WDk=sP25y&R~6$N<_T3cfEx9J~yY
z0lI7sq=x}?xHhPl$k52az~I2r0PZ}Z1V3mL7_4-ZAR+`HkpNl;2UQFeU|Q6r0J`vs
zgP{R>Sq4;|e;txyh}a6y)n^Q#v$UHSM8LTP9F1T}7U->jU=Ex>i?GpkUht4XVF++I
zfLD_;bue%=F(|MKFo-ZJfOa*47ioizc47c+=3(GlfXH2-@CV(usL;e9-~h@>0*VZb
zph0-(v4fx?MwH+O?Q&rQFLD8O9hn+H3Q$}z%7KLdBe((pU2g^M#<2!~8b#os2OVbs
zQ3cLFTnr36RS-U?o`E*aSOo+aSi##$L>VAE?4e5VQ%&Igc=*+fJX!FKNlf5PSfKVS
zXcGfhQv*1kaDb`+rH%!lL+m&dK<nf|bvh#h1K%@P*`)w#27nX&3Q!JWYG`0=P-xKY
zV&LLvU{C<xQUhvPVFoKSDj7glKx9E?Ls%o3gter=$sg3P<pjkDG(;3w7$EftBdDYY
z?|uhwWdLOz$x5&m5EHDLg@IuOXg}$q4)8ux2?mB!3QkN+4QCh{6p)H0n0m;5FR)Gs
zfruaoe`JyP5@Uk`1IGhM(GO9^D!?!WoQ*&YV|X|<Ffg!ZLW38ij)MU*hR6u2iWnIj
zn7}ugL9cKI>tgAEj~_!T8BioZYyvA7C2)m+01tx!9|vf^BDnp;z$D1w0%|b{a4;Bv
zOCg9xCI$xn-=KRHk;)xVHN*m1(9P(<#o^!p+SSd#!6G0~0PdTBVgRBSL4uaDg7c{m
z3xgY|<iw^3p?svWl$bz!rv*R@=RrZh#KFSE;Go39z`zW;sZju2T!ADQ7`Q=qk%B`M
z!e?M$RPbO3VPFsxFkoO{<6z)$WO?*~;i*Cc1ET~?2?`AwfB~N?!2r5~P7#{zP?U^v
zz=aAg3j+rS=m-dKcnE+mUt)lqrU@2-5u(wMF%%dd)Tk9;VBlnIn8F|-0E#^a1`Y-V
zmIL70A4wIQ$pTUY-Z~)w$&_%JQ3i4duz>FPQ-JJT1c`&Xft(Brn>ZL8IXYMvIzeI(
zEX2tG8QB8WCtx8^jUk|-!ob17(4e4X0TzRlZHye8-~tRL1f}6R85o!qU|AC?H%g&~
z0C+zrR0t7nXxT#E45@(zRxHE-x}&Z^qXDufQb37=gQ){@XcTy(BUlj%Ap+*HfbXUQ
zGe-$xLVyXhc9ekybJ$h_v}XjRT;gCBn84t`$ilz`y5^U`L1cmeXq=cqgo|MkzClJV
zB~VKn5&6V8Yb2>*;$YH{VG&{iRo<XhkQN8%wrUp8fJul5hX8nWBP2ZdoEVsp`W*}m
z3_=__A{kr)7AwrS3_>&+7_Lk(xZ)x!a6puiK?qzXLNp>sP(-sZa4@+9a4CSI1tBxa
zLJEN#1`ZJh1{M)$24G@f<0=bd0v$2Xq`(9|(*veh+>!xO|AV3rEa)lj)Wsyg#2VsZ
z!lV=;BB8`_LQ6tHfrEid0&72nOGJbVbiO)|ks1qQ2x!P2lnTH`jS@&9P{+e(BPSvz
z#=->djWgxR^Oc41iG>(&c9n=}2!tV&ing*abqO#*4zK|W$H&*rtBVu1{ZnUGR97n!
z@qN~xe|Pp8{FuruHLazg0jYfeR?fg>V#3A1#>v4~R>r3trlE}(Vu8qyl8_L{<KnWD
z;ggeNVFEW#nXLHu%EHt_0$sS9O2RncaRTaEtZZQF0#(xRd=hP+H!n+SSMA@rI;*l;
z8;fsqe*KH7{cuv0TV@g)69epm0f<Em3=CW*BJem-4CRE37Qkgk8Ms0ql))c|lmt93
zU<x`|m6RAb7A*K)Ze#!de`qMr;ldV%1%*xg3<if8Aj?)^N+C38XAp=5UVRT@jAE=I
z0ND|TB!w%$7%}b%0>=r%f(7M}IH_k~C~R2}VBiQb0lbtL$y^2o1!G}h28Pi84E)TD
z#>Sxa!zj|D99Relg6dlE!8TA4NQgo?NR$ZdUNX2?2dk0>=;HbEat3?QeVIIm0~CL;
z;*JvrVbD5@|NmLI85oS<D=y&1jWSR|fS5SJyeFvRzmgII!-58Iocs+9{m&4f_<;*g
z*#(Xh1_lOP(T?J#5zK*)GQ#?BxYlV21~V{#nqA0YCA0&~3*Z26nsZ^80BYQ_FhKS>
zV$?riwW9>#5P-)CA*I})4kIk$Ac_q-Dj?%64d7a-g5dy{20MdGGQ$;6KVAl+2#GWR
zAJECb0HcwlMwy5Z2n|tE`p>|{#lT<;Iy@63v5Jeq7<4;X=m!RAY0&O>kRSsC_YNKg
z^h$u=n1P|bzMi3jfq{AEG$s`RMTRs7h6V9Lm}@2>hM<vWAm>b=iH>w`Xo!-+e}?#Y
zSU9kkA7J2OFk&z^U_gr#u~r_8_ANY4$`7BPHZ5I3K#?;+G_;{U9yE#qGZGsOD&rU!
z7)Ij+Tj2cvUtap3AwCv#xC%rbbSR|J|IpACe;F90LHp_;!VH{U=yAgT|3B!$<^TT~
z8UCO7Gi};B$KsX=oDK-1pgJ)r$o;0Hae^5#5Rvlo{|sClNM#oT50CNx(9l)?&zwOn
zy9Anf7z`j<pk)33P)MAB_y?v<<7g^wnaLm;%J5$awBHmYiUW_v2`FH=yg<_-B3qfj
zJJSWGayf%US+;UHf#zx1K5{|!AWnqPEL%acAPn7`IF*4x6udDGBnHEf3ZF#*Mnm^E
zP6YEAu?+XaG>+2X5KsrN_GLc92p%M7bb#^I6+m|_GM|9ZOe-NY^BM3GF3=<hSRORN
z$X)_A2#HVw2R>7e8dxz?&vI}r!n6{)?-4xTf~0(eFhOY_<W(3AViSYG`%XY12wk#`
zt_NBLLxe%<7#JAXuS2+_B=tf76mJgT?KljetC&bOnB5&y3&>1V(b2^ysNq2*4-}9N
z3?LGx25en$Zg5{6RSVG_PE>6}iia&MaCrcs7Qw=$79<Wjf(}v)VPDJ(QiBgOC*zYH
zl_M(zIKbl|4h*1%76^lCXa`XB42db&bS<22059W)SjEJ^AbSJCL?%H-KvoZd4jhKE
zkQEFAHq?(q`2?gE5`G+@?H~m8fYgIZEJg<CvR*|&21n41e4xYW7{S}EKxUv;2%r)U
z5@iew=RwkF7<_La1A_o70}FV!1bC4v0|V&(nUPSgp@jx1T+lWX(B^ap1|}!a{x&B^
z2O$Or2ZscPh6yZ<P7DkQ4h&8VUIGjZTmqn#L7?T^ET9Duu;>7_!vyz18wfB#(DH2t
zNKAlMbT@$`oq>U&4WbS;a!GOS;8aUZ|3J-Z0sEAL1$5ye2NU>GAO;Q&aCyeU!NDQG
zz`-B_x#yh`yyX<E9GPGOomY=60Ahm;UjWK}3=WJ8;A{(vGLZBr#v1~l&;uoRSc8BS
zROdr<fC?xFMgefhLPQ`dN<a-QP_}}I$*zacXe7v72KXIM;8+2vfHOdxQH&e{pj{%6
z;AsF~1OSr*FB}3#PYZbQ8%GmlD5L?j=@6m;rW8htV#Wz{v;}l)7I?O+iGd5WPHZ%%
zz`~3$4XSlPH^hNfPlMuvg#}WCF@X0_385Ik0<IK5IS3}q1Y4wy8RVe60>U7rpu7m8
zhaHA_kZK+SCw<T@i%pO)Yf@rn0PXE%U}yk~fNvQEwPl$g#TLS1c(lltBLpEV@O?s{
z_5i4M2Vqdd8jL~KK`er(93@dh02;rb!wDKdTiF{J7{Jk>z{Ieip+Sj<fx`fFi86}<
zXtybc3KtW@0!TP>C@`pivJ@z)KpWvP;)H>N1*C^Ti9vx&iD3bULK6e%#1=4a0GWa6
zkWn5a1R(VZD9O5lgSUl&g^h)Yp^=5brKyDpyg$dK!HuDX=>nrmLkNRN1L)d!P*KLj
z-~if}4a!;|lR#@0zk{;?hzG%-%mC`_Gz2g>Gzc^Wfm+;*pj}@O=~0qk2q-WxnSje7
zP*6gSdjMZB3a%X*7+AnZJA>}L0?9XkBM{Y0{;8;Z$SM|4bTcr3mgR#DX8;|50~_f?
zS3k-}4FNaszzVpi0c~*u4c0R;aDXROK!FKL<DmTwAR2TN9kjp$n*oX>1_lOsO)w9Y
z05uyxYl%Sz8GyFWgN`H$04>NLExJ$x3LB5ffrEj`L4XCcnuiUvHr@epDHC{aFX+b6
z07y%VDS(BA!9fANre|Paknuq`7u?~5T(%{^z~aEr0E!u=25>(I8j<K)M)}Ag(BQzp
zq6in@U}8!DZKGym1oh5A4P#Kd33OQ_2P3#7f)!qnvI(S5XeEfmhCy0E4FMrWhJXeU
z2JlD$Hg%(7s3E`sElijMR6xUx4Q(Ll1`dV}2Bt|16c{=>IGCD1bPof=qXi5Opxz*;
zOam<khF}K4lOQc9m;-v#9Qbx}27xXg7bYdp=@K2_+!u(Vc9eq|0<0bl46Kcf;GRlb
zBLhQ#1A_v~L<RxI1{Mbf@K$Ot4O-F70wO@Q3W_P>1t?r72b9G?OeO}<ZKX^i3{09J
zApvGks|_SEigAVjv&tF<7KRlK;Bozq1`gJM4kjLshJ^xw3?U8;0s;b8I9xz9%TXo|
z7Raek;C#XeZveAloG%RO?SRTQ&<;oejtLB|OyHG}j7yjpR2<;<Zs2s%h?np<=+Gs2
zg+YUP0*eDD6GLlLs{jk5fCU4$0#lQM0s{x91``vQR^U)lWC#FN5#UxbBH%>9Yl0Dc
zP&11Qv~P=1p$pVabYNJ;kuxdDL*R!)2U7rILIy?0C<iG7yq~`5vc0}?1^0v&2hRS+
z-uA0M*mdXZTsgb2>Dq%86K2f)!*vWq_df4>wRFYB4h9Ye&>|xS#)byarN5wlJjS{e
z5iTwfHU<V}l`bw70gej+@45266=hcbebU8L;;axbTAv_=KQ^ZS(>GnBS5~a#p0LD$
zv#X)M{pt)hUWpwGrGGMAOIRQvDfy?%En%U6r0i3!EY$^poGgscIDwa49LVPkfvsTS
z;u2wEU|<Bri4eyH#`k`C+lsOUeuCn}Q2~@yz{*Am+#&G)|9^hyZX8%K^q)C2RH?(5
zA(SCB6tvkel)=RXLSq^ufZUJ7lx6^3kS3RAe0UZ3o*JwQMg>tqz&I4N;+mhIAvBbq
zfk7}7vR8_vIAKRI5DRD3Dw{KA#?T9$u*i=Jp@x8QDE~W9J_&`!2|vGaC<DVUW(EdG
zJ^`r)<rfzh1_lrf;-g{#BI2Zdrj7AvK0ysPyuAPa|MBxdcH;^%@G}^PG6?=BB~FC#
znhq|z4xcfeJep4kg%eEPQ{f;31BVccf`Xy}h|W|nlw^<)@bgrd$mGETq76BbX$FOb
z3=G_$Ax1@TKZSuo2ICF^#}3GyevAx4pnkuTBLhbPsH^D+I)4g0PYJVql*SeU#RhFc
z3=Aw&5<40V7#KuE3Om{)85lU-N*E5v_^>djl`u>|p%G>@KqrNHyb)p$mH=pk8NvkZ
z(`E`}U^I|mU=R@ik3oZG<{^@!B=!(62H&3yx)Jt2=xXIN#{d6+`2Roj%>ShyZ1~Uo
zpZR|#zcGkrFg6YiJ;N|_RVe8GKG5A}usf^;=AxS{kYE7r!|dM8<Faa1XxPfs|Mjee
ztpB5;W-9UXkCtKR;f2mOW&qt92Z<BVo;70zq&P8##tDc9#fkBMaGZd(fT9L$m>@X(
z!AvOeAi)5<aclQ(j}B1HzB2XC|H1%JoES6k@vnkZT~M{76lMs3t`hwZzV#iJPZ+@Y
zgaMpS7-lle<UhlIhG8bd8RJ!ud;*F?<b1;Q9>dfCSe)=MfX-W4nfl+JwUF_DRFpBp
zXg<LREeru;@Xk-<e1a4w#?Ux1W|;XO6er+(@*lJf8$^I226U>>S#(1`AmZc<sDxXY
z`tLua>^gjapC59^DY~9f{%8n{hQLS*0cba2z`QN-f=*VG>QP#&r=qt>bt)mNxuglH
zpn^PP3mJ$+o4uie*@M6cP#>I{&X9ghP1Eq0HM-;lPvD?R@q*?QQH7|_gKRMZk<?C7
z)ORb5Oax8w5^FRE#@Gt6I)|@1>SSp`$q%=@wEiFsTT%mtRP{9WHt7{7B93Hr(Iy%Z
zQZ#lo{fq~#!l9pSl-tDol5#T!y9uO}<<#;EB}rQZ%h?{(a@U|XhxEdQGVhRJpOh<c
zR*}*#8i;g>&ldyZ9@IzxEh9yBBdR>IoZJ#*9s_7X5lvv|bJ1$df$<RTD1f>Lp@8u@
zaWx<*`2=doh^8p1PuSOt(4a#kOPT}#CC2lEPb;LZvj(R8rmi1GO&bk?(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Ezmnpuh>ge`83xf7H>VAut*OqaiRF
z0;3@?8Um2XTZ|i0c)#HZ8XS7?=%9}j#1|kE;zIh^N*h}gVCSDAW{WvP2wBBUNWqAc
zM}!`QUWcsRY)}Bxh}mK;$lbo!4F{{mE=5&Q(CThba)HoPHJTX1FxUAIql-#vutcy7
zAC=4=7RC&Yl?0;I!y+&#@&u_dLF?i}i17Xs(5c@P`I(?*hz%f;IPZc~f=(cV&^V1J
z9M+H~0%3JHt-vBd+<G}I8sS2m6X8s1F(4kLmT9BrjD`U9Lx8xvuK+&#7Hm5U4c6R&
zkL(7UK7a(GX*qz7AkS(Jd}pe`8;OLXnvgtsZlg#S32{Q*`h-kZBe|D@DtBh0#0d(A
zOcxH2Hh3Z&Ap0TK3h#r^SV?l7I9khN4bD+P$PsY(!+{oA8lx>ttR--D(na`P4UvT_
zhj0fsiBvL!9g5Be3l1Uz!+oL;sO~xpJE(30bqpM|?qt16(1V2BPDq}h1;a!EmeE8M
zpAnLWE9Oc7T>}K?Qj<Zn8>ne1l3BRyM;AxuBN;&zCduXtAjZ2$)=L!^j~X%>0;3@?
z5FtRQej_ALuA6b0i%T444~D$-0+<x^YA!f;$TMJmh0*99f{DXuba`s?iMEIF0l~N+
zWEQ$Sj1Qx!?KeW^!R$q+VfxU;VSJc8xil_wVB#<u-FysjDF=9*V8}r=;}VBT!}X){
z3F(8Wr#20<7oCRLgDwu^!{o`OahU@XhtcTfV~7vKI6?RGD1S5rMnhmU1V+JV2#_5D
z=p*K&^2xRshemY!(Ul>~Gei3)$YSW~L3~o>38@E}Nn=cCW{r?Msm>#09wB+SeR2oj
zOrrI`b>L@E+dTZXA!HFps6pmQ5qyXm1RuLRL=`zC#5{DJ==R~3hssDfK(1y6a|xLV
zR)j$y?1V@m_}I;ZsG=!}X!1j5;}Cp^wFo|Td59`<NQimpI??UJEf1361<z@brU#@C
z6(jUR#1VY#ZiJ{BB}YSGGz3ONU^E0qLtqexfC~dFsLAKRz`*Fh;KINF<-=VJ<>Qox
zD<q8pHxFGKx_vn05yHF=U~VR4CPEJy3u-4^6w1eG9$X=f7)lIG4GiGBJ((6TC^0Y~
z_z(*beC+ZNRpgKm^U!so+lN~oDkJ59<Yq!<LiM0g2s<GX2tIc6AgV^m(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVDb5HMh1U~J*p{zrj<fdPaK7#bKE7#O&a*vugID29a3U<e0j9I=AjV!)ul
z$h?n%!GPfaBLf2~qXEMLD9yn5cx~NMkQxw%>fr^kNAci^%h9+Pjf>GVFq#I2N*VwF
DqwS>)

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule.tcl b/game.runs/impl_1/TopModule.tcl
new file mode 100644
index 0000000..ac57c71
--- /dev/null
+++ b/game.runs/impl_1/TopModule.tcl
@@ -0,0 +1,336 @@
+# 
+# Report generation script generated by Vivado
+# 
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+namespace eval ::optrace {
+  variable script "/home/prasic/game/game.runs/impl_1/TopModule.tcl"
+  variable category "vivado_impl"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+proc start_step { step } {
+  set stopFile ".stop.rst"
+  if {[file isfile .stop.rst]} {
+    puts ""
+    puts "*** Halting run - EA reset detected ***"
+    puts ""
+    puts ""
+    return -code error
+  }
+  set beginFile ".$step.begin.rst"
+  set platform "$::tcl_platform(platform)"
+  set user "$::tcl_platform(user)"
+  set pid [pid]
+  set host ""
+  if { [string equal $platform unix] } {
+    if { [info exist ::env(HOSTNAME)] } {
+      set host $::env(HOSTNAME)
+    } elseif { [info exist ::env(HOST)] } {
+      set host $::env(HOST)
+    }
+  } else {
+    if { [info exist ::env(COMPUTERNAME)] } {
+      set host $::env(COMPUTERNAME)
+    }
+  }
+  set ch [open $beginFile w]
+  puts $ch "<?xml version=\"1.0\"?>"
+  puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">"
+  puts $ch "    <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">"
+  puts $ch "    </Process>"
+  puts $ch "</ProcessHandle>"
+  close $ch
+}
+
+proc end_step { step } {
+  set endFile ".$step.end.rst"
+  set ch [open $endFile w]
+  close $ch
+}
+
+proc step_failed { step } {
+  set endFile ".$step.error.rst"
+  set ch [open $endFile w]
+  close $ch
+OPTRACE "impl_1" END { }
+}
+
+set_msg_config -id {Common 17-41} -limit 10000000
+set_msg_config -id {Synth 8-256} -limit 10000
+set_msg_config -id {Synth 8-638} -limit 10000
+
+OPTRACE "impl_1" START { ROLLUP_1 }
+OPTRACE "Phase: Init Design" START { ROLLUP_AUTO }
+start_step init_design
+set ACTIVE_STEP init_design
+set rc [catch {
+  create_msg_db init_design.pb
+  set_param xicom.use_bs_reader 1
+  set_param chipscope.maxJobs 2
+  set_param checkpoint.writeSynthRtdsInDcp 1
+  set_param synth.incrementalSynthesisCache ./.Xil/Vivado-73025-LikeUE06/incrSyn
+OPTRACE "create in-memory project" START { }
+  create_project -in_memory -part xc7a100tcsg324-1
+  set_property design_mode GateLvl [current_fileset]
+  set_param project.singleFileAddWarning.threshold 0
+OPTRACE "create in-memory project" END { }
+OPTRACE "set parameters" START { }
+  set_property webtalk.parent_dir /home/prasic/game/game.cache/wt [current_project]
+  set_property parent.project_path /home/prasic/game/game.xpr [current_project]
+  set_property ip_output_repo /home/prasic/game/game.cache/ip [current_project]
+  set_property ip_cache_permissions {read write} [current_project]
+  set_property XPM_LIBRARIES XPM_CDC [current_project]
+OPTRACE "set parameters" END { }
+OPTRACE "add files" START { }
+  add_files -quiet /home/prasic/game/game.runs/synth_1/TopModule.dcp
+  read_ip -quiet /home/prasic/game/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+OPTRACE "read constraints: implementation" START { }
+  read_xdc /home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc
+OPTRACE "read constraints: implementation" END { }
+OPTRACE "add files" END { }
+OPTRACE "link_design" START { }
+  link_design -top TopModule -part xc7a100tcsg324-1 
+OPTRACE "link_design" END { }
+OPTRACE "gray box cells" START { }
+OPTRACE "gray box cells" END { }
+OPTRACE "init_design_reports" START { REPORT }
+OPTRACE "init_design_reports" END { }
+OPTRACE "init_design_write_hwdef" START { }
+OPTRACE "init_design_write_hwdef" END { }
+  close_msg_db -file init_design.pb
+} RESULT]
+if {$rc} {
+  step_failed init_design
+  return -code error $RESULT
+} else {
+  end_step init_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Init Design" END { }
+OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO }
+start_step opt_design
+set ACTIVE_STEP opt_design
+set rc [catch {
+  create_msg_db opt_design.pb
+OPTRACE "read constraints: opt_design" START { }
+OPTRACE "read constraints: opt_design" END { }
+OPTRACE "opt_design" START { }
+  opt_design 
+OPTRACE "opt_design" END { }
+OPTRACE "read constraints: opt_design_post" START { }
+OPTRACE "read constraints: opt_design_post" END { }
+OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force TopModule_opt.dcp
+OPTRACE "Opt Design: write_checkpoint" END { }
+OPTRACE "opt_design reports" START { REPORT }
+  create_report "impl_1_opt_report_drc_0" "report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx"
+OPTRACE "opt_design reports" END { }
+  close_msg_db -file opt_design.pb
+} RESULT]
+if {$rc} {
+  step_failed opt_design
+  return -code error $RESULT
+} else {
+  end_step opt_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Opt Design" END { }
+OPTRACE "Phase: Place Design" START { ROLLUP_AUTO }
+start_step place_design
+set ACTIVE_STEP place_design
+set rc [catch {
+  create_msg_db place_design.pb
+OPTRACE "read constraints: place_design" START { }
+OPTRACE "read constraints: place_design" END { }
+  if { [llength [get_debug_cores -quiet] ] > 0 }  { 
+OPTRACE "implement_debug_core" START { }
+    implement_debug_core 
+OPTRACE "implement_debug_core" END { }
+  } 
+OPTRACE "place_design" START { }
+  place_design 
+OPTRACE "place_design" END { }
+OPTRACE "read constraints: place_design_post" START { }
+OPTRACE "read constraints: place_design_post" END { }
+OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force TopModule_placed.dcp
+OPTRACE "Place Design: write_checkpoint" END { }
+OPTRACE "place_design reports" START { REPORT }
+  create_report "impl_1_place_report_io_0" "report_io -file TopModule_io_placed.rpt"
+  create_report "impl_1_place_report_utilization_0" "report_utilization -file TopModule_utilization_placed.rpt -pb TopModule_utilization_placed.pb"
+  create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file TopModule_control_sets_placed.rpt"
+OPTRACE "place_design reports" END { }
+  close_msg_db -file place_design.pb
+} RESULT]
+if {$rc} {
+  step_failed place_design
+  return -code error $RESULT
+} else {
+  end_step place_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Place Design" END { }
+OPTRACE "Phase: Physical Opt Design" START { ROLLUP_AUTO }
+start_step phys_opt_design
+set ACTIVE_STEP phys_opt_design
+set rc [catch {
+  create_msg_db phys_opt_design.pb
+OPTRACE "read constraints: phys_opt_design" START { }
+OPTRACE "read constraints: phys_opt_design" END { }
+OPTRACE "phys_opt_design" START { }
+  phys_opt_design 
+OPTRACE "phys_opt_design" END { }
+OPTRACE "read constraints: phys_opt_design_post" START { }
+OPTRACE "read constraints: phys_opt_design_post" END { }
+OPTRACE "Post-Place Phys Opt Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force TopModule_physopt.dcp
+OPTRACE "Post-Place Phys Opt Design: write_checkpoint" END { }
+OPTRACE "phys_opt_design report" START { REPORT }
+OPTRACE "phys_opt_design report" END { }
+  close_msg_db -file phys_opt_design.pb
+} RESULT]
+if {$rc} {
+  step_failed phys_opt_design
+  return -code error $RESULT
+} else {
+  end_step phys_opt_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Physical Opt Design" END { }
+OPTRACE "Phase: Route Design" START { ROLLUP_AUTO }
+start_step route_design
+set ACTIVE_STEP route_design
+set rc [catch {
+  create_msg_db route_design.pb
+OPTRACE "read constraints: route_design" START { }
+OPTRACE "read constraints: route_design" END { }
+OPTRACE "route_design" START { }
+  route_design 
+OPTRACE "route_design" END { }
+OPTRACE "read constraints: route_design_post" START { }
+OPTRACE "read constraints: route_design_post" END { }
+OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force TopModule_routed.dcp
+OPTRACE "Route Design: write_checkpoint" END { }
+OPTRACE "route_design reports" START { REPORT }
+  create_report "impl_1_route_report_drc_0" "report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx"
+  create_report "impl_1_route_report_methodology_0" "report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx"
+  create_report "impl_1_route_report_power_0" "report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx"
+  create_report "impl_1_route_report_route_status_0" "report_route_status -file TopModule_route_status.rpt -pb TopModule_route_status.pb"
+  create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -report_unconstrained -file TopModule_timing_summary_routed.rpt -pb TopModule_timing_summary_routed.pb -rpx TopModule_timing_summary_routed.rpx -warn_on_violation "
+  create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file TopModule_incremental_reuse_routed.rpt"
+  create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file TopModule_clock_utilization_routed.rpt"
+  create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file TopModule_bus_skew_routed.rpt -pb TopModule_bus_skew_routed.pb -rpx TopModule_bus_skew_routed.rpx"
+OPTRACE "route_design reports" END { }
+OPTRACE "route_design misc" START { }
+  close_msg_db -file route_design.pb
+} RESULT]
+if {$rc} {
+OPTRACE "route_design write_checkpoint" START { CHECKPOINT }
+OPTRACE "route_design write_checkpoint" END { }
+  write_checkpoint -force TopModule_routed_error.dcp
+  step_failed route_design
+  return -code error $RESULT
+} else {
+  end_step route_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "route_design misc" END { }
+OPTRACE "Phase: Route Design" END { }
+OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO }
+OPTRACE "write_bitstream setup" START { }
+start_step write_bitstream
+set ACTIVE_STEP write_bitstream
+set rc [catch {
+  create_msg_db write_bitstream.pb
+OPTRACE "read constraints: write_bitstream" START { }
+OPTRACE "read constraints: write_bitstream" END { }
+  set_property XPM_LIBRARIES XPM_CDC [current_project]
+  catch { write_mem_info -force -no_partial_mmi TopModule.mmi }
+OPTRACE "write_bitstream setup" END { }
+OPTRACE "write_bitstream" START { }
+  write_bitstream -force TopModule.bit 
+OPTRACE "write_bitstream" END { }
+OPTRACE "write_bitstream misc" START { }
+OPTRACE "read constraints: write_bitstream_post" START { }
+OPTRACE "read constraints: write_bitstream_post" END { }
+  catch {write_debug_probes -quiet -force TopModule}
+  catch {file copy -force TopModule.ltx debug_nets.ltx}
+  close_msg_db -file write_bitstream.pb
+} RESULT]
+if {$rc} {
+  step_failed write_bitstream
+  return -code error $RESULT
+} else {
+  end_step write_bitstream
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "write_bitstream misc" END { }
+OPTRACE "Phase: Write Bitstream" END { }
+OPTRACE "impl_1" END { }
diff --git a/game.runs/impl_1/TopModule.vdi b/game.runs/impl_1/TopModule.vdi
new file mode 100644
index 0000000..8bd7da2
--- /dev/null
+++ b/game.runs/impl_1/TopModule.vdi
@@ -0,0 +1,641 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Tue Feb 28 17:01:47 2023
+# Process ID: 238972
+# Current directory: /home/prasic/game/game.runs/impl_1
+# Command line: vivado -log TopModule.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace
+# Log file: /home/prasic/game/game.runs/impl_1/TopModule.vdi
+# Journal file: /home/prasic/game/game.runs/impl_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 3077.891 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source TopModule.tcl -notrace
+Command: link_design -top TopModule -part xc7a100tcsg324-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Project 1-454] Reading design checkpoint '/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'pixelClk'
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1606.039 ; gain = 0.000 ; free physical = 4908 ; free virtual = 31886
+INFO: [Netlist 29-17] Analyzing 57 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2022.2
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'pixelClk/inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'pixelClk/inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'pixelClk/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+INFO: [Timing 38-2] Deriving generated clocks [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2300.449 ; gain = 548.789 ; free physical = 4424 ; free virtual = 31402
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'pixelClk/inst'
+Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2300.449 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31401
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 2300.449 ; gain = 1034.520 ; free physical = 4423 ; free virtual = 31401
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.76 . Memory (MB): peak = 2364.480 ; gain = 64.031 ; free physical = 4413 ; free virtual = 31390
+
+Starting Cache Timing Information Task
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Timing 38-2] Deriving generated clocks
+Ending Cache Timing Information Task | Checksum: 14d4bc14b
+
+Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2364.480 ; gain = 0.000 ; free physical = 4413 ; free virtual = 31390
+
+Starting Logic Optimization Task
+
+Phase 1 Retarget
+INFO: [Opt 31-1287] Pulled Inverter vgaInterface/vgaRed_OBUF[2]_inst_i_1 into driver instance vgaInterface/vgaRed_OBUF[3]_inst_i_3, which resulted in an inversion of 7 pins
+INFO: [Opt 31-138] Pushed 1 inverter(s) to 1 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 1 Retarget | Checksum: 18dadb2af
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 3 cells
+INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
+
+Phase 2 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 2 Constant propagation | Checksum: 18dadb2af
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 3 Sweep
+Phase 3 Sweep | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Sweep created 3 cells and removed 0 cells
+
+Phase 4 BUFG optimization
+Phase 4 BUFG optimization | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
+
+Phase 5 Shift Register Optimization
+INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
+Phase 5 Shift Register Optimization | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Phase 6 Post Processing Netlist
+Phase 6 Post Processing Netlist | Checksum: 173c369ee
+
+Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
+Opt_design Change Summary
+=========================
+
+
+-------------------------------------------------------------------------------------------------------------------------
+|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
+-------------------------------------------------------------------------------------------------------------------------
+|  Retarget                     |               0  |               3  |                                              1  |
+|  Constant propagation         |               0  |               0  |                                              0  |
+|  Sweep                        |               3  |               0  |                                              0  |
+|  BUFG optimization            |               0  |               0  |                                              0  |
+|  Shift Register Optimization  |               0  |               0  |                                              0  |
+|  Post Processing Netlist      |               0  |               0  |                                              0  |
+-------------------------------------------------------------------------------------------------------------------------
+
+
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+Ending Logic Optimization Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Final Cleanup Task
+Ending Final Cleanup Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Netlist Obfuscation Task
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+Ending Netlist Obfuscation Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Common 17-83] Releasing license: Implementation
+30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2648.277 ; gain = 24.012 ; free physical = 4161 ; free virtual = 31138
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_opt.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx
+Command: report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/prasic/game/game.runs/impl_1/TopModule_drc_opted.rpt.
+report_drc completed successfully
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+Starting Placer Task
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 15c9b26e4
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 105221de9
+
+Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.19 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4127 ; free virtual = 31105
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.49 ; elapsed = 00:00:00.32 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.5 ; elapsed = 00:00:00.32 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+Phase 1 Placer Initialization | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.5 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+
+Phase 2 Global Placement
+
+Phase 2.1 Floorplanning
+Phase 2.1 Floorplanning | Checksum: 1aac329f3
+
+Time (s): cpu = 00:00:00.65 ; elapsed = 00:00:00.38 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4138 ; free virtual = 31116
+
+Phase 2.2 Update Timing before SLR Path Opt
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 160e19f20
+
+Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4139 ; free virtual = 31116
+
+Phase 2.3 Post-Processing in Floorplanning
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 160e19f20
+
+Time (s): cpu = 00:00:00.71 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4139 ; free virtual = 31116
+
+Phase 2.4 Global Placement Core
+
+Phase 2.4.1 UpdateTiming Before Physical Synthesis
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 17a45fbe4
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4112 ; free virtual = 31089
+
+Phase 2.4.2 Physical Synthesis In Placer
+INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 3 LUT instances to create LUTNM shape
+INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
+INFO: [Physopt 32-1138] End 1 Pass. Optimized 1 net or LUT. Breaked 0 LUT, combined 1 existing LUT and moved 0 existing LUT
+INFO: [Physopt 32-65] No nets found for high-fanout optimization.
+INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance.
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+INFO: [Physopt 32-670] No setup violation found.  DSP Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register to Pipeline Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
+INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Summary of Physical Synthesis Optimizations
+============================================
+
+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  Optimization                                     |  Added Cells  |  Removed Cells  |  Optimized Cells/Nets  |  Dont Touch  |  Iterations  |  Elapsed   |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  LUT Combining                                    |            0  |              1  |                     1  |           0  |           1  |  00:00:00  |
+|  Retime                                           |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Very High Fanout                                 |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  DSP Register                                     |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register to Pipeline                       |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register                                   |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  BRAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  URAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Dynamic/Static Region Interface Net Replication  |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Total                                            |            0  |              1  |                     1  |           0  |           4  |  00:00:00  |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1ec4d2c29
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4111 ; free virtual = 31088
+Phase 2.4 Global Placement Core | Checksum: 1697c68ea
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+Phase 2 Global Placement | Checksum: 1697c68ea
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 179bc14ed
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2070ef4b2
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1b6d58336
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 2647924a7
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 1c3fcab4d
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 1edbbe9db
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 17c18496c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 3 Detail Placement | Checksum: 17c18496c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 109529687
+
+Phase 4.1.1.1 BUFG Insertion
+
+Starting Physical Synthesis Task
+
+Phase 1 Physical Synthesis Initialization
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=26.291 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 14543fbd3
+
+Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to Illegal Netlist: 0.
+Ending Physical Synthesis Task | Checksum: 15d4ad102
+
+Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+Phase 4.1.1.1 BUFG Insertion | Checksum: 109529687
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Phase 4.1.1.2 Post Placement Timing Optimization
+INFO: [Place 30-746] Post Placement Timing Summary WNS=26.291. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4107 ; free virtual = 31085
+Phase 4.1 Post Commit Optimization | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4107 ; free virtual = 31085
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4.3 Placer Reporting
+
+Phase 4.3.1 Print Estimated Congestion
+INFO: [Place 30-612] Post-Placement Estimated Congestion 
+ ____________________________________________________
+|           | Global Congestion | Short Congestion  |
+| Direction | Region Size       | Region Size       |
+|___________|___________________|___________________|
+|      North|                1x1|                1x1|
+|___________|___________________|___________________|
+|      South|                1x1|                1x1|
+|___________|___________________|___________________|
+|       East|                1x1|                1x1|
+|___________|___________________|___________________|
+|       West|                1x1|                1x1|
+|___________|___________________|___________________|
+
+Phase 4.3.1 Print Estimated Congestion | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 4.3 Placer Reporting | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4.4 Final Placement Cleanup
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: b64880c0
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Ending Placer Task | Checksum: 84b47138
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+INFO: [Common 17-83] Releasing license: Implementation
+65 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4134 ; free virtual = 31112
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_placed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_io -file TopModule_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.17 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4125 ; free virtual = 31103
+INFO: [runtcl-4] Executing : report_utilization -file TopModule_utilization_placed.rpt -pb TopModule_utilization_placed.pb
+INFO: [runtcl-4] Executing : report_control_sets -verbose -file TopModule_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4133 ; free virtual = 31111
+Command: phys_opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+
+Starting Initial Update Timing Task
+
+Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4104 ; free virtual = 31081
+INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. Skipping all physical synthesis optimizations.
+INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
+INFO: [Common 17-83] Releasing license: Implementation
+74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+phys_opt_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4099 ; free virtual = 31078
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_physopt.dcp' has been generated.
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs
+
+Phase 1 Build RT Design
+Checksum: PlaceDB: 4a9e9a15 ConstDB: 0 ShapeSum: 3a15d723 RouteDB: 0
+Post Restoration Checksum: NetGraph: fcfe40d0 NumContArr: ada2d337 Constraints: 0 Timing: 0
+Phase 1 Build RT Design | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2777.801 ; gain = 54.957 ; free physical = 3955 ; free virtual = 30934
+
+Phase 2 Router Initialization
+
+Phase 2.1 Fix Topology Constraints
+Phase 2.1 Fix Topology Constraints | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2810.801 ; gain = 87.957 ; free physical = 3921 ; free virtual = 30899
+
+Phase 2.2 Pre Route Cleanup
+Phase 2.2 Pre Route Cleanup | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2810.801 ; gain = 87.957 ; free physical = 3921 ; free virtual = 30899
+ Number of Nodes with overlaps = 0
+
+Phase 2.3 Update Timing
+Phase 2.3 Update Timing | Checksum: 1be465fec
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2826.098 ; gain = 103.254 ; free physical = 3910 ; free virtual = 30889
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=26.479 | TNS=0.000  | WHS=-0.254 | THS=-14.153|
+
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0 %
+  Global Horizontal Routing Utilization  = 0 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 381
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 381
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 2 Router Initialization | Checksum: 2470b9ba4
+
+Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3907 ; free virtual = 30885
+
+Phase 3 Initial Routing
+
+Phase 3.1 Global Routing
+Phase 3.1 Global Routing | Checksum: 2470b9ba4
+
+Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3907 ; free virtual = 30885
+Phase 3 Initial Routing | Checksum: 19684a300
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3906 ; free virtual = 30884
+
+Phase 4 Rip-up And Reroute
+
+Phase 4.1 Global Iteration 0
+ Number of Nodes with overlaps = 21
+ Number of Nodes with overlaps = 0
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=24.829 | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 4.1 Global Iteration 0 | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 4 Rip-up And Reroute | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 5 Delay and Skew Optimization
+
+Phase 5.1 Delay CleanUp
+Phase 5.1 Delay CleanUp | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 5.2 Clock Skew Optimization
+Phase 5.2 Clock Skew Optimization | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 5 Delay and Skew Optimization | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 6 Post Hold Fix
+
+Phase 6.1 Hold Fix Iter
+
+Phase 6.1.1 Update Timing
+Phase 6.1.1 Update Timing | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=24.908 | TNS=0.000  | WHS=0.057  | THS=0.000  |
+
+Phase 6.1 Hold Fix Iter | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 6 Post Hold Fix | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 7 Route finalize
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.0907864 %
+  Global Horizontal Routing Utilization  = 0.0622336 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 0
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 0
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 7 Route finalize | Checksum: ae742c66
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 8 Verifying routed nets
+
+ Verification completed successfully
+Phase 8 Verifying routed nets | Checksum: ae742c66
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3904 ; free virtual = 30883
+
+Phase 9 Depositing Routes
+Phase 9 Depositing Routes | Checksum: e93cd8e7
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3904 ; free virtual = 30883
+
+Phase 10 Post Router Timing
+INFO: [Route 35-57] Estimated Timing Summary | WNS=24.908 | TNS=0.000  | WHS=0.057  | THS=0.000  |
+
+INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
+Phase 10 Post Router Timing | Checksum: e93cd8e7
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3905 ; free virtual = 30884
+INFO: [Route 35-16] Router Completed Successfully
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3944 ; free virtual = 30922
+
+Routing Is Done.
+INFO: [Common 17-83] Releasing license: Implementation
+88 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+route_design completed successfully
+route_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 126.824 ; free physical = 3944 ; free virtual = 30922
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2847.137 ; gain = 0.000 ; free physical = 3939 ; free virtual = 30919
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_routed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx
+Command: report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/prasic/game/game.runs/impl_1/TopModule_drc_routed.rpt.
+report_drc completed successfully
+INFO: [runtcl-4] Executing : report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx
+Command: report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [DRC 23-133] Running Methodology with 8 threads
+INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/prasic/game/game.runs/impl_1/TopModule_methodology_drc_routed.rpt.
+report_methodology completed successfully
+INFO: [runtcl-4] Executing : report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx
+Command: report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Running Vector-less Activity Propagation...
+
+Finished Running Vector-less Activity Propagation
+100 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+report_power completed successfully
+INFO: [runtcl-4] Executing : report_route_status -file TopModule_route_status.rpt -pb TopModule_route_status.pb
+INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file TopModule_timing_summary_routed.rpt -pb TopModule_timing_summary_routed.pb -rpx TopModule_timing_summary_routed.rpx -warn_on_violation 
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+INFO: [runtcl-4] Executing : report_incremental_reuse -file TopModule_incremental_reuse_routed.rpt
+INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [runtcl-4] Executing : report_clock_utilization -file TopModule_clock_utilization_routed.rpt
+INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file TopModule_bus_skew_routed.rpt -pb TopModule_bus_skew_routed.pb -rpx TopModule_bus_skew_routed.rpx
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+Command: write_bitstream -force TopModule.bit
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command write_bitstream
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings
+INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
+INFO: [Designutils 20-2272] Running write_bitstream with 8 threads.
+Loading data files...
+Loading site data...
+Loading route data...
+Processing options...
+Creating bitmap...
+Creating bitstream...
+Writing bitstream ./TopModule.bit...
+INFO: [Vivado 12-1842] Bitgen Completed Successfully.
+INFO: [Common 17-83] Releasing license: Implementation
+11 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
+write_bitstream completed successfully
+write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 3175.145 ; gain = 233.242 ; free physical = 3916 ; free virtual = 30901
+INFO: [Common 17-206] Exiting Vivado at Tue Feb 28 17:03:00 2023...
diff --git a/game.runs/impl_1/TopModule_bus_skew_routed.pb b/game.runs/impl_1/TopModule_bus_skew_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e
GIT binary patch
literal 30
lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_bus_skew_routed.rpt b/game.runs/impl_1/TopModule_bus_skew_routed.rpt
new file mode 100644
index 0000000..784a0d9
--- /dev/null
+++ b/game.runs/impl_1/TopModule_bus_skew_routed.rpt
@@ -0,0 +1,15 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:42 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_bus_skew -warn_on_violation -file TopModule_bus_skew_routed.rpt -pb TopModule_bus_skew_routed.pb -rpx TopModule_bus_skew_routed.rpx
+| Design       : TopModule
+| Device       : 7a100t-csg324
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Bus Skew Report
+
+No bus skew constraints
+
diff --git a/game.runs/impl_1/TopModule_bus_skew_routed.rpx b/game.runs/impl_1/TopModule_bus_skew_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..272b1719a5e10d91ed2239b51224f136dccfbfdf
GIT binary patch
literal 1064
zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t
zDlJwh&Q2{?C`v6Z%_%9K!OY;t#K6GC50U^Gq7al?kY7|H)Wui?X2d6z7RQ5i=$0oI
z<;Cac#g}E~=OmV7=I1Hsre)@&Dum=0_~xgS=A^>a#uw$6mZYZW6%~{y=oTd5QBjbj
zpj%W>fk#DAL4_1kUa=ChuSb;zvjL-3KQosk7aP=>=<enc=3;Y6EzV5O6XJxsi_3?L
zB_Oe=M99S@wJb9^)z&=G(7>QXK_MV9IXf{u)i${}-Pp)PK_R#xH8sUn*HA$tAjsb(
z)H%e{-%r6%&&XH-6x6x~X1a#Pnp`1V>|v=z#hLkeLY`rnWr->I3S~wHMn-x@3L0i6
zx=EQOnhFZR;R;TrnK>y6#%AV*mKKHz`FRR%MVSiz$t4PgCJF{7R;HF#rX~u$E+Gma
z&0GpxEG~&9sX`JVrKt*TsYwb(77B*uRt82^CPp9?#$3}Fx!9fab8{2(QU+D@IPoVT
zQWYrign`l=ldho<gA{viW?p=5Vuiwg7+}<3HDIt{cX+|>!4Sciz>vXEz)-=^z{t?S
z$S{GCVFn|^0!D@vjEox?8Fnx-9$;iR!N_odk?{s2;{!&<e+&!^Q7|);Sc`KKle04z
z3m9upee(R+%6RINXJH2;^8`k=MT~<f0k2?W0DFf4<{bvGcNjh}GR|OPT)@P*f{9@R
z6T=QBrUOh2Czu#6FfrU<Vtl~F@Pdiq0~6y9CWZ!Ph7M-N3Cs*!puEo@z#ze(z+k{2
g#lXP8tC5}OC%`DdsKB7XV8CF(;K1O)5DE$k03tz8CjbBd

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_clock_utilization_routed.rpt b/game.runs/impl_1/TopModule_clock_utilization_routed.rpt
new file mode 100644
index 0000000..66f4eb7
--- /dev/null
+++ b/game.runs/impl_1/TopModule_clock_utilization_routed.rpt
@@ -0,0 +1,192 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:42 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_clock_utilization -file TopModule_clock_utilization_routed.rpt
+| Design       : TopModule
+| Device       : 7a100t-csg324
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+| Design State : Routed
+---------------------------------------------------------------------------------------
+
+Clock Utilization Report
+
+Table of Contents
+-----------------
+1. Clock Primitive Utilization
+2. Global Clock Resources
+3. Global Clock Source Details
+4. Clock Regions: Key Resource Utilization
+5. Clock Regions : Global Clock Summary
+6. Device Cell Placement Summary for Global Clock g0
+7. Device Cell Placement Summary for Global Clock g1
+8. Clock Region Cell Placement per Global Clock: Region X1Y1
+9. Clock Region Cell Placement per Global Clock: Region X1Y2
+
+1. Clock Primitive Utilization
+------------------------------
+
++----------+------+-----------+-----+--------------+--------+
+| Type     | Used | Available | LOC | Clock Region | Pblock |
++----------+------+-----------+-----+--------------+--------+
+| BUFGCTRL |    2 |        32 |   0 |            0 |      0 |
+| BUFH     |    0 |        96 |   0 |            0 |      0 |
+| BUFIO    |    0 |        24 |   0 |            0 |      0 |
+| BUFMR    |    0 |        12 |   0 |            0 |      0 |
+| BUFR     |    0 |        24 |   0 |            0 |      0 |
+| MMCM     |    1 |         6 |   0 |            0 |      0 |
+| PLL      |    0 |         6 |   0 |            0 |      0 |
++----------+------+-----------+-----+--------------+--------+
+
+
+2. Global Clock Resources
+-------------------------
+
++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------------------+-----------------------------+--------------------------------------+
+| Global Id | Source Id | Driver Type/Pin | Constraint | Site           | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock                | Driver Pin                  | Net                                  |
++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------------------+-----------------------------+--------------------------------------+
+| g0        | src0      | BUFG/O          | None       | BUFGCTRL_X0Y16 | n/a          |                 1 |         127 |               0 |       40.000 | clk_out1_clk_wiz_0   | pixelClk/inst/clkout1_buf/O | pixelClk/inst/clk_out1               |
+| g1        | src1      | BUFG/O          | None       | BUFGCTRL_X0Y17 | n/a          |                 1 |           1 |               0 |       10.000 | clkfbout_clk_wiz_0_1 | pixelClk/inst/clkf_buf/O    | pixelClk/inst/clkfbout_buf_clk_wiz_0 |
++-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+----------------------+-----------------------------+--------------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+
+
+3. Global Clock Source Details
+------------------------------
+
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+----------------------------------+
+| Source Id | Global Id | Driver Type/Pin     | Constraint | Site            | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin                           | Net                              |
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+----------------------------------+
+| src0      | g0        | MMCME2_ADV/CLKOUT0  | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              40.000 | Multiple     | pixelClk/inst/mmcm_adv_inst/CLKOUT0  | pixelClk/inst/clk_out1_clk_wiz_0 |
+| src1      | g1        | MMCME2_ADV/CLKFBOUT | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              10.000 | Multiple     | pixelClk/inst/mmcm_adv_inst/CLKFBOUT | pixelClk/inst/clkfbout_clk_wiz_0 |
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------+--------------------------------------+----------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+
+
+4. Clock Regions: Key Resource Utilization
+------------------------------------------
+
++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+
+|                   | Global Clock |     BUFRs    |    BUFMRs    |    BUFIOs    |     MMCM     |      PLL     |      GT      |      PCI     |    ILOGIC    |    OLOGIC    |      FF      |     LUTM     |    RAMB18    |    RAMB36    |    DSP48E2   |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+| X0Y0              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2600 |    0 |   600 |    0 |    20 |    0 |    10 |    0 |    20 |
+| X1Y0              |    0 |    12 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     4 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |  1500 |    0 |   550 |    0 |    40 |    0 |    20 |    0 |    40 |
+| X0Y1              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2000 |    0 |   600 |    0 |    20 |    0 |    10 |    0 |    20 |
+| X1Y1              |    1 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |  127 |  1900 |   47 |   650 |    0 |    60 |    0 |    30 |    0 |    40 |
+| X0Y2              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2000 |    0 |   600 |    0 |    20 |    0 |    10 |    0 |    20 |
+| X1Y2              |    1 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    1 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  1900 |    0 |   650 |    0 |    60 |    0 |    30 |    0 |    40 |
+| X0Y3              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2600 |    0 |   600 |    0 |    20 |    0 |    10 |    0 |    20 |
+| X1Y3              |    0 |    12 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     0 |    0 |     4 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |  1350 |    0 |   500 |    0 |    30 |    0 |    15 |    0 |    40 |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+* Global Clock column represents track count; while other columns represents cell counts
+
+
+5. Clock Regions : Global Clock Summary
+---------------------------------------
+
+All Modules
++----+----+----+
+|    | X0 | X1 |
++----+----+----+
+| Y3 |  0 |  0 |
+| Y2 |  0 |  1 |
+| Y1 |  0 |  1 |
+| Y0 |  0 |  0 |
++----+----+----+
+
+
+6. Device Cell Placement Summary for Global Clock g0
+----------------------------------------------------
+
++-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock    | Period (ns) | Waveform (ns)  | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                    |
++-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------------+
+| g0        | BUFG/O          | n/a               | Multiple |      40.000 | {0.000 20.000} |         127 |        0 |              0 |        0 | pixelClk/inst/clk_out1 |
++-----------+-----------------+-------------------+----------+-------------+----------------+-------------+----------+----------------+----------+------------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+------+-----------------------+
+|    | X0 | X1   | HORIZONTAL PROG DELAY |
++----+----+------+-----------------------+
+| Y3 |  0 |    0 |                     - |
+| Y2 |  0 |    0 |                     - |
+| Y1 |  0 |  127 |                     0 |
+| Y0 |  0 |    0 |                     - |
++----+----+------+-----------------------+
+
+
+7. Device Cell Placement Summary for Global Clock g1
+----------------------------------------------------
+
++-----------+-----------------+-------------------+----------+-------------+---------------+-------------+----------+----------------+----------+--------------------------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock    | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                                  |
++-----------+-----------------+-------------------+----------+-------------+---------------+-------------+----------+----------------+----------+--------------------------------------+
+| g1        | BUFG/O          | n/a               | Multiple |      10.000 | {0.000 5.000} |           0 |        0 |              1 |        0 | pixelClk/inst/clkfbout_buf_clk_wiz_0 |
++-----------+-----------------+-------------------+----------+-------------+---------------+-------------+----------+----------------+----------+--------------------------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+----+-----------------------+
+|    | X0 | X1 | HORIZONTAL PROG DELAY |
++----+----+----+-----------------------+
+| Y3 |  0 |  0 |                     - |
+| Y2 |  0 |  1 |                     0 |
+| Y1 |  0 |  0 |                     - |
+| Y0 |  0 |  0 |                     - |
++----+----+----+-----------------------+
+
+
+8. Clock Region Cell Placement per Global Clock: Region X1Y1
+------------------------------------------------------------
+
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+------------------------+
+| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF  | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net                    |
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+------------------------+
+| g0        | n/a   | BUFG/O          | None       |         127 |               0 | 127 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | pixelClk/inst/clk_out1 |
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts
+
+
+9. Clock Region Cell Placement per Global Clock: Region X1Y2
+------------------------------------------------------------
+
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+--------------------------------------+
+| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net                                  |
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+--------------------------------------+
+| g1        | n/a   | BUFG/O          | None       |           1 |               0 |  0 |           0 |    0 |   0 |  0 |    1 |   0 |       0 | pixelClk/inst/clkfbout_buf_clk_wiz_0 |
++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+--------------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts
+
+
+
+# Location of BUFG Primitives 
+set_property LOC BUFGCTRL_X0Y17 [get_cells pixelClk/inst/clkf_buf]
+set_property LOC BUFGCTRL_X0Y16 [get_cells pixelClk/inst/clkout1_buf]
+
+# Location of IO Primitives which is load of clock spine
+
+# Location of clock ports
+set_property LOC IOB_X1Y126 [get_ports clk]
+
+# Clock net "pixelClk/inst/clk_out1" driven by instance "pixelClk/inst/clkout1_buf" located at site "BUFGCTRL_X0Y16"
+#startgroup
+create_pblock {CLKAG_pixelClk/inst/clk_out1}
+add_cells_to_pblock [get_pblocks  {CLKAG_pixelClk/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="pixelClk/inst/clk_out1"}]]]
+resize_pblock [get_pblocks {CLKAG_pixelClk/inst/clk_out1}] -add {CLOCKREGION_X1Y1:CLOCKREGION_X1Y1}
+#endgroup
diff --git a/game.runs/impl_1/TopModule_control_sets_placed.rpt b/game.runs/impl_1/TopModule_control_sets_placed.rpt
new file mode 100644
index 0000000..f139ddb
--- /dev/null
+++ b/game.runs/impl_1/TopModule_control_sets_placed.rpt
@@ -0,0 +1,87 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+--------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:15 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_control_sets -verbose -file TopModule_control_sets_placed.rpt
+| Design       : TopModule
+| Device       : xc7a100t
+--------------------------------------------------------------------------------------
+
+Control Set Information
+
+Table of Contents
+-----------------
+1. Summary
+2. Histogram
+3. Flip-Flop Distribution
+4. Detailed Control Set Information
+
+1. Summary
+----------
+
++----------------------------------------------------------+-------+
+|                          Status                          | Count |
++----------------------------------------------------------+-------+
+| Total control sets                                       |     9 |
+|    Minimum number of control sets                        |     9 |
+|    Addition due to synthesis replication                 |     0 |
+|    Addition due to physical synthesis replication        |     0 |
+| Unused register locations in slices containing registers |    41 |
++----------------------------------------------------------+-------+
+* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers
+** Run report_qor_suggestions for automated merging and remapping suggestions
+
+
+2. Histogram
+------------
+
++--------------------+-------+
+|       Fanout       | Count |
++--------------------+-------+
+| Total control sets |     9 |
+| >= 0 to < 4        |     1 |
+| >= 4 to < 6        |     0 |
+| >= 6 to < 8        |     0 |
+| >= 8 to < 10       |     1 |
+| >= 10 to < 12      |     2 |
+| >= 12 to < 14      |     2 |
+| >= 14 to < 16      |     0 |
+| >= 16              |     3 |
++--------------------+-------+
+* Control sets can be remapped at either synth_design or opt_design
+
+
+3. Flip-Flop Distribution
+-------------------------
+
++--------------+-----------------------+------------------------+-----------------+--------------+
+| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
++--------------+-----------------------+------------------------+-----------------+--------------+
+| No           | No                    | No                     |               3 |            2 |
+| No           | No                    | Yes                    |              11 |            4 |
+| No           | Yes                   | No                     |               0 |            0 |
+| Yes          | No                    | No                     |              29 |           12 |
+| Yes          | No                    | Yes                    |              75 |           25 |
+| Yes          | Yes                   | No                     |               9 |            3 |
++--------------+-----------------------+------------------------+-----------------+--------------+
+
+
+4. Detailed Control Set Information
+-----------------------------------
+
++-------------------------+------------------------------------+---------------------------------------------+------------------+----------------+--------------+
+|       Clock Signal      |            Enable Signal           |               Set/Reset Signal              | Slice Load Count | Bel Load Count | Bels / Slice |
++-------------------------+------------------------------------+---------------------------------------------+------------------+----------------+--------------+
+|  pixelClk/inst/clk_out1 |                                    |                                             |                2 |              3 |         1.50 |
+|  pixelClk/inst/clk_out1 | runnerObject/pos_object_y_target_2 | runnerObject/pos_object_y_target[8]_i_1_n_0 |                3 |              9 |         3.00 |
+|  pixelClk/inst/clk_out1 | vgaInterface/counter_v[9]_i_1_n_0  | vgaInterface/btnCpuReset                    |                4 |             10 |         2.50 |
+|  pixelClk/inst/clk_out1 |                                    | vgaInterface/btnCpuReset                    |                4 |             11 |         2.75 |
+|  pixelClk/inst/clk_out1 | vgaInterface/counter_f             | vgaInterface/btnCpuReset                    |                3 |             12 |         4.00 |
+|  pixelClk/inst/clk_out1 | runnerObject/fcount_edge0__0       | vgaInterface/btnCpuReset                    |                3 |             12 |         4.00 |
+|  pixelClk/inst/clk_out1 | runnerObject/E[0]                  | vgaInterface/btnCpuReset                    |                6 |             19 |         3.17 |
+|  pixelClk/inst/clk_out1 | vgaInterface/E[0]                  | vgaInterface/btnCpuReset                    |                9 |             22 |         2.44 |
+|  pixelClk/inst/clk_out1 | vgaInterface/E[0]                  |                                             |               12 |             29 |         2.42 |
++-------------------------+------------------------------------+---------------------------------------------+------------------+----------------+--------------+
+
+
diff --git a/game.runs/impl_1/TopModule_drc_opted.pb b/game.runs/impl_1/TopModule_drc_opted.pb
new file mode 100644
index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40
GIT binary patch
literal 37
scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_drc_opted.rpt b/game.runs/impl_1/TopModule_drc_opted.rpt
new file mode 100644
index 0000000..d17b3c1
--- /dev/null
+++ b/game.runs/impl_1/TopModule_drc_opted.rpt
@@ -0,0 +1,49 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:12 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx
+| Design       : TopModule
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Synthesized
+------------------------------------------------------------------------------------------------------------------
+
+Report DRC
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+           Ruledeck: default
+             Max violations: <unlimited>
+             Violations found: 1
++----------+----------+-----------------------------------------------------+------------+
+| Rule     | Severity | Description                                         | Violations |
++----------+----------+-----------------------------------------------------+------------+
+| CFGBVS-1 | Warning  | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1          |
++----------+----------+-----------------------------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+CFGBVS-1#1 Warning
+Missing CFGBVS and CONFIG_VOLTAGE Design Properties  
+Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+Related violations: <none>
+
+
diff --git a/game.runs/impl_1/TopModule_drc_opted.rpx b/game.runs/impl_1/TopModule_drc_opted.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..06d2444790979f998c3e3a53dec6e5dfe71676c2
GIT binary patch
literal 1630
zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyLFVBlgl)H4zi56Lg^%}*)K
zNsUh_N{-JjC`nDxD=Mgv`2YX^fBp={0)`5P21bSsM#c$@43n6-U4onypoV;7Wnkc7
z6kwKO4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmp
zD!8N;XQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT#
zPDx^VszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1
zdD#juBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX
z0vVZ%Vky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjq
zg57SQr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a
z%;Z$0C{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NX
zSLT%@R#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQ
zZA8FmBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc
l-29?ch0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3ndGXRBeE;IlD

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_drc_routed.pb b/game.runs/impl_1/TopModule_drc_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40
GIT binary patch
literal 37
scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_drc_routed.rpt b/game.runs/impl_1/TopModule_drc_routed.rpt
new file mode 100644
index 0000000..7569538
--- /dev/null
+++ b/game.runs/impl_1/TopModule_drc_routed.rpt
@@ -0,0 +1,49 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:40 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx
+| Design       : TopModule
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Fully Routed
+---------------------------------------------------------------------------------------------------------------------
+
+Report DRC
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+           Ruledeck: default
+             Max violations: <unlimited>
+             Violations found: 1
++----------+----------+-----------------------------------------------------+------------+
+| Rule     | Severity | Description                                         | Violations |
++----------+----------+-----------------------------------------------------+------------+
+| CFGBVS-1 | Warning  | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1          |
++----------+----------+-----------------------------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+CFGBVS-1#1 Warning
+Missing CFGBVS and CONFIG_VOLTAGE Design Properties  
+Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+Related violations: <none>
+
+
diff --git a/game.runs/impl_1/TopModule_drc_routed.rpx b/game.runs/impl_1/TopModule_drc_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..c3ea3aadbde8c06a45e05f92a14ef88fab340d6e
GIT binary patch
literal 1631
zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#22+1$-%}*)K
zNsUh_N{%neFD*$;(JLybkof=q|9}1r#sY>4h6YB44o1caj0}^Qxm|*s6`-bkV`X6A
zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U
zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k*
zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS
ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR
zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv
zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk
zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7
z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ
z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q
mrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ!@a2sV=Pm

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_io_placed.rpt b/game.runs/impl_1/TopModule_io_placed.rpt
new file mode 100644
index 0000000..1bb5e14
--- /dev/null
+++ b/game.runs/impl_1/TopModule_io_placed.rpt
@@ -0,0 +1,366 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------
+| Tool Version              : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date                      : Tue Feb 28 17:02:15 2023
+| Host                      : LikeUE06 running 64-bit Linux Mint 20.3
+| Command                   : report_io -file TopModule_io_placed.rpt
+| Design                    : TopModule
+| Device                    : xc7a100t
+| Speed File                : -1
+| Package                   : csg324
+| Package Version           : FINAL 2012-07-17
+| Package Pin Delay Version : VERS. 2.0 2012-07-17
+-------------------------------------------------------------------------------------------------
+
+IO Information
+
+Table of Contents
+-----------------
+1. Summary
+2. IO Assignments by Package Pin
+
+1. Summary
+----------
+
++---------------+
+| Total User IO |
++---------------+
+|            33 |
++---------------+
+
+
+2. IO Assignments by Package Pin
+--------------------------------
+
++------------+-------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| Pin Number | Signal Name | Bank Type  | Pin Name                     | Use         | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization |
++------------+-------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| A1         |             | High Range | IO_L9N_T1_DQS_AD7N_35        | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A2         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A3         | vgaRed[0]   | High Range | IO_L8N_T1_AD14N_35           | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| A4         | vgaRed[3]   | High Range | IO_L8P_T1_AD14P_35           | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| A5         | vgaGreen[1] | High Range | IO_L3N_T0_DQS_AD5N_35        | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| A6         | vgaGreen[3] | High Range | IO_L3P_T0_DQS_AD5P_35        | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| A7         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| A8         |             | High Range | IO_L12N_T1_MRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A9         |             | High Range | IO_L14N_T2_SRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A10        |             | High Range | IO_L14P_T2_SRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A11        |             | High Range | IO_L4N_T0_15                 | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A12        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A13        |             | High Range | IO_L9P_T1_DQS_AD3P_15        | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A14        |             | High Range | IO_L9N_T1_DQS_AD3N_15        | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A15        |             | High Range | IO_L8P_T1_AD10P_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A16        |             | High Range | IO_L8N_T1_AD10N_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A17        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| A18        |             | High Range | IO_L10N_T1_AD11N_15          | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B1         |             | High Range | IO_L9P_T1_DQS_AD7P_35        | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B2         |             | High Range | IO_L10N_T1_AD15N_35          | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B3         |             | High Range | IO_L10P_T1_AD15P_35          | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B4         | vgaRed[1]   | High Range | IO_L7N_T1_AD6N_35            | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B5         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B6         | vgaGreen[2] | High Range | IO_L2N_T0_AD12N_35           | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B7         | vgaBlue[0]  | High Range | IO_L2P_T0_AD12P_35           | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B8         |             | High Range | IO_L12P_T1_MRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B9         |             | High Range | IO_L11N_T1_SRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B10        |             | High Range | VCCO_16                      | VCCO        |             |      16 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| B11        | Hsync       | High Range | IO_L4P_T0_15                 | OUTPUT      | LVCMOS33    |      15 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B12        | Vsync       | High Range | IO_L3N_T0_DQS_AD1N_15        | OUTPUT      | LVCMOS33    |      15 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| B13        |             | High Range | IO_L2P_T0_AD8P_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B14        |             | High Range | IO_L2N_T0_AD8N_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B15        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B16        |             | High Range | IO_L7P_T1_AD2P_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B17        |             | High Range | IO_L7N_T1_AD2N_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B18        |             | High Range | IO_L10P_T1_AD11P_15          | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C1         |             | High Range | IO_L16N_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C2         |             | High Range | IO_L16P_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C3         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| C4         |             | High Range | IO_L7P_T1_AD6P_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C5         | vgaRed[2]   | High Range | IO_L1N_T0_AD4N_35            | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C6         | vgaGreen[0] | High Range | IO_L1P_T0_AD4P_35            | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C7         | vgaBlue[1]  | High Range | IO_L4N_T0_35                 | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C8         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C9         |             | High Range | IO_L11P_T1_SRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C10        |             | High Range | IO_L13N_T2_MRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C11        |             | High Range | IO_L13P_T2_MRCC_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C12        | btnCpuReset | High Range | IO_L3P_T0_DQS_AD1P_15        | INPUT       | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C13        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| C14        |             | High Range | IO_L1N_T0_AD0N_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C15        |             | High Range | IO_L12N_T1_MRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C16        |             | High Range | IO_L20P_T3_A20_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C17        |             | High Range | IO_L20N_T3_A19_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C18        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D1         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D2         |             | High Range | IO_L14N_T2_SRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D3         |             | High Range | IO_L12N_T1_MRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D4         |             | High Range | IO_L11N_T1_SRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D5         |             | High Range | IO_L11P_T1_SRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D6         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| D7         | vgaBlue[2]  | High Range | IO_L6N_T0_VREF_35            | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| D8         | vgaBlue[3]  | High Range | IO_L4P_T0_35                 | OUTPUT      | LVCMOS33    |      35 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| D9         |             | High Range | IO_L6N_T0_VREF_16            | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D10        |             | High Range | IO_L19N_T3_VREF_16           | User IO     |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D11        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D12        |             | High Range | IO_L6P_T0_15                 | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D13        |             | High Range | IO_L6N_T0_VREF_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D14        |             | High Range | IO_L1P_T0_AD0P_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D15        |             | High Range | IO_L12P_T1_MRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D16        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| D17        |             | High Range | IO_L16N_T2_A27_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D18        |             | High Range | IO_L21N_T3_DQS_A18_15        | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E1         |             | High Range | IO_L18N_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E2         |             | High Range | IO_L14P_T2_SRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E3         | clk         | High Range | IO_L12P_T1_MRCC_35           | INPUT       | LVCMOS33    |      35 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| E4         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E5         |             | High Range | IO_L5N_T0_AD13N_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E6         |             | High Range | IO_L5P_T0_AD13P_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E7         |             | High Range | IO_L6P_T0_35                 | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E8         |             | Dedicated  | VCCBATT_0                    | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E9         |             | Dedicated  | CCLK_0                       | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E10        |             | Dedicated  | TCK_0                        | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E11        |             | Dedicated  | TDI_0                        | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E12        |             | Dedicated  | TMS_0                        | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E13        |             | Dedicated  | TDO_0                        | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E14        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E15        |             | High Range | IO_L11P_T1_SRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E16        |             | High Range | IO_L11N_T1_SRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E17        |             | High Range | IO_L16P_T2_A28_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E18        |             | High Range | IO_L21P_T3_DQS_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F1         |             | High Range | IO_L18P_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F2         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| F3         |             | High Range | IO_L13N_T2_MRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F4         |             | High Range | IO_L13P_T2_MRCC_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F5         |             | High Range | IO_0_35                      | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F6         |             | High Range | IO_L19N_T3_VREF_35           | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F7         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F8         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F9         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F10        |             |            | VCCBRAM                      | VCCBRAM     |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F11        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F12        |             |            | VCCAUX                       | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| F13        |             | High Range | IO_L5P_T0_AD9P_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F14        |             | High Range | IO_L5N_T0_AD9N_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F15        | btnU        | High Range | IO_L14P_T2_SRCC_15           | INPUT       | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| F16        |             | High Range | IO_L14N_T2_SRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F17        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F18        |             | High Range | IO_L22N_T3_A16_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G1         |             | High Range | IO_L17N_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G2         |             | High Range | IO_L15N_T2_DQS_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G3         |             | High Range | IO_L20N_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G4         |             | High Range | IO_L20P_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G5         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| G6         |             | High Range | IO_L19P_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G7         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G8         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G9         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G10        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G11        |             |            | VCCBRAM                      | VCCBRAM     |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G12        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G13        |             | High Range | IO_0_15                      | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G14        |             | High Range | IO_L15N_T2_DQS_ADV_B_15      | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G15        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| G16        |             | High Range | IO_L13N_T2_MRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G17        |             | High Range | IO_L18N_T2_A23_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G18        |             | High Range | IO_L22P_T3_A17_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H1         |             | High Range | IO_L17P_T2_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H2         |             | High Range | IO_L15P_T2_DQS_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H3         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H4         |             | High Range | IO_L21N_T3_DQS_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H5         |             | High Range | IO_L24N_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H6         |             | High Range | IO_L24P_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H7         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H8         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H9         |             | Dedicated  | GNDADC_0                     | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H10        |             | Dedicated  | VCCADC_0                     | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H11        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H12        |             |            | VCCAUX                       | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| H13        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H14        |             | High Range | IO_L15P_T2_DQS_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H15        |             | High Range | IO_L19N_T3_A21_VREF_15       | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H16        |             | High Range | IO_L13P_T2_MRCC_15           | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H17        |             | High Range | IO_L18P_T2_A24_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H18        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| J1         |             | High Range | VCCO_35                      | VCCO        |             |      35 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| J2         |             | High Range | IO_L22N_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J3         |             | High Range | IO_L22P_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J4         |             | High Range | IO_L21P_T3_DQS_35            | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J5         |             | High Range | IO_25_35                     | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J6         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J7         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J8         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J9         |             | Dedicated  | VREFN_0                      | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J10        |             | Dedicated  | VP_0                         | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J11        |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J12        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J13        |             | High Range | IO_L17N_T2_A25_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J14        |             | High Range | IO_L19P_T3_A22_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J15        |             | High Range | IO_L24N_T3_RS0_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J16        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J17        |             | High Range | IO_L23P_T3_FOE_B_15          | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J18        |             | High Range | IO_L23N_T3_FWE_B_15          | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K1         |             | High Range | IO_L23N_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K2         |             | High Range | IO_L23P_T3_35                | User IO     |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K3         |             | High Range | IO_L2P_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K4         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| K5         |             | High Range | IO_L5P_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K6         |             | High Range | IO_0_34                      | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K7         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K8         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K9         |             | Dedicated  | VN_0                         | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K10        |             | Dedicated  | VREFP_0                      | XADC        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K11        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K12        |             |            | VCCAUX                       | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| K13        |             | High Range | IO_L17P_T2_A26_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K14        |             | High Range | VCCO_15                      | VCCO        |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| K15        |             | High Range | IO_L24P_T3_RS1_15            | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K16        |             | High Range | IO_25_15                     | User IO     |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K17        |             | High Range | IO_L1P_T0_D00_MOSI_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K18        |             | High Range | IO_L1N_T0_D01_DIN_14         | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L1         |             | High Range | IO_L1P_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L2         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L3         |             | High Range | IO_L2N_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L4         |             | High Range | IO_L5N_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L5         |             | High Range | IO_L6N_T0_VREF_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L6         |             | High Range | IO_L6P_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L7         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L8         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L9         |             | Dedicated  | DXN_0                        | Temp Sensor |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L10        |             | Dedicated  | DXP_0                        | Temp Sensor |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L11        |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L12        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L13        |             | High Range | IO_L6P_T0_FCS_B_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L14        |             | High Range | IO_L2P_T0_D02_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L15        |             | High Range | IO_L3P_T0_DQS_PUDC_B_14      | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L16        |             | High Range | IO_L3N_T0_DQS_EMCCLK_14      | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L17        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| L18        |             | High Range | IO_L4P_T0_D04_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M1         |             | High Range | IO_L1N_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M2         |             | High Range | IO_L4N_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M3         |             | High Range | IO_L4P_T0_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M4         |             | High Range | IO_L16P_T2_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M5         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M6         |             | High Range | IO_L18P_T2_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M7         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M8         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M9         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M10        |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M11        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M12        |             |            | VCCAUX                       | VCCAUX      |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| M13        |             | High Range | IO_L6N_T0_D08_VREF_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M14        |             | High Range | IO_L2N_T0_D03_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M15        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M16        |             | High Range | IO_L10P_T1_D14_14            | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M17        |             | High Range | IO_L10N_T1_D15_14            | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M18        |             | High Range | IO_L4N_T0_D05_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N1         |             | High Range | IO_L3N_T0_DQS_34             | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N2         |             | High Range | IO_L3P_T0_DQS_34             | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N3         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| N4         |             | High Range | IO_L16N_T2_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N5         |             | High Range | IO_L13P_T2_MRCC_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N6         |             | High Range | IO_L18N_T2_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N7         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N8         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N9         |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N10        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N11        |             |            | VCCINT                       | VCCINT      |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N12        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N13        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| N14        |             | High Range | IO_L8P_T1_D11_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N15        |             | High Range | IO_L11P_T1_SRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N16        |             | High Range | IO_L11N_T1_SRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N17        |             | High Range | IO_L9P_T1_DQS_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N18        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P1         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P2         | led[15]     | High Range | IO_L15P_T2_DQS_34            | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| P3         |             | High Range | IO_L14N_T2_SRCC_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P4         |             | High Range | IO_L14P_T2_SRCC_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P5         | led[12]     | High Range | IO_L13N_T2_MRCC_34           | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| P6         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| P7         |             | Dedicated  | INIT_B_0                     | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P8         |             | Dedicated  | CFGBVS_0                     | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P9         |             | Dedicated  | PROGRAM_B_0                  | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P10        |             | Dedicated  | DONE_0                       | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P11        |             | Dedicated  | M2_0                         | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P12        |             | Dedicated  | M0_0                         | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P13        |             | Dedicated  | M1_0                         | Config      |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P14        |             | High Range | IO_L8N_T1_D12_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P15        |             | High Range | IO_L13P_T2_MRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P16        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| P17        |             | High Range | IO_L12P_T1_MRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P18        |             | High Range | IO_L9N_T1_DQS_D13_14         | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R1         | led[11]     | High Range | IO_L17P_T2_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| R2         | led[14]     | High Range | IO_L15N_T2_DQS_34            | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| R3         |             | High Range | IO_L11P_T1_SRCC_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R4         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R5         |             | High Range | IO_L19N_T3_VREF_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R6         |             | High Range | IO_L19P_T3_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R7         |             | High Range | IO_L23P_T3_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R8         | led[2]      | High Range | IO_L24P_T3_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| R9         |             | Dedicated  | VCCO_0                       | VCCO        |             |       0 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| R10        |             | High Range | IO_25_14                     | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R11        |             | High Range | IO_0_14                      | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R12        |             | High Range | IO_L5P_T0_D06_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R13        |             | High Range | IO_L5N_T0_D07_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R14        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R15        |             | High Range | IO_L13N_T2_MRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R16        |             | High Range | IO_L15P_T2_DQS_RDWR_B_14     | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R17        |             | High Range | IO_L12N_T1_MRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R18        |             | High Range | IO_L7P_T1_D09_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T1         |             | High Range | IO_L17N_T2_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T2         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| T3         |             | High Range | IO_L11N_T1_SRCC_34           | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T4         | led[5]      | High Range | IO_L12N_T1_MRCC_34           | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T5         | led[4]      | High Range | IO_L12P_T1_MRCC_34           | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T6         | led[3]      | High Range | IO_L23N_T3_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T7         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T8         | led[0]      | High Range | IO_L24N_T3_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T9         |             | High Range | IO_L24P_T3_A01_D17_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T10        |             | High Range | IO_L24N_T3_A00_D16_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T11        |             | High Range | IO_L19P_T3_A10_D26_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T12        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| T13        |             | High Range | IO_L23P_T3_A03_D19_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T14        |             | High Range | IO_L14P_T2_SRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T15        |             | High Range | IO_L14N_T2_SRCC_14           | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T16        |             | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T17        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T18        |             | High Range | IO_L7N_T1_D10_14             | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U1         | led[13]     | High Range | IO_L7P_T1_34                 | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U2         |             | High Range | IO_L9P_T1_DQS_34             | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U3         | led[9]      | High Range | IO_L8N_T1_34                 | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U4         |             | High Range | IO_L8P_T1_34                 | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U5         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| U6         | led[7]      | High Range | IO_L22N_T3_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U7         | led[6]      | High Range | IO_L22P_T3_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U8         |             | High Range | IO_25_34                     | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U9         |             | High Range | IO_L21P_T3_DQS_34            | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U10        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U11        |             | High Range | IO_L19N_T3_A09_D25_VREF_14   | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U12        |             | High Range | IO_L20P_T3_A08_D24_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U13        |             | High Range | IO_L23N_T3_A02_D18_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U14        |             | High Range | IO_L22P_T3_A05_D21_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U15        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| U16        |             | High Range | IO_L18P_T2_A12_D28_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U17        |             | High Range | IO_L17P_T2_A14_D30_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U18        |             | High Range | IO_L17N_T2_A13_D29_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V1         | led[10]     | High Range | IO_L7N_T1_34                 | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V2         |             | High Range | IO_L9N_T1_DQS_34             | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V3         |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V4         | led[8]      | High Range | IO_L10N_T1_34                | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V5         |             | High Range | IO_L10P_T1_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V6         |             | High Range | IO_L20N_T3_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V7         |             | High Range | IO_L20P_T3_34                | User IO     |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V8         |             | High Range | VCCO_34                      | VCCO        |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| V9         | led[1]      | High Range | IO_L21N_T3_DQS_34            | OUTPUT      | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V10        |             | High Range | IO_L21P_T3_DQS_14            | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V11        |             | High Range | IO_L21N_T3_DQS_A06_D22_14    | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V12        |             | High Range | IO_L20N_T3_A07_D23_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V13        |             |            | GND                          | GND         |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V14        |             | High Range | IO_L22N_T3_A04_D20_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V15        |             | High Range | IO_L16P_T2_CSI_B_14          | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V16        |             | High Range | IO_L16N_T2_A15_D31_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V17        |             | High Range | IO_L18N_T2_A11_D27_14        | User IO     |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V18        |             | High Range | VCCO_14                      | VCCO        |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
++------------+-------------+------------+------------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+* Default value
+** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements.
+
+
diff --git a/game.runs/impl_1/TopModule_methodology_drc_routed.pb b/game.runs/impl_1/TopModule_methodology_drc_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..0c8006f28fb883387ccfdd0a1ebe08ac95e2ccda
GIT binary patch
literal 52
zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ6`(*k*>
H1F8%FnQRaB

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_methodology_drc_routed.rpt b/game.runs/impl_1/TopModule_methodology_drc_routed.rpt
new file mode 100644
index 0000000..0e4eccc
--- /dev/null
+++ b/game.runs/impl_1/TopModule_methodology_drc_routed.rpt
@@ -0,0 +1,56 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:41 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx
+| Design       : TopModule
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Fully Routed
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Report Methodology
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+             Max violations: <unlimited>
+             Violations found: 4
++-----------+------------------+------------------------------------------------------------------+------------+
+| Rule      | Severity         | Description                                                      | Violations |
++-----------+------------------+------------------------------------------------------------------+------------+
+| TIMING-6  | Critical Warning | No common primary clock between related clocks                   | 2          |
+| TIMING-56 | Warning          | Missing logically or physically excluded clock groups constraint | 2          |
++-----------+------------------+------------------------------------------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+TIMING-6#1 Critical Warning
+No common primary clock between related clocks  
+The clocks clk_out1_clk_wiz_0 and clk_out1_clk_wiz_0_1 are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_0] -to [get_clocks clk_out1_clk_wiz_0_1]
+Related violations: <none>
+
+TIMING-6#2 Critical Warning
+No common primary clock between related clocks  
+The clocks clk_out1_clk_wiz_0_1 and clk_out1_clk_wiz_0 are related (timed together) but they have no common primary clock. The design could fail in hardware. To find a timing path between these clocks, run the following command: report_timing -from [get_clocks clk_out1_clk_wiz_0_1] -to [get_clocks clk_out1_clk_wiz_0]
+Related violations: <none>
+
+TIMING-56#1 Warning
+Missing logically or physically excluded clock groups constraint  
+Multiple clocks are user generated or auto-derived on the source pin pixelClk/inst/mmcm_adv_inst/CLKFBOUT but are not logically or physically exclusive with respect to one another. To have the static timing analysis match the behavior in hardware, there cannot be multiple clocks generated on the same pin and when such situation occurs, the clocks should be defined as physically or logically exclusive. The list of clocks generated on the source pin is: clkfbout_clk_wiz_0, clkfbout_clk_wiz_0_1
+Related violations: <none>
+
+TIMING-56#2 Warning
+Missing logically or physically excluded clock groups constraint  
+Multiple clocks are user generated or auto-derived on the source pin pixelClk/inst/mmcm_adv_inst/CLKOUT0 but are not logically or physically exclusive with respect to one another. To have the static timing analysis match the behavior in hardware, there cannot be multiple clocks generated on the same pin and when such situation occurs, the clocks should be defined as physically or logically exclusive. The list of clocks generated on the source pin is: clk_out1_clk_wiz_0, clk_out1_clk_wiz_0_1
+Related violations: <none>
+
+
diff --git a/game.runs/impl_1/TopModule_methodology_drc_routed.rpx b/game.runs/impl_1/TopModule_methodology_drc_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..ff8de844084902a336445fedc9c8087be1d579e5
GIT binary patch
literal 3895
zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM}eVBlgl)H4!N3CS<;%}*)K
zNsZ4<Ey>7F$<N78uZ&MAN{%neFD*$;(JLybkof=q|9}1rmI8(fh6YBa4o0R4j0}^Q
zrF;=O6r3|sle3EzpcXt}VqoB46kw7Pa4yO$$xKenQ3y{g%FE14SK<iq^!4;}*EQ49
z^UGIA&d<%w&r>KU%FInHs#HkM$xqH!NJ=d!Pfg8JC`!#qEJ;m)h!z`h!E`DcS};28
zV+zSgg-R(T=VZs{mzEgDgBazRRq+N2iFqK6=)&=a3W-IjaFaDkGILW?6iV{bQ%f>Z
zi!>FIN=p<<GEyrQG7`&D74on<P)`A5bxLY+W_q4Na(-z}ib7gqW{yH;o<c@qQA&AY
zQL3IoNWMZ^W?qUyqC!b#Zf0J(LP26l2Ha~U8L7oE*Xt-0mF9tLRY=Rv$;mGVDF%g0
zVqS`sLQ!f#eo;w0)C}FUqWoNi==9W*cyLe^WAS;cf^JDZ0X6Z4v0kGAm=8*^pcI3e
zbchT<a2jW#SsFJYoW?<k8EYyZ_GvuckeEm!n8rr~kU&vV$^tIOnWfla#WyFk5H>Z_
za`4S8E(R4=Ir-_JB0i^5A-_nWAfvJv%1f<C&M8fS72pc#Mfs%##R|#!dBr6~iJ5sN
zM%-}2z(x88X5Z4BlFWh}Sjh-Z$EC%oMGEPud8tL<${@c;A+fY1UpFPSD6=dzMIj$j
zbQb5A7A2=D6lCTp6l7MU<~ZkM>u2T_m+0r_Cg;W{rj*5ldCorGZchH8A>e`@R1@Uo
zmnh(Me{p76szP~YNrpmEYH>koa*0AozCwOpszPF3KB&$Bm-OJ;q9h|#p|~WmBr_RS
z<R|7O=2RAE7Axc?mLz9@i}<9}jKs3c{37JaLI)&Ml&X-Nm<MuBQmR5OS~wtiAL`x2
zT!?2u)m(W-YMw%IX>x`_ab`(rVo7Fxo<e?da%oX9*ko8GS)2i`s*+L_Qc}}0^HNh3
z5{r?eE58USB*1Y8@;jum%gHP*QOHk&8HMQ{MDS-8TY+k&w50q}P%V`m53Y}Ou!zMQ
zdW}Z;NQ?4MY|31U9Q}L(Oi~>1+_-^Nix(xM7J&*LCILDWJfM2^JzWYO|IiSF(Q=2@
v<qldu09@{%iNzawjmG%!scFz+7ki9<VpHN$;Ft_5cG#VLyuo=9qtpQaEDCb6

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_opt.dcp b/game.runs/impl_1/TopModule_opt.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..119c4e057386d12875fa39ad726b6712143fc581
GIT binary patch
literal 277865
zcmWIWW@Zs#U|`^2aP5c*OTADujfshY;VByf13Lo)LrQXiUPW%s)T!Q+XE}(pUjG<g
zve8TIaD$c9@++DP3@0f>*j$M$WxVTR<PmJc^Z9-A#FN}frrfJE&RO2yd&gV+^YT|B
z&BiA`b*ORsJz;&9X*omNU1-k|6ECg}zn7l1*w867OV^??n(yA()bPuSMt6GGL>>6x
zmvXRIEx7Po;FEXyzfN5({c`!rif?i!Qbm$4++grMpw-<VDdHf*ocQO5L3NEpGjGX(
z013YJGuEa$g%?U4)3d6c(e&B%dHaLEDK*dCkG3CsmFoI<b<;|9E+@e!@$QE|#$KM}
zeA#XNdoz*ghC8_oPTAV41w{s&opRb>&HY`4T;c7JVreTbEK#@`-Rl;4IQNaH;#t3X
z`*>GF#&g+KFQc}a-2Ky%bA0N~dTWQuz+ZoM&eJ>US2FR)$r39;mclP)C+$75SKL1$
zQ+USB%7Jy|q^0)TJ&&Az6u$2eqw&h4FQ&R}(u~}2)WPnn(HXx@*Dr<T2LAZ-Vrffn
zfx)ehT8XFguAeuXA{bIWYmt)ktrcJA`K(^7=yT5V9`~-Rs!N%tB<&YJW)!=edGgmI
z2d*+Yo}73~MJCKPvMWz3{+~1Vqv`WZobO(rzBqeZaWS)}(B?dc)?2H16)aM^<)558
z{Lr}ma%}TS?tb01l3iE(+&w33e5!jR(Drc8Rr}k^8g~h-aQkq-=v2j~ZyS=C@8{<v
z)cya>zD<UwjsZ29xTdx>9b;o)SRu;5z{|kE5RzZuo1apeld4yglDIS?y7=}nQ9jZB
zoZKfRyE@f5m%rO!{Bp_Uz7FmDc`ACYU42RSe7rg*$CWuGxaP4W8Qx%Zy*~N)zn*`s
z|N8zdwEMD_ox#&lDKqX@!pvsd=hpXkzGHkfZHq@U`;zeWW-)cf3mp~LFH%1FcaFFE
zm9zhzdzX|P{3}-OG(GL}3(v`w*Gsi-Tu@%VE;XM2#}uwVEViF+PT6JpT}uAuJJX$s
z_3ByQ_WNIKf7Gk)&im}rHdD5fYE~CQ?gZ@6pL+dF>b;Pk^L%yMwRf$0T^U>0Fxg1b
za-9-WG^efEwl@vh%lBq=e>s0!e^&aE(6wK!DkmI#-mvW9t-1{HuX$XnSOcu;HX4Vl
zExx(W;`PL|<x&0z_p(?p&wtBX9=Mx5?Hyy;x2`KzYxA~F@4LDEg+utqmud!52GjZ9
zKMH;Q_21K%GTh}2VT|+houU?9wa72=_`B)W8dK}7(>1g1GbRXL|IYm8{|&$6JR3@K
zjjw5$PmbEXmam3wkJpc%YHL*E7R+D9qPi?UFzL1CUCsYDoFD)HU=aF$WBb!un>{&k
zOKftORfX$!bEb(d4XqXVUY=T9zy45jlyAGd`Gv-XkL=$vPc1W&N~lWw%n;qW`Oa;@
zSqpRBypP6i*cia1wKl;c)yG$R|Fu(vKa}&1p3PXjL${x+=VGC?%>BN1%}$K=?vlLr
z(fJNH7(c5DOzB+PV(`=MP@iU5V-jcmcDY2`qgRuaUuh+Gue&94sLtnu^puN*skXPQ
z_;>5apGn^uch6?^b*mY2$v;GDj6Z}+ADFS;=WpSc{u_O3UFMyudw!IKpW*P4`ariD
zA5r@aESsk)s+jzn%<tr{@ln>-M3vtxjm@uQag5dqb>A{y{qt9pj+`!1W!`%9t6vKb
z`^58kS7NGC&p-1re;KZk{NPg64AX<#f8OZd%I_m8e!YS#>|n4Qo0;f?)$aKYZ66y>
znioEr=QxM!#+|ro7u|NYje1*JcX~$~_+L7(IVLIix~PL}&+J=z#}xDLo%vzzu&3kn
z?bmz>`O<7QU*<&YZ!ltAljr~M%cercDc%l|$8UX0Q+L+h_TBV@uH&@rVOw^-cAB@-
z{C2$2(X-))F8P}NpE%1^KVaFGO2H_nUFDk@1VrWq-dp<Nhl9uIvX?e;X(3;uv-QP3
zMeJ=fN{zXDy!(otxwm(!xKy$Fmx#SgaWih*IG}90D(~|L8Cj8#89P_&-k%u|wKVBS
z-2siHdlQa)R@ds(-PW>H;LiQeLRx8w?pjV(E|<HW9TLxVXRwzz-Kp@e$+6I#;Y9QE
z2**q31e;&5-DXWVrqHPtW0l6KH#e4HPXW*2yKUMJr?qTU=B#kgkvQJHOk@4pV^<j_
z`KdnU{{2ku+rtLkO93|p6T&7J%56T*ag?bx({tkA?}}AL&!U*+A|_dVv}1jLcW?OL
zH~aZ69<JK&y+CYZAD{2qkX0cc<csp}X4t+wQ|0q<r$WsK<E^SQC#4hza{g9r-|x^~
z<*Ix5_sPq5q9ryyzj(DM^=3if;g<IMDN{K939_6%DzwMwkKT8)opx4+3oflVtFrY{
z!^wypoqW7om#k*9+pErVcm}&lWr~nn$G6kgDqMz9{1<w>ma?p8+qpd{XXm>^>s>1B
zyu=#b3+?KT>@awLEQ|Nq?iL{*;Yaa0VGDlk-}5|J>rZ~(VgHU<DF+M`a$g%4OigiM
zu2{T=`KkW4Cibb<H5Nbmm-g~e&zZ6&0p35sE^eWx+1}+{yA-Zg)tXQkH@R)u%c)-l
zXR5qA%e_xV^v~Tn>$QKpd4KVv!mm#yr<OmzCmR3#?Mr$73x$^!`u*9-^}j=oq3ghU
zzqD!%nHa<VXAkVPOP+2{um83~<<N4o-APw|X1`PU#WyYb&bFPx_qkH^%(r?^Tej+w
zn6tCF{}!2l9JK-$ZbVFHGpk$hEL2o}al5H~Pgoj{<)0VRN_9TleO~t=x#Y<PhL{xL
zuKUg6>yG=(sV;Lker57B>6eUN{7z=hKTWOnNgPyr*Ab(!qS-j@+kEyHkGITE@lo|j
zYP5HaU|IL0@nm2>m)7i>6FD^niyp==Tx@Zz)}l||#&v#&pv?nwgI1;1RV+P@8ksdb
zGHyL=Yu(fzeUPw~*`Uawwkz^W;p|g4e7G+?FwyC6H?m~jWpL}!=IK*@P5hRwAlIkA
zw}EeFgVzGT>Ff%8?jQDX@<d%TY3vZRbKfs(tf2i?uyj@5Wqx+q`G*9a9^^kWaWO0V
zZJ{k^Q<Y2Znyput>^)cZFYTv!OG5t)@w4hLnj?Sw7D@Vb;)L_Ft4rVLJzZL(U)Y~^
z^n2X5;x7x1w)ECF+kN@T`(={;Vr?Z63D@5q-_;mC-#ni1J#@aqqXYaO?NKYdlQTEy
zFt{-?Smv=Y@S{|CDXC?7#XWn&C&--Kx~$T3+ZwSyjc%J~r>w3{FHbvLmZKZ%;_^&+
zRlwafxeTp(A~(*e-*}gEoA2z7&B^8Kdf)DG%wD_oS`?Hpy=J>v%h>kQk4__H>8Jm{
zw;wO;FX(?fai-u|yUZ&Y-)0_r8UOEJ|Nj5e|G(dzw*TMv{r{K8?_d4H{@>;Le_!qE
z!ax51cm4mz_4WVv|Np$dRz29M{`wdB|3CDi|K#8Q`+EKVx7X|czTf{Z{{QXk`~NPl
z|FyjT)V=k8j<5fJe*OQa_djT!vdatm`uBTi?&_#*nXxx{<m<w|{a*FS?7sQxth-zG
zRHojRk1{)4ZMe2HwYcU_;Z62X-QFA9HmTNqu8dr~YTDMRrn+6`)%#txO4of#ytzB{
zW^8T3zg6e{eGQDfS$#D(%QyGO+uy1Cv#)NEy!Ug<nlpd0udI1|ng7(i%&W^Pi}&1K
z@kTg0_4cd%Vb`vNn65tZ-pAB3c;?Mz>k`-g`xhVZE$?Q2P{nbUsQXTP^0T%t+?yRB
zdhd7bO?TI~huGgw{d;XjU2@sOBfb1*)6|6itkc_J^f^D*SF|qsRo>t4^}n}%tN(L7
z{-gBm4X=upevl5%-+SlN^?K*Yj|A$jFX1_Ad+%D<pTM~{%x;@(F223`eM<8m3$Z7=
z>vewHlr`M?w=~mtvq;@<*PH1Z4^LV9N4U7>HGgQ>j}1#2-~ZkDrh5`|MEKkMH++xV
z4WrM$_qDYT3){A8%}V~CwZcWFc0ZQ9IsB&a>@GV~ch&NO%}0%j*A%mh8SD!?`)Ye`
zj(6@W@A)%lWnDayaWi@L-7OPec0H^AZ?9eV_qhEg+ker;K{wda|F76q|L54Bz~A5N
z|8&)BX;(jgzW@L0^)s%$GmoEQs<%G#)}q|YX=k&1y5HEJ4c_7Xis^g(=hU0&ORKo_
zGZa2dViWqFvEaijHmUp*3smGL>^kHebiKmm6{Fnbst)xfat}3EF8|nb#kj)nWo}S~
z_bVpZdhZe!>B~3gW-46hWD|OuvEV`@o7CHSZ&uZdv#*F&P2A<?AH;v5`HFLi`d5y-
z9=5&fmdtY$ch~>F^Vq-Sb8o`^(yzZ}VxO~FZ~gLuCN?JZOohTOHm>EF3kutKydEj6
z)Rs{y?ftw&?t#I|b_=Ihf_;;!q>g*oHb0({cka#RC3*#YuQ;mH;+Hob;Fa>Yv!wBm
zu2jYywdP4T{9kd2d&E9gTFJh{<&~n|<f<0!CG(Dj2AzL!D?i{{V3_{@Xzi<ENw1E(
zI%Tx9%KtCE86LTCQRZu|;?mXY&Rz?gQ8Z1}g2k=&kA7-=ai2(^dL#><TBJ&!TBL}d
zN~Fm-H4~3T@74D>FZ6G6UR>U!?6982y<;QiM7J3e9(W`NH7Pfrlygta$@3`S$oX1Q
zeX(Nh+!VnXlT#+nn4J<hV|vQPhzS=II%7HcT7Po#^&aKyW4J6Nqqt1nLg|c0!4gB`
zgA)&~nX!1=uBpY7mTb^CsAyny?X7B`$T8JOmSgIXDr#zxBFj`FO}?p`cqDl?IVWZ|
zIVV>(DIe%!aqoD@*~g(QER)&eQIKMA?6Jlfrr*LcYKEUmsxRsk*qu}=P&}!$(emV?
z4O%A`ZB$$6DAX<_!__V<Bjqk6!`P>4;q=D0z{O(b1CPfgP0G#1^7YZ*mhU;NBQiHw
zrFHuIqL>Faci*4-_vZQF7hmPF1uD*6SNPauuCeD4uhjaRZZlj@SId}(*G<d*l3LkN
zr^A17{!>A=jW)fFI_DD@PI52)!+X=d)$m@QO`EIo9_xGqZU1`;7w4I4>`~<}x_IK<
z0kfb-nzub#``&z$nj0y%fcvy_N$SqXgPUx6=johZaJ>3!W}WM2`QY5(8<Wrf-rRH`
zFFfGJOD-wvF4n|XH{NkE-Hvfg?AFd$^Jhok&Eu8}udP|(t2#TW>%g%Yi#FIT%UDw^
zB7Nr845bZL%QWV6YDz8t#8`KK%Tj~W^9ARNa5f57)qE<wIo)#MtKV4@_2g_deSTl?
zXPsB`!S|+otx2n<yir7gR=eu4f`~*5;hC2A?LP#!it}8pIC+6#y@b>wQ)lIpgd{U=
z@wQp6+&5*nhEA<t`Rk#+X3v8S2Ryi@Ki@vjOZG%n&NR)C)vws%xjsv18O&d^t8W(1
z%)?B=7CIZ}ALe48aY9ARAS6-5+4Px_$pL}3Hi=UgI1Wq9I+oEkYs$Cg^{4g~WPBF8
zx;9%oddsT6(p9w|o^P_Ba_IfrbY)xnAHg@DA3fuf{_KqL|D%FTGi9T;{BHg=+n{ts
zWa#CzP)!--(#Fa1SMHY<eG%DJ=fTvNXEI@jQ~1(?sccf$GXx%3Nv=KO7ZiTO@m1PW
z=U0qjldC$+m&h%=+&*QVTYAv>1>P&;^7;?;Uix+VZQzdZTY_Q!iD8-P(Fz9B*@Ql4
zEHIeQCe@rFuz>w0SLg2(Z4tQ%yN)>r@qg&J;_RdHT{_Wf<#NO3E5<W^K9oN_Ye$uK
zaYfY93+J6#ehDwJ*?pFQUr-`q)Bjh?&aOHu_C9#m&Evm6@|}OBsk5~4fRL1j&a%cs
zN>Uj*OB@?mQ?>~G^@v@lzcTxa;#Y>fp0-B}g8DZ!UAY|L_exSn`|9bpfiwJHF-`We
zKJ-d+PhCF?Q+|fR$7yU_Z(r1A*eu)5abarDwVs{dHDVvit(?7b>J{b^rPuryCtgvu
zQGV_Dm~&<NhcA17Xsp~epMUnp%WrFEag?ZgRF=(_lT*6z`LF#HQ!TZf+^<?I_J?gV
zxqjx<w1^LZoCe`O%wn})DkZxdzdfopyvI}gaBbe@O=hj$a!Lii_TC8HId4h9>so%H
z9g_J;vXgc^ygB)hFaO0IPZb&Ssy#Zk&*M;f^KpX7uUd!6JbB0YdtUyVC3UC5ej3}=
zkbePzH%)%Wf6?il>l3+CX78z_l}oN}jtnrn{xVj~dYNTRpPlOMt?NveF56juVSCE%
zHF14)SIVZ^r)*#Shw<;qw_5cY#~U`?u>4Y-8eIHIXw?A)r>PP?E4Z8={ryy&`t$r$
zO&-<K#>6FhhI6inx=q;SRvpCu!KQBE=~s$z6RKLKfAn5<GVrxq;GZ+Al$pdIJ1?EL
z<in|Umbj`{lXpB&<a%!F@_0@=i^Xe2#d8%NE!uLEj!E;Md{9~=GIQsto<-vC#pf^3
zI-_U$YTDv&yP3{)8MU#-)koAHZabt|qWO4-L-;iBlH{FE<<qixQYss6p8L>K*l8Z~
zTJ&B~#A4a-%7*ki*A%S^qTOGdcxYl(XwiI*CvWm08NS(5nJ%yX7WUF;8n;gR&LdpY
zwAZBUJf@{rvw7ab4Utz1B9a-~nRT8Nbhyg&8FU}c)OlFI=B*i1e)~YrQ%@N&3D>V#
za?1^7K4l7y&@-I+l<RWDI>Wh78Pf{RPL?>E*lH+qP2%)JhQ}5%NjB}uJ`W0{ge~VB
z^kAF4(Pr{trtd2xZTf?694TtF)tP?dbWv-q&h;DqXD5A)NiuF1-Bu8htliF>^SGen
ztxVsB=EIq9o)oa{w$nM6r>nQ?<o5r6AI+|*oDrM;rrY>;px5QJsiC^FA02473+rqC
zD0^+=E3>V>8_nmbiX7a=R9^S|?lzg-e{WBlo%{Zuo?P%>-;>WQLWJ^dvzw=~XrI5g
zF{iIJ?iyS7oa*2<Q|<Muw$2Qwf8IBf_1n4GGtI@P=S*Z}@}85jg&`>7wIb7N8<(WL
z?HV~B1bTbrgc8hUw;TzQ($HDlc&tc@CBm^;fICAVQIz*mgl{v?wFw8#WM9uvD46?3
z*m}C{2Z6?RIi-&$oJHiSJB|tSbAFh@cWcd=%mlG#CI+vIq-LaA@;cpF=-9H`#N)9R
zuhEWpu2Y+npYrgs^iBEZDYm5GnlsC}%8nzI{DC_jC`yUjsvJ<Y%II8d5@6xR-22#s
zqo9dR(eIMNAx)_zg|pZsb;{nUU12s3)0-SUV`EY48)56PsyY|p#)EQ7hDV%5+NwH^
z8Srx&+}XK*nz~SJ#)1poY*KqO1s3S@I(=E}*z(WBBbkBMNa9D}N82`?6Lm%jZl^iq
zg(O}&KFcWkqS!b+b?FM5?d!wsQo=sUrR+C*?97z!ea@`<`kgl`-A}ffaI@^P-u{D2
zp|wm->BE(s3j-B|qUYZ3XHD8<$A9t08%0Jl%k7n)T^32-m1<pQa^ZzjGsE0Wfknc+
zXSC+CWtJ>(WP9tG(D%e`Q{yv>JcZv!&M}_0bxD}nKI6+FXOU?Y9mkURIX86h-BR&3
z35alK?%is_v7wDkt$ShP$)t=4$GoIcHY^gEl79S@lN84qy|<HfJRWXn=aBg%(3vZ@
zsNjI}nNz2oncggJo@U|7+&bChg2elpIaAr6Re9zMKC<9dl3DC1<(@g=prFu<jhm*k
zrET^8)+wu<Y~zy9((b|YU7$5lZjs>)XC|K|&C_o9GPlN>T)5%c%wV07aP5#uN5KTP
zOrHggY{_%^_ij@7y>gzU?&TVfgk9`27h4`~XGs$R1zn__iox;bGy9(Xns8vx_oVJi
zr@Fere6v?&{hxNIMqhZwt5l)jJwAz>rf2$m+Qi_zSLcX_ez(D^y^r`MbWYz}Eg@^4
z_}b1sx3BEumGymF9DfG|<=IE&_VLMGV$+{<emBp_d%Tk0<K8@T4n6&U@1{lD>dxMO
zsVua8-Ql^9t54UbS=-%P^(TGX=Evy{4~=gJo?GtgdSXenor<B-JjSQ?=jJ|F<Px@Z
zNp5Oqk$99D)KNGuSE=Xg1e0SG9&C%}@-NzS<lEN|-@EsJPJ4Rj_|CHzpDNquHn015
z$NGC_esRfSN9mIP8fCXH{*>8a$+mG1uiMl)k~{gkW+yLe-*RwG|Ev04f&N|g&UtzN
z4!)UmS1kHgf}iKpo#$uHPHt=8a?obgxj*g~&Kk~tn!91bs-2(MH=o}rcZu!t<G*qC
zi>i*k_q(=j-B#DzKQce|@~qy_oU6slvg`=+3TDloZvu^Sa!Q3p`xcxO>^%A9pQzeJ
zhh!yQCZ7e49Uo0P4EoqIdzLz~B|m!Y%)BrE-k0`O(<~m=@XU7()eYX^`HJbdXY3=1
zmD)0@rM=26aex1Qx9};cER`-k(SGdnAsGP)C+5zBCIJ#I%)O20#65}*oC(t1JW*Cl
z+txR0R_J!WhYQ*{WIFVxz4qjauJuUh=J(9`_Qoq|f4jz;&jP)B<%AOY+gl>uDhifW
zdPv>2RcX#mU26NU*f+L6U&-wE&ST$xxbYiihc9}+Nu{;gPNm>_yUM$Yved9Sa!J$X
z$R%ByBbOvLS57Etd%H`;BgIUc4-<IaO+Tu)%|6`B^yJ%IFR>l2C(|O`PjZ=a++1?t
zx@P19DOK;7r75kaKXxkq{wpv1Q&oy1W=Z3b8$V<x$^=;W<}WO4V`DsbN#Tg4l#7Kw
z(`9e*426PLHX;7Z1s^)tr1~=j9%%A9*(`Q!dH&H@=l|Z-J3qSU|KGdX^5dLsANH<3
zX{U1GUb~9iQR6Fr!Z)Q=HwDaiswib_t8##cpVRQJvy#u}2`0;`JlKLC%`AAQ9m#)x
z_5a%N&~CH+H{z%7J^BBU_HvG#zehq<wjB{^J!|Nid|CbL^oMgUE!*bn>mB@m@BP4C
z2Wo?+S2(=l;`fYweDS^1^x8?+TXQe<_9ss|aLhkx(viRYNs}bE7EV9bT%#7Ees%ln
zkMBJcKD4k2`DZTp(8DIR{qDA#@*iW1Z>QBC{eGqQ)<3uR3vZkKKD|%9aQaKD?YT<#
zw~6ODe06xB%BvE0(cz&fugSd~xs%hjhu_`ix%u$%yepU9yb6pldhQlu^m+1avzhs~
zqs<poDO`9bE99|dd7~4%R7Oe7@lN-dcjJm~FFV60za#K=`dOKh{@F&>JLStWZ3=HM
z`;`0o30nw1%emdX>)3_bGZz%Jut~Y!eZ1^!UjEM9%W3W3@2uEr`}gj)%*~T`7fwHB
zygk?I{<h>ihpz|LC}$`XHnDN3XD%o_l^dN<cKar;;fDJjo!&y{?`~sFQ`dhq>6rKS
zT*rO6%kMV4YCIq*<uPYz;~`6_44I<aVz(|I&GpFWpDn$$_RhuEJC+n!?^`H&F7NiC
zn%jCi7_Tx<4!P*?NQl?xksEWbcHV8JYQJ5IZfWi2k0%|8-kv-0=E>e2-iz-ZKUcT7
z=JvW>APo~PIXtrBJ(HKYpzv~T^sP7Bnx&I&z4=fTV|00%wbtb{_3+1fy?lAMFa6wh
zw}^QaJJb101;b-=YhN`Uijd0aDSm#k=Vq?8`H`NR52x->xp*-*`q(^~!s%}6+jD2u
z+&;FO@hWp8r^$pF9?Y$tCKqP>@hfag(ZAb2*XMNHPV3_$oqC3@3$uML?s$?C)w=rI
zlyZ&O1g(|cKFX!77niIvJZu?tDJ^RK+{X&J%Sta=@?A=c5`Q%5(9azyv!0$&*fb&Y
zY{@PQewMV02bR1s37Fx`+`BXH_M3-Q54W9_TVI@a<y(Vnd740G|BahFydSsR-gd}v
z_H(uni;T~i3L6{PxVSSHY&?-W`|Or^J99VBye4U$H9K=|$?Y`bwY7!QcV_I$)lP}}
zd$)mWW|+8?N6FGgCv&Ncl5&u|dfx4vWu~WlZ>2>ozq{?G&ugEUOBS!Ut(}nhwSZYG
zCCWBa;bJ!%*WSzp7mtH2*bTD45^O=gH<$5UxeYU~d8e06Y4usXUOGVW@+)`d&T}RK
z5)RD0jUcm24}#1NzPloKS*hW>pcyjdnR`lahn?8Mwv$oRXzf9h2@+1stqV;qNIcti
zHZAJ-ofWyuOfQ1;On<D`%2_zw?YIA&zhXvfALco<`ed{4s<>TpNEG2USq65AD99zc
z#f!JiymobmcVg6?R58E2+gvll{MBEcU~b(0Q~iyV!y{haGhI{Iq}JEHK9X#_HaqW%
z+2yF$Zn?&5uNHbHrp8=)@d)JOy(J(Y>t`fPlQs#s@mr7c%%)QyKd%GZBm=VPZpUts
zX~$xvrr-S-c4EuCT@%HO)~cHroUWGAC@J%AbXs=xY57sfNqhIi78a>hm+t;Kaka;t
zF3q%1;ovh<FQ44P6~1lVxxFh6wXT(3>0P2;+8VcH-NnNve@;ES<(<WG$D2Ocjm0uk
z&Te^kESBr@W4+$j=Pm}d`mCON`Di6*JVs3roU>=VJPmSJn`O9Zs`1*LAn)vwEZ5ZO
z+ifz<VzG~?(b`yWU@`NmT)XU$D9dYdZCCE*<&Rv=v#Ry@y1a#$A5S_YwLSM+$)2}z
z+YkNRag29Gfz!HWjYqVkW^{J5Nqq(-h1IjAx1QZHZFk^ppVjeqmKs>UGwPgEeTQr2
zwIcHcPRq9TunBo*F4)k*Ce;mAJ{_byZ3jqs`kkc)w(l;zcn%6y-6Bx1a%U!Ns+ZDO
zv!L;q<Mv#$%dg&n!vAC5m7B+R9gTf<q<^=`uic<@%6_MTYv#2&DUUac8+Wd{=#boh
z+iZ3wZz(8>wLo#Ow!=H^r^s}ZwjChlyFtnNnwylzn<b5h_@pv&z{%PUl=Mu`gOZW+
zBfZwIh0~7(Y|m}=$({{Lqqn@6J9SM0Zul_w`hpc604WRxCB3WG?}BECl+ScIH&>l|
z<~0+LPfgp{gcfHe7^O&M^nf$fK~RWGJqLMUdExX!TX&qEBlfOPJ0(gP?DhgF51z%1
zkvA_mEZ#mj^QQL!S@p}C!W;G(x-P2s39NXU5>@u={=<~0)|>SkCM$}n{dZiFr?+HD
zp4g%#dCT;lXJpRKd|P4_d)p_wb8=Yb>`dKmZ?4skCmoA@?sKKpXZ8HcM=KL{^|OK6
z#|ABIQlIaD^6k9hzRcN~vSpxPTDW6Lfr4J<opMk<d$tRd&n!(Q%<yGyjWoG1<0UAc
z@q;vF?*~QMx1*}Xm7U&F{CBsB8m*NCryMI@m1CD2618|umhH&heE7}8+h*41uI&iC
z-MCFzPcx_ATPk+0q>K2mTPfxX4kgTXVeafS37Fx)-1{*PRG!?C%{RMz>kTM?Vhg7q
zTDIe~&puGDyq26EI+Hyu@x_`MpB<9qc+WI-u}N{?D}A(a<~0dW+~$^m+~2k%W!;TJ
z&*U|?w>d4_dVzPvgiPH<jYpECX7J2plkx_ow(KdORIwJEDt7HyvcY=a!ebfW7@i4=
z;V3`m$+s>#B<k^+gn^4h19O+znQuG2g{mJ<I@Gpf$;SJ+(TbO&-m-<9*mCWHLy|79
zPm&*V@7MQY@tJQ6q~FvOAMe|yd+zEDzHMs_T@#~yE=oL!*~qP&dwBPhymQq-({H%E
zQma%c?bY?`exo4$V#|rXjj?`P$~xZmX>wI3bsb|^?%Mj%^kU}{gFS7NcAZ@95u0eS
zGP|cBDy97z<8s%s6xqT>TbW;H_ypdN3_f$~d}d%`NN<UfCeNzCC3%|?g2E-7U!|=o
zib`R>qPX0(%w}!DqOFHt7MxjJpt3AOrZg%<!u#<Y4=(3So0Z-^3Z;{MChj_>vfQ=o
z;HAr*XV-;?S?O*%J@u)f>%)i~ZR6iHA$yJ=ib~xi?3uXIsP&5KvPruRnFL**@ovir
zz2IGz8*hf5S~){$<CV;bv5RxMD^0|#b+y(6q*+W95z{dCj7<_-seMMRwAb?ewR+||
zuWqK_yJEY4RVA19-+%{qc7NT^pw+4wlfL2rZ=2Vg)D?$x+p_MYWi(j-WAmKz-MN*!
zZbjime&(1@hXtnpH8><{@AG(-d|JU-?%PWWuJg0LtNeZb--<G8XVx-<kR)|y(K5r3
z<n8t;oxkf=d^o^=w(BTAv(2Z&0{wpt4hh@)JYFE5wqdQvHQUBhJ;!Y8Gz{LAtNxwd
zt|_Da_O6Yn#kqh^VV+QnlL5WKJiG<B?kjA5_QkpNZ{3Q*1N_W6Uk?kk|1mg}Xz%m5
zLq4tG3isIzo5$@;wtN1jyFb2I{wL&tgtKa&@s%f)JXbA#_L-+lzW;mSAv=2$%l-0f
z77yAL`F<s~IM>ZMmS}(G!3pcH_D9Yfnx(UDe#+UO)}Ml#uFIGG-q7R!x<2E=o?q>&
zxPE&*xt4qS?b}V<`<HeesN|n$@m!HB-PYytnf%xFy90eT-T!X?)>*Cjqhe?9`-%xO
zIcuUb=Pa1GcW2qGbr<fXSU+}t_U3D7hSlNiQ;%6n-Ta-f`z6=gzZ(|YsT3Y*7diL)
z+tR`r_fFm0Wc9?E>2r<8Bc{Fj)!MHY<ZB!IUOJ&y{{8oa19tL8%J<vP)I4%lD_=A*
zcJaNBijBMNQZ6yd2UWaqmg3Jy5uf(G#^b>@e$SXC{9Uod_dew=Eu8FDW?FH$on_tc
z2}exjgLb@fmI}{EnO-pcMcK`*cT(ji?Rc{~Ah9`LyVv_mSlQjuGwm#CKPMa!s){f3
zGy}QTt*CD6=IcfLf)URYg}7~868qXS&Zst@uA5!4g`e}xcg4*rs@6)sbsx9xTWcbA
z@x-?yN%BGwkDS$b<bxvI+%u|^EqmYC>}y(g;oM{GT92fu{4+~8^JlJEIC1Xr>%S%(
zu#%q?@!mOY!jB2Zy2@8<K2~;2?NiOB+Ko4zRkl@h917#Vc*9NTHvetgBWpx$kE{{4
zJ+em7)+O20^UcyV>)SbcJ_&SAlv`wQ$l0WA@{zcsZIvAd0{ACNJW}LpwslDs@O;CX
zv!I=W=Yv4!Ke<I0&O4j@ns6j;_pyqO0}=caC7vsCJ+^g8X7YTKn!Tu<!{?Jg=SjIm
z21lJumQ6Ymw{clz$AJ?5i4mTCxz#&oy}ar6_+0)g&(&h}Xa7atUGr*v*_HWc?z8Ku
zsoDNt^3T(^^6s18Kh!@~MTMKKU$a6qVru^>RiV|kE{VPE8Eek2H$D6RZR!4usZ$R<
z{Bv8<d*y*kf4Vt1XB}GcM|m%&&V}iRg=#I<T$tT?CPnI}Ioq_L)}uV8(<9s1B2HWo
z2$qmaG;+Rt<M;(0x5;-W)=y8>=i~meq~M|N3}Fi$gL$uB@0wc1^;jY+O=8n4tE^?|
zeB33^Hyo*a#j@r&*X$K?YYz+MORl;wFLSD!bsJm7nJY3$;?Bx*k}{;4C1xF5@@KZe
z>&%PJpMF2N-}3Uno8*U8&*RG@kALEyc3g{TYWjTH{>wkNb6;Ed=JAH$9UiZkrhoF6
zD=aB)UD9}<K+2<MLE|A0sSKV4jt!kDThh+Dyh{7&@ha_+`d0?$&+GHFt~vWC{}o&|
zxk}2|!?t<m(yE=dT<)H+3CWr65w@*gO$;oJc~!1mIuMhWv7m4o8{@eN7roXfl(tS?
zvToztE6h2{Uj?E)Z4ZeB`6nB%Oy8jR|H!jFao^R>q{=C6n6B{jX70C&j+tHF-}2>G
z&OWsH&u!N3(njl_%WEyGxU4f2Hq2!cx}C9LLo1uq>`Z|KRmrtSgoDB>TwY1>d)gjg
z4&pDIe?|F@@>dhS$yIFD-d~HVMa(AcI?#5hZ$lN=@l1t+7B->X84C(J*reDq1s*U+
zu03KE6dvLIDoxc7G7Rs#Bu}q)$-HBpLFXU1{PT3G-22XVMy>ZT|G%>h&OezyGX&(u
zbJZP^&Og<6UYmG_^=sb0&b?oY?#?zSe>PugD*Mx$=85l4*iYWdm!iLq|B>}M`_Qke
z+BsrQ+J~CD1ywwBW;$>Cb!PY6c8xio1&pUxdo;Y36IxXKXTnqYxwj5FU#fVzQnz{f
zN9M`ZC0Wz|H1oWEy2w0nUWfmJo$d=BRoF}|nOD$t_#=1t-DDrb-6^}T9nC*9Cv;P6
znEvqz6=|<+(nOj+uU}D6VEL>@!n%D^{-HU(E11gdH9}SMgjZRf`&f6<?v+j}_Y%E=
z$yZeQChj`q7<662=M|&XvCGTW$UTyL+5f@D?#XBW6l*E_&7b^Jtf$p)i|ScYaJHRg
zUDd0}5l<DlUfa4PuWo1A@mf()uDatTE5GOCP3<WKw*PNzwb{7%Mae(gP}5fb^w-lC
zpAC-qquI>5?5X{nr3GA*BlQegp9%#>t~2O*Ds_412UWM?h(w-t(_=*z2Q2v7CC)$O
z5Vn|e%!O~Zs#5dY%?~Yg3?{z}{XMlqP)Gd5k)qCXI^i!474@!*`60B~VvWJ<!&1c-
zG6{O^&SxGMwEUFuOJ;00j_`Q6>o!x`nzF|m9LiUPf3|4-rz0+Lps2G^CtTu4QE}&k
zL(^XzOl+MebM3{^hYWiyWft+bE0;VekgBzu<7m$|d!tp$VJ7F9aa)tWepQzduQ*)P
zxm_nb<M_My#kS)6ju`N1^E}_+#?HrH@r|?D+2(mci@1#6W7T%!im#r3b@$wv-mo^t
z-hTN8y?X0?Q@GaDFW;BdG|SYsowcm!#u^>VH5+ChmQuHnd7yh)uk$ER=#3Kry}mrW
z8)hz4+-6{MD6DPS#@>aJIp2egOKv~i9}(fjdTamdw_er-_kUF1>bpJf&NZREppxaV
zRPBrds#XRe3zeNstqe^Z(%afz9J;^}D>=)}ye;j*+y!5SOSoz!wJ!9(IA!De(5g`4
zbHoF-cGbM1h=*eBrg_B{2V@^TS$kaQ@60f5o`e<09NO3<j$9CEmXvzPC|oQRE;;L<
zsr}aY8|f<!*w%;NI{0hOVJ3YGosW|abFH^n^Klku%p*Hz(RBtPj}@JnZIV_*?lCes
zB;2;_<CKMxHr3B}Z?d0${m+l-+w8CWyR<E})c0+Cme&6D8UK=3<$iuOUpsrlv*7g$
zeOHGa+i)aZpwx8DSLq^Coxdi(HKXSqy!)4H($j6xo*RD6U8fY8xBIG}!`juikKEpK
zCjZ3M4d>EV-2Yp&UorgfFaOQvQ+gJzO3l4J?W}9%Q+`dE-zL@UM?-IKGb>G!-q?7c
zFo}8M?F8$MjYl|>m}lNjXy5JVtGC4Y9h;@;_JeUr%#D|iu$3ylRWzGm;hGa5en~Hh
zxpndpHm&Uk{nDGSopnt;ou-*nXtpA_SXxHaOyGu3PQdjx<s)oD(w)4oZWYY9;gBf2
z(J@w<Z<q9yCr-Cs<xL1KmVTpdHsOW?$T-F%=E-Y>q_4DYd4HMz^gYf0(W^zmu0Q!F
zG$ZW$^do<E?H38V_IK&VJ@3{tWWV~ywQ<|K^&GFW?KFQM_;Ynz=I2`?%R<Dxn3TJ`
zgp|9zxE6PLNiFX7Vmu}?)u~5U%T*%0cT<1P%00V$Pj{UXxwfr{r7?7!!p1wIB56CD
zj=6<%Y`87zlvCEqF+0js^LMRd#_daW)gNB?t1ddUFv#%8wIIVoujbonRiE!t+ZlCh
z)xZ44lRx*Xsy+K3He<^F=wz>d?-_zW*SF7@_TT!@m$3gE-`I!L{CB?Hbqch+B<=pz
z+}w?;cI95~>N<68?d^YG+vT-ZMQ<^hEc34Zm;LlwapUcovBB?iu5H=2a_0g2+y?Il
zk<2kd=cOM6Ror2ier&D(|K*$VE2kgXn=<*(-IU44=B5NUu%BEez(3hnP)>FBr5$r~
zPCe+{8yt|66?<{Z(v0YfTc(~g&?;Ovap$*bkJ3y<?H9Q}UVmzzMyl!5wc6PiHycLg
z`$`9A#dgQ_o@EK`iVin(&);?HTK&pj`^0Kryv_2psI^@YDf;VYfN5TJK=-v5_iv;`
zFJ0lQeYtq5goA0oGwXHL$%`JRtb5EBs5_-p)_lgg?fV|L1%5o0b9`@(OW5q!JN&+s
zZF_80J>lh!JiCzIee*A#D2WMQ^*E*Wc<Dl^6`y3UPkd!D_4N+EkmD<N^=12CkG@~{
zcEz$4Imd06S@~Tpy))bGN!hl?aUcW2?LvAdh8$m+b9`@xOUay7?{_@!?O=OVbKG`u
z+p2S=cY;^7?ppVF-i5E%%I`$4(zLo=xOm0mg|DshRIWa>x?QMjbE4!<f&8jt2CE*I
zY<t`mls;$O`yGlKgIBFP{$_v0i{!KQM^+#EAM~x%@b|tSL6M2N*3$ofZ>s+mz3>0Q
zbDK2ZWOVo@<Ual%@J+vSo5(fO6Y2%49PK(E^M2Bl$(Z2(IHI|RvCr&;_#=<z8bLnu
z6Vs0^Wcz7!<KBeBY8%%oUOg$2W_m*1V6&rLrzGzuO`rS;{>f3zHEMfvCg>k|!S++h
zCvSp&uP5)PRD+F*?Y@cG&Z~koeKIEaC%8J7t=_m)aT~*I=_KxrD;2kKNJ}SqCx<L%
zyI~Y@|HfIJ*yzyHt8RVL((yM7U3@!z&PvzI*S3C>^Ltz${;l_Z$lWt*n4YUtu}o8`
zQW4ucFD!Rf=#*r^*DEu>75iF>nf-gdY5g9#YRlKZZvGGY_I^*_qShNt$Lck*Lvprk
zpBHrFYRd%XJ%4*9IB!{eNAOl~k(8{4WpkhJKHcBJ6Ys29q&3@VOKx23$K+IFp01^m
zm3jA{+q~W^k#loP?&?>wZoOGrmMhGk6LwYT^{gG?-<h`D-F(MATWhx2;a_TbMU$8B
zR7rIf{vHrkcYj%FYT48&e^39Jc<7Z&|AAF%?<##Nf@Vz0G0Og%GWp1jl*xy7q)a|G
zBPF<j^W-uC;mN*&$JA$EnlUryR6^LJz=;tvBPQ<DI`3!3dB)Ss^Ng>V<{59Z%rpLG
ztPvA(xHcNx6iE!+q}hA)<T9RVDsrN&qMf2MyWd?(nS5Z=k-eE~JioBanDT<tQ2C;8
zV$~w`-ptN<Jj=`q#pUi_H^~jWxoy*;MY*oNuBpDhrM%BfK1H7SUp(z*?;UQRl}k%Q
zPs`5=PYTOnj4-IXf8|WQv8B$24HK&a3ktbrN9b*s_*6(bV%>&$Po-W*$R+5Wc3zWM
z*>Y9KKbh&YvBa^`^+)vbij8Y|Q+FN^nC8usw)2p~v}~S)%7%Y2pGC@wB9htLnRA{O
zbmYqPZJ2sEGv{Fe+iIzul5vdN|3#nvwCa|~E;S35UuqUAzf>$ls?;q^s!WTP%N)PB
zX4$URlk=<9s#vN_ik_z&ntW(U%H#t_QYIhyaAbnYF7+yrU8+?kzf`N3eEh$(%$W9~
z)4=rN<YQA@{MjZ;?|gkJ=zRQav-MeRAMbg$e*7B~ds(No&{M2&qo-J>p{H2uMNhF_
zSI=n-%9C^y-OPR^M^0Aq4t2d8w(?C-a@iZRB-zZ^RI#%8wUOT<IahftH0EWos&#vN
z?BAR(mC3U>Y(5KgE|**M;fS+|T(w7oyPVLYt?e!nuQL6moNZK^50*UlC|P1|D8ANF
zPRZbcvkF^9hf_Vj;o)1(OeG&D2-MoBIOX$uE}q_=a$)j2nO43pYHDBKK2&77`|^~@
zt;-4y)>17Mw`Z^j-n*dCJKf~O16Iye!4)h*9Cz5SI{j|G!2M*y*8LoNUnmMqw>k1e
z?@47|y-3x~&Att*<%Ay1YIoW3PBHV&hY39A%$2O&A93EbOuKStk+$*TXWQC6?tBzz
z-7UAM@VqmV%-0D5)wU{!?D##C_qV4MG`y2(JznyBMqu%Tb!U2J9WF_gip>yspvLQT
zW~u*Ij?Wnrj@3w|Y*7DpFqrR2p4>UbG=_<LE6%Fw#VM74oaN3_ny4T5Ia6Un!=2u}
z6};aC8U^K)3{N?WoD)8LL8$lQyj-T9j}ruvZB-6g@OvijX-_ej_)ey?^^01Xgx@ED
z#*=bNhDV)6mQ{5eGvPO!xuU&g#zRHHbr;zW@*G|*H|e10qbH)@9+^(Q*DKjJ{pG1M
z276q>mu;M3$HF-6g2Is?DVGShW|L``6`DC!48+%OJ|d&==ICo4!;29U4k_{r-gvAi
z)NkYRaAA9f&4&pL-)&Wni1K%Cm|~Ok?a|iz&PF%f<-ChOTKT>|)-!8wNov}aH{S$0
zW91fYIOuHRSMAYYEGP76NxO^1L&Z#)FB5puF3(+D(DhEnIP2KusWStO`_}n9R;jIH
zvv6z{IG!o+P=fc;4c}&-z9ajxCo%8rzP_{Ki0-W$*E`rFq~){3SlH$)aL71N$mPN&
z(|z7vY{~6eA4_gHi|_?bz1jXucBx~7a!9P??&*K!7H#NXb;eHOy#g;&j`FKb`rOlQ
zyjA4d-EnHud(L~_%?#BmcD39+UB(}1;Sic8-?(cs8)I2OspszL(sGLm=B_$pcjL1d
zuTPcR)unIZ^r|}!d9E`0{q|0_$%P$W%?#ly=4R~vT`ae#VA`rPc9%aZ@tWveP&iPT
zrQUe=uM~e^gl}k?{O!tFY>a*v6?(m|_&M$V9V)kIL({4=cAJa2d6{ySI!bA;oa<8d
z{k5%2qHO5Q+Jxt7QY<$-noZ0CtPL-BJKR?@nfT(lVp{DhMJeW40*p6KW*LjloV#Y%
zrRXJwGj4evYx~oc<x^Hy{Zf%j-^S&!rRdDLKiL8&-kiDKp7&CP@0%n0t_DBY*gnJM
zl(UbLE6cRntIv(4SSq}lP4a@R1<f|>mP-nIAh+m4%dOtI@$wfAJSjKvcr3S7IFtFc
zr%au<N>xRNQ~9F<De;?bwzDx-T~_FgyW%&6cTIP@N69yVR=+EGZ&L2|vN7^qROmII
zUmO1Ixl+I%h1+kmwZfWAIdqzSAN0PnV58Q-i3dx6KQ`L$oMb59dPwNrf{jzmSt5=}
zJLx><6$~q2`yIRV?;ghoHrIo1GM1ShTv(`laN@z#b=%(xKRo}jaMo*!{0kO`rI}(L
z@G9zkYU7x0(OmoK7vDXF4U=E5e6yy|;-F%o%E5&NCI=T5>Ksg*#>Uylv##Ly6}#X%
z@%z$TyDOWI$=wr3(9h@C*l+G)aX|9T<~Qu0*&3JbPp{Ilet6EWz~hWpfyNo1f{ZgB
z1uQe>Jvi~errhW4`#016%rn){dB!W%UE$o&x<~N{(>;MiyYvnD>@!YFvy>Iurt)a&
z_!n^GcofVyVtFu8=mksrv0E(e4W^uZJbH!hclSvqs^5?2vaV=8R(3Bz?C~DOBVqRh
z61mei<flj+lxF!=U@LlK@jcapiXR>Y-&4G(xsb25kCU&LkF$^At+0$_%+tPIwbHKn
z`}T`eePrvMw@1-||DM1i>->%jy~a1TC+vAND>J_B<0R{(g|@F2@7TxlHc)=%g9$HY
zKM0VR_uzuWv<DmpCI=@TwA^QXMyla`Kx^k7$7H5_4ug5-EfUA2m3p4?X7=P9k}{Gw
zDEVf$H(SB(4eJeFp8r~!a(=d744>%D&&!gVoEJGa9o9(`esm#YdVqVwcFxk2g2~qT
zZ>pnzef+z0!$k9pFAt1#tBY4y=W|@_HFtS&O8U}^L()bP=jNJ!>owNjk#<Y`yv_4p
zR=MYAKfLqt)V<GA(;iHanDrn)V$uVH%jHdn*Q^w}HR)jFyFDB8Z&x1sKK)Sey#*KN
zS*LyDyDM=|Aju@Z!=TH2#?LnM6oV-si<{OJo!zpYd)J|_rN`!(Zx@zfDi@LwDi@aF
z`Yt3R^<7woQBK9e$l~x^^KY`A(|`U8+HpkMMDJ4@!}SX1j@Nq>5AoicaLo7Kgo6gp
z_MF_u@j)@z`CDm2|BQXx+C=))ELh6aEmZo{EkyiOEKK~=ESTna6{HmOTIO$B?@)8*
z`}9MG_ZDnyH)pwVTH5K&V_v~;pW96MKD6=h6}7#QuBdE2Ah~XRt8M--!|Uv?4J?D2
zoRb`yoD&n8oRb5Zln->UxU-q>_<Zc%LYvdyrysJsx1eyIIm?ct(oSEJ))`&8xA4tb
z=}RvTOB=m7E&0aw-1L|U-{hWazuPnM+aAfe4+JD8KbTN)cFnCd&JTT?oFC^lDLd?L
zu`t?kV6OQ$y%*X0_WR7)<G9$k-h-*<**d{*dM_O6#0A+twwbVfZsTbyV5^qjs+}(o
zaq#QbP0!C>VQD|Gg{A$-9G3P&OIX^E?O}0mNCl<cqUXJPFYlW4UcWVTk7KfFK8Hbz
zb^e>V*L-Vu1<gLUnW%kk<5~9S-e1w^jR*CX^KFiKByd_(t?9AU<OsPWuG7kE(sua1
z_TLnf#CBTr*ru3dt<%gtX_XzyKL2m>lnMX4zNtR`NOXv4c6PTa|8j%H`M%A2g}9~P
zght#>SbuC6TQ##!{s!|SJJ_m~d-6A!_wMBVmS(ViVO-{=-E7sY+stoh7p^)O*ZP?E
zTb4}L2J-_4*{Yp$ayOVC+QwFW_R!jc_jvM5udEK*aW7&00n;7+Q+2+I*`9ggrPIA3
z?YXDI^oMrm4oelq>u>#XCjH%EdEKr1=dQmU_>IRmk$X}s(`@MnlWyE#n0}~@ZHLLV
z!+&pTKb#aXAtz|Y{G3ZOCg*S&Sl<*$3frW4=uAqm)a6OOl0F@OPc0Aa-X9h^eS7Y#
zLpN`TFMZv4z;{;2wj*nAh);U$VH$Q!E<T+94fpDer}%ySgsZP5yuP)}bZc(Z&CB=Z
zElrl*9llxV&Y@3+8}I*nvNKWKcFm?;rpqdB@UP7-ID9-S`mx`eM3=8`j-T2eYO+2j
zD!6a=#%tHCqfFQS5WHTyVc943HJNYtUQ}i9t*Uj3GnK0-i%U0nc=vnw#WlN9Q%&Ff
zT)lxU_-N~~cQv8WbKkzb^z&5D@43EGucqF(n6UoPH@0fiZKgM*6SAB4DsD5mk-g~|
zTlM7|*Aw#D)TLgT{yg}Rt(vvZ^oDlfmV<Gv%Ag?6+i?8}JMXtPiwg<)Eq{5xE!(*A
z;60viW>>O5HQVxj<9gG#_WhdcJD=qD*d9DB#Wnp;pzoc7@g-YVobcUVEWOjZBi27?
z#&KzuXUFF)6f|G5LFu642BU+D8!Znm{9sVlZ1C<v#e@eO1?mR{ALTVEAKJ^}F11})
zM$)D?waT#Fv*gD+gUyGH>(tZyD&{?yP%-gAK*h`l7b>Pc;P_y5Q1Fp&lk%b4EbdZo
zg{pL#zx|oH&Y*eyA)dE<oP3RafBa0WKDRM+S2}m7?om7xbZ^2jqk9t$e!5|tk<@l)
zbFh`^uiD5+*Uz7xoxMHncDdS{zk7D*6x`MHjwwFH6+U;<G128R4>kq!6`s^|-w~)W
zd!}CNY`@3{kDhD%Ua>AF`~Jz2+oCM*M7I6dm+5W%W9}x&SFOBn${z>sc+bVeZ<inP
zt#IOmLlb8x6__mZkm=S;la&iL`F3;)gL<-8N3fylp_elz9h*60(!t2>f<pYU-7{m(
z9TjQ(Z0P#P$tQ4!Xs}7u--Dq{UwSkZtBy?JxSQ-HbvMb2E%yHd6Q_q0cNZH+?A{?g
z^WaU(WgaD6nq0EUU2g3&gdP;;#4Fx9ZX<Z>=oFKC$F`k%&|9ry;<rO=?xEK+ls;%J
z^Vrj)$)%svb?oyDp$CyZoF7e=xl}x2lStUFJ>$t4Q6-s@RujIRPHgSmi_iR7uX#(9
zXPb_}5m6Pk-A#uS!Y{md&BfTZ%c&!AzPaA-B?>b<E?oTjr^RpG2ktiOh0_+#PSy~Y
zc*rHB9P5}kMLVOXtd)T|R`E!L`jsN-EdJ}Cg{yWQ(wBJN64iLGZ=qAd9&Hbw0@l{f
zbqfqniZc0>wF(5sEEEdnem+5TRaDZf)i+f4UEarad!M+k%*lJa)z_AI-h3~+GS}NC
z>(QI{>LumOKUn|Ht;=ZJeopKx%P-4llgSbfxVX4u9h0|evqU`RQoLs6o0T^E%Du0D
zTKLv|;J#+*^jd1o|2uY37V1y(KP@jX{e9%&44s0dC&g2Hy;F=UC+|AI8f1PwH7MM|
z^Oe+fPul~&LHvc?SCsFleKmPEp^B}1W!{7xJ~z*rzL8tWeMh~tlYL3vHQ6h9qI!Xw
zj=6gA70jFDJZISxj^!FRV@_$_j5$BMChA+_+?-{Xm-})tA2_#6x{Ix4u8+A@YOAkb
zWb)=<z70n;+iygf%q#x<qBy<Z{^7>pf2_Cd^tm?3_;+ob>AYS1{Q;ka)^j!;@>{-U
z<7rLy8*isLztQ9~u&(}AC}aO{sqjJlh)|gX)+*84XU|w3*7QD8a*Av7oK44amdhmc
z1@jqR*9=dJyJ$0EuF-SrrkRG~BIcUbiDjiC#YziKKc7FfHfqg`m!I4UozLd{Jf-=+
z>E%)0{I+vP8)vR8nixDS;>{G%X%?xyOZ_Yl7%i7csw}*4)AN&Wp+j0<&D$yU2fH3g
zo#ENJY2O{C?ay9(axIKZ*b+QV;^`DoH>=cMLqE#{5zA$g<^=Z{EDSie&T^S`YVoR?
z!15<iSJqlS+tqYn&LOe1-ie1Qmg^W^)YR@NI3+cCZc&4#pXHH|<uZv;iVU;smKt0Z
zWl5_IEDw3rBJ%5)Nb$uUO`+K2u0sto78x2WW04REcH-&N6l^;(#iZ@n6rQ%7y%S!%
zk2>?m-ewtxPnV|9?WC?l*Jdo*sJe{hhD@+iPM4;j*|8}mW=E#*T-)6n@Zwq28L6~b
zAHfLeV3lh}L|U&Jx+aJEa2i-IYl)ByR$9}cnW@vSDXCK|z3}4Qtusu|T$-V@L1o#D
zm8#1$bh<R9xRbpaSPfN={Fxz?I8QpEL2jMEqUG8yFP?H`ekp6^`Bf8Gu9+8DqUo3T
zNuJ~8oQnDt-nufDt(r^n!bBl!-rFYbIu;PbZ_sk(Y=p{Jj%E+r-o_>K4l=BgK6AzG
z=2?%o{;!y7J!2Q~uhjmsxGXy?VagiA#!1XQ%TtOK7d+ue*0k(3oHFO&3;QdvdA&JC
zTesGq@V~m#=d7l;Ovx!O_Bor5)h(Avm><lyar-|pmu)%cqJ2tb>=Ty-|6{H0V%9nS
zCMm~g>s`Odr0KzCGiL=~yYX-eb58jw#ciVOGtOQSv+kR};e_V@Cf%cqVF`7HW;1V9
zE!Ww2RZ}~s?3C2(IYkY<ewIhLm&bJO{#rhFQ|jcEcZ*pjue__D7i1P3{Yc}P%YiNS
zS5mXScAdQ9W>x)*vv|%Y{fdA)AJS%o_xLU=JE7@qQ*w%{f6k_3$;)LPbO!T%++y(}
zXW6z3g@0OD{eFtuXzR`Pd0VOYK<rt}{OAL^Z+6xl*Yw^~a*AvHoK45Fm&-ip4d(lJ
zO*4F9>_e*yO6BkT%Vq2nrv;n6{;J=_q<4H*QqH-*e64#{wc38Swn}aF^NU=(Ihe2D
z;7a`?g3DuC7q`mgPn71)mO1Vp0ZP*wAIYsd(36yN>`>uyox($!v!Ct_zGm@s3iF(@
zQ;O#-o_ftGJr!yZF2S|!j?i=2gFU^6kNTeJ>kaKoI)3cbp&KVO({jF)efuIDX7Mz>
zVB-$uS&5Z~X338y1y9@YW{T)Ki`3rLewGJJm&-ib6};|H?<2RA<EM;{e-xf(^)$X<
z^NyTjr`A6DbbjiNzwP{|@10zyuXd~4Gd)~PIO`4j!L#)_(f6MHmf!S#_1etnkIB)S
zcb`hryz_7Ie6EtelmB}4wJ*ESf0*g7rH*04VJ>FNH5XeCGqM$191-AimpJv%q^;1R
z`C<L1?<-x*(~>7&25$}Go1LoNF6vf%Lnq5J=h_Y#vzdJD%015uq|X04@qj1K;Q30Y
z@@09OC3c;>k{jl>ea0@+Dg$?82miLV7e_B}?3JA5Cf}BJ;k*C0nO7^b{!QMSn;`F7
z``?>iXveRmf9>KmR~_4+|JMBOBbOBInir`|{U_#fMn4UxGEQ7w^Cp$a|AkiT$|8=v
zGq;4=eKl%KFPVBN#B#<xpPO3qt7jhI-sP3LO2#FBS?*N*y*>{_W0#tR@+~pv&Y8M=
zk57VqY-++P83*O)$Bgnq79R5)zuowvZhlH`mb0Bo!HhNM<!0P_;&$_K(UEqRzDZwi
zF22-%N25BR@8<;1wiCbJD8BU0n_{b^UgPmVC33#4T<wWor|A23@<JBA*TiT2s+u6}
zx^17aol3#9HTp(hzbct~-Trm%=Y#{5oAzy4x!!tb;IV7v2isZnCVfryskPmIMsM!x
zj+vTouipsTF>Ck3N2i#s?>ljitK+1mj7sTdKc&*n!%Nl`G+i<6n^4uTc!}JjTk|z-
z4}}K#KjvDQUhwn0i@8V4^0Mqr6TCxA)&B1AcqJt65&O_$W%eBPuME#UY>z|+^%t~V
zxoqM4N^;JkU5<(BS9#S6Ej&Y$joO4mOr>_ODAqlY=f$_NeUkE<#ZOGW1sAd1-v46{
zPvy;f$y@mOc6>e_6#77Kq1PSdkk;SzI``&=Za)9M#^EFrzh>kkK~-%Vy{T{4Uz;DW
z=|HfTS-PI8wvGPOxB9c=12!G-_L^4VdXj6sck1ug*UhKse3&*#_153c38J^ApZb<r
zCaV#-Kv~thWKl}%xuu(G({3qviA8vy6nd=@nOM6rXa1S3jgwf-f;Jsd^9s8Wqjh_^
zdG5lL#<f#)Hug>u-8TKyH}#d)8j%U=s@`iBrnKH&y6NxE7jj-=H@r^@{nm&~{6GKE
z;;VdfnOm}oOr54$xUAr6_byX^TVd~XQtkAjl+NTSYc{M7+2(kDaZ01-6dl8vlSG$I
zIaRjDe`!i%<`f;nxsycGK>YJdQW_(t=orqPB>D`@*PLmxME1S5Mr4wus<w{y)VCG!
zUMHEnH6oJ?Rkd}rKzxsrOxzlgNp`B*XF&4vy-%j?3EpJMt{M3-V`a|q--2FdtA)JG
zoZBaf@=ZHcwnu(J%4U_tDaQ9SBNOvaUcEW*-g1u6guIg{wyA$-aSELgU-VDY`rh{`
zE{XSh8}Clh+1NeF*DO@)_Q!qAlUTO}Z<@JXGcqyN^Yq&ZF#(s{td+!tRvl4t3XO<a
zbnD@cmH^giLaUA#IfX{VExNUEM^gZ6n9!;tT27%6v5RgcT1*UJJva5sOxc#@oS_eW
zI<wjz6grtk8Z7jhqY~1(S#_0c-E+>+2TTjSVpKv}y;WDqR!!#&eZaNQD@HY>HT#_H
z!9(dAb5FlDu>8<EpUWm`#Sz&yt&%^N+}{dRJ-vIaZ^^ea+k|8#R$Vyi{kCTF_1*E-
z?`4u#9FcC*+VeHYdfn~H#hV`gR^7bTvu$Rsuiu-cWp~O`S2&ordENQqmioN8Jud5c
zYc!AOj<W%syLm!)JYQONui`*JqcqRdiZcPN>Ty}mr!MCatvD9YDb8d1`hfnM+dZ!{
zeV6`JFaLi>Z+7svGOM!xGq+v|+kWVz{Y`VXY8|EUvls0@%SE5(+$J}1?eoy4<JT@v
zygU8Owaw-SlV4jNe&?O~dgts18^7L3wzY~r&u1n#ckOeTX#WXopBFYAzxKK1Ys<|l
zz6~E+ZdRS!{MF@V)jZXT99#cLf2-*8iDvUkw$0<tv7MP?+b?9ZZC-nQlHboenLiq~
z--udQTs>)LU|~=8)HA(Zk8^By7UZc{<k*@=`sannBtAab+tr<8yEE`&-&%EZtz8@E
z-C1EV<-x|UF-agPKd@Be*C%dA53=;HeQqS}pBJ*n^TUm*xXttKthjOh*kozZ@EcV{
ziyygyTsg=3Y4P&&e($0tT34?ttPa{4xN&aw)Kk4(t3d`8Dj!UK{qjuf9P63!MZD+d
zo-ViWeiw4@=v$3)wfT>-PR>1%U)jBOsqOZ~cQW_%Z@&@cS8RQ1?vdCXQAN)S!p}{z
zZvFm2Ec$e$-n2WMHS@RMh|06PFS6p~zhrw+@2zWB_&l67dF`$%Yu@bNV(+s&<0`-I
z>g+S$b_>ZcS?}M{ziFY&+Ow<f^Pj!-|MVmMG?4`-TKDxHW{TclvTK>db@u(LCzUqb
zylk%|{ZFlpOXmx##-@+@k2V}giZ4)-|368k`#?~9%b{cYdloXCu4O!x^GDl4XTv4&
zIcJXV*E*@R;g<NqEg#n>t~d}C-=HP^--?f0=L75VPapF=HgV*9ab1z~XYQQe9D1TJ
zUep+_?0A*)N7f?e+VA==EXzNC-0r=JW6#&4>vR9)OK4rVE1oc+x89(gwe4pU_ot8i
zzMD9BK7K7oc)b5nxBZ5X@!u}y{FpAGRdCl>UySX2FH8FY-}6sq$nM(yC*Z+0^=)?!
z>^&UNxO?6XuEXErnw%GWmVfzRQ*+rC^-phRynSRDw^Q-<)P+oU|9Kn_mDsS}cB1&P
zY<~YIHy_@*%+Fd?nRJ@>+C3i87mqvl7=-)=&Eixxo#wmpuTI0@fN*r)48D{V2Ne8c
zS{;8Kxct1;(CSjLQ%d^_340NV*OrqH=RA2Vp=EGSIDAHbjZ>3z!Xot@7u@Vl^0TT{
zCv|U{=%2XafQElepxviTPQJ#M^ByQk|Kzb3nennycW!Tum@{iy^&_w6%JU~b5Sa0r
zQ!iI}{_}RG&$b&=H%;_UU2%ZJKW1jllf%L?Os{PZrsh1U{u_|suVXg(L4EwaZQC}L
zuKl|w(L1L_EO_$4V|ttUOf4naS(g<=B$>5~rWHmctF<$qNw}rDv2!7pwB)LdjSCsC
z8JirjYIBP?eZl0Kfl2e#xGej-GtUJyX5L$OX5WTnp7ygg#}YdQWx^y*CiVu(@EOd?
zoPH#vP0J^3#W9sOHi>f=1cW7|5^bC>OB}zzbL`(mJL&BX`}O}HtTRfSG+Ez6q2Pb_
zqx`L64xq(}4|>`?=6n-q4VGI}c*&XR&4T7>7JkgF+9nrv_%t(2&k%T|#H$puz)|XX
z=7fWm&p$7^^(riP^YOK<e{Eg4zW;x-oay_&n3|^JD?Fq2Cv7-cVX1R*=3%b67Hcj}
zJj`fSVBu2D=bmx=p~=0XyORz|FY{M@XC&=y9A=Rd7B4fc;%H*)beU@vCmu5BTgW`J
zY*)5<Tp;CdIp?78GJnx`2GXlV<ccF62nKIImfOa*<LCteb4jU(Y|fV}4qV{bRU2Ba
z{zu>Rs{L*0WtOwPhMDBX23|F4oqAublI2-_wtURVs-PQFa)fTo$eDCwLQasx{G3Y?
zQ*yWrEN+S<d2G@=^dTi!N_mp6WRH)HmQc2kwvqAC87Y$^-PLBR98;YwqNX<6Cuvd+
zmx1L?ktDB8nuo4H2e8ig*l2M@3u_w{pIwtOIr63IJKyB+Md}C6x%hX;cFIk@tzLEM
z#-tY~6FzS*-L7jp@0G}|`l$(mFXkI;51p-<S+K}G!DEqoQpO_p#E3=i$rX##9qzmM
zci45#<Jc=~oB74-OUeb~mjNDMyjLAN<7_Kr%fu{XD|A`NmMd7;Rw`J?HjT^cOUsNY
zFFFkjFHSzz;^NQtcrst>p&LuG&O9+zx~OcRml-aVJV_@lcXkS=q1H*E#FR;@y^WsJ
zc-U$~zi&P{XX)AR^($B8WdFNv)4DyrdcuL#>tEN|#WbDK{M*~lx#wG`=EqCzBKLkw
za9f^lqv4P)&vo%=yHd&5g(kax2C&_=U7^+*XQy)E_T^yHW#ZQ>XCA1EpDJWq>ybE_
zKXc88g$&xY8b`F`yEfcvzZCJ<S#r(aW$)^o#3a|QN?mK4?W<nrD}1^A+|EFkpo#}`
zZn=6qco<$%D^zP!9rWV0qSRj-6^D9$&W~4w9b0zGO*&@FfAWEy>zPgJt?qJ4A7;Fg
z^A4&2?e(<%>S^;)VDq6b0=?;SLJxMfw^Te-6pX9#kXmn}(tJH$w14xRCqKla&4d&D
z)2C^tG1=~0x7#rOR8YqIcBZ>~w|Z^)Y}A?;x9sB8(@Z5FHwnz$tK+1u@4a~O^wbLn
zkDp1|arye~G_yOur!K$zrljI7KkK^6?5Q^%r*iGyduENo9-RZ0`rL)LPb=N|w8<o|
zDw55dBP{v!Gnu{5W7NB59w^nHyyJCh+MZuCESt9P^?5LDy62rQMy=BKuiQ;qmHsU|
zE3G3fzi)ZL)_-kEt*q;6Qty9!c34v;edhtgY2GraI}Zs?%a%#2Y;e}$FP!jH@Y_b4
zUc>m}J8SOkPums#$S8bo<iRtKR++D!ep6@qjKf8(J9VzlIRA-(J3=l=@wBo|Ql(UP
z<h+AL>#9Zd3h!ozse12tb+#)-Gkotc*St3@I}SV)cy1|^Xy1O>;^adfzX`hQ^MYqT
z)zndrjyum9R+D>Q`^i&Ho=3b)&6UkZ1nw;`m|~v6^O%>h?Q@%ea)omXbNt3O6Sq&M
zDF$6{Gdri|u%_`zoRwx_`@q(@agSr7O#TIl^U_Q{4|o;TKDBX7u5gx`T<Odfd}Cf%
z#L=*XWz8%1I3`H#X79bK!@r^Jsp7RwHixXHEjMg_D#_E6-XED4$<_V1GGHF3PM3NI
zYnoc1y~vG+?LxQz1|-gw&&v6Fm?8R)!I3oko((7YFW-34E_vqjw|PgL-nXTd<bQB(
z{9326@f^SCwO<R5N!jykxW(_h=F?#g?Y{=SqIDU1kMG?Jj(BkQLeGuVx{P)A?nU_~
zJx*mztBUN1j1x=jo4(ZW`e~yXzHz5?v$O4W?mDP_D2+&XY<^|N`s12!l6D@5nda@2
zw)2q4v}~W$%7#oGe#5y>1=BXz^v={dpCER9uh8k8XV3nad$y?2QfK;%BSo#1I_By|
zr+wSb6-{2a`A*6U9dk*m)5Z~pO4lDs%PTfMFnv=@f@JvKV`6;lH%>hixNRY`kiY%%
zjdKrq%6>$L<?f$VHf7JW>&1=ADVrue*L?Ggmr1&!`AFKm1sgieGjg8rGG6=KCJ<fe
z+>*QJpbY!Hgg%zrmxbDIa-EUcFxi~r%_Cl+=!$00Gz@s^<fOFIokzTad7s-%-hF7}
zdAG@SZ9&J{13v8EE1D0~mhnrmN6I~5IPLr<y|SfN$3I#BwDAq${X6IG_062HSN7)t
zepb1<*zap~n3feZEo2h^BkFDQ$+`7--HMM#_?d0K9u_$N$KX)0z0c!T`Lu$=+}S}E
zFWZ@x@A;dazaTzk#eu^5qe9F720ZMM&x-kUm|^-~gCnB$Jq1_!FYkEPE*bNG*Sqe0
zUoX`0rPS*be$y7>n6t3q@1wt&QZpWZ2)*lkg6lwE&*u9An^yjxy=(bVt0Jy;&)5e7
zE4^h@N?Vz)<efEKn$j9PWliCvNz8K=JP|mqX?e)d%P*N<HND`;>>EF`Qh&=^3nr%9
z6rK#Kp0N2x%%7C=kKTxcT@||gxO*m(scFK!>q{ADZrnb<<8sfgT9^H|KbG}gVO=+A
z*O9!Sa0{=uVM+R`+A#}LdW)yXJg`x1-{EjlP%o%RYPyDH^XVfWS8x1(Qf@)tk&ml2
zW%h4A@^SS^`TdedKCWK;?T2sweaEbe&!*>GO%v$rsOOrR&Lq6Q(^rOdnp3>Jh{U_K
zp-D~CHG94r^%lm7C9Ij=D)Bf~(5*UBYVjVO=81M`ZAT6Cg(Y6SHnDcN^?RX%b^cl*
z=RH1&8>VOSeA>joyjSN4gMRmg`=>9xc%CX*@<Z+Su9sD5^0Lb|{JAX@m>e0i#l9da
zP%G=q?``j9wnSdEn|vW`S8ssnw)$wBjJ30BPkhVrx)u?tbz5Uv(57RPCfP=Pc5u2X
z5wPgi^WVK{A-#dBt7_Hm=Qv%R5xnTu^A~H?LwZY9SJi&I8{l+RB5cvE=U2a~hxBr)
zuBttDr^M;%jKD>=o`>iug!CQ-se0n%bT#5jZsDX!e?QGX`|RwC#f=X8^Sk$J1~X`u
zd=+TalT*5Q(^<r-vct`tpYy^&XQw;m`z*s01aDPt^L)HEeKwC=jdNL_OZ+aQ&HL&+
z!qddA_1m~S+SsmP^HHF8xt!30ne8nV?-T{&Dm<k0ZB?4XOP*_dS!jJ+r!)TY)R|j9
zNbfT0z4zs(<N|SCr!Pw!TjWeU7F+Wgy?9m=ZU6uE!cV`}pS=}*uUy!c>HD1d#uMg=
z%u}DulBYgfB~E3wNSxYilQ`AcOn3avS}P{!bX|D4+a*|P?qpxdlAn4nS9sj<<T=^%
zd|hX?vGeZeO`eOYH+e2}-{iSCebdRF{*>U3zLU#1)=&Pe*~@p*H1&er#}yhj9(QKX
z^th$^?(7-Od|~%x#}2#rH@J7s6X+MV6`ZG1b*W<3i<1v#e*V26$iI|p`D7iY<wD<5
z>g7ueE-Du&TvXm@e{t~!{fmn?nqL%7@L!~UWTK0Ii*e^XlXGfSMi$dcG*tZ5LX)2@
z{M;$m$lWQ|8Qm$@I=fS@SGsc^!*yX>#dWGxN-@4)mK3U9oP6-<66b{lN-L#|f5v!y
z;fV3MHTBp>74L>*&uIeglXV2k)FUrh%t<-<;KFwKse99PzrFKZ{cAs~+qSHjZ=HX4
zyXOA<zG>}G?#KIzm!yU28h+dT=62TWrKhGzJf2nOH8t78N9d`9)Z`RPw&N<HeSwCa
z)00ztxK0@=skJ_pkej@yi0AjZJkPn4v$UeauO)pczd4=j|BY>--TO~%^9_!8&Bc@*
z<CwHnc?R41UwXfH-L+aMrM>_3zTzGG?yPyWUP#-^r1$ApL#ef^cHMbbn;j!`Lum3D
zKJ)9}E9Zvv`rf~~miP74H-6?qtB$oTDtK%6+%mOsre9<dXl%1^c~00PKg$Dm9&PF@
ztE=6AdsFPTTf0Br?s7Wabu-*rw>x^XK8L30*(8g7wckX|yS+npUx*J{bgTW0e^+?+
z{frHJLrl8A{P=e2-n59QMYr6)`gg3pwQoa0(w?Z_W{n>UFY0{~uMPjcTSi-KUR?g}
zoWJFz<+Ed^)G|iP^KWkS`)DBT9M8Ym|G)f$#BaV*%r6c8*B`sL%72oK@8`XdXXehV
zO{*>|PpdvZ@7=m}uO1b7w(Ygu|8l{ala~Vp11Cnt28aI)oiq~;K6^Y%d#5z{_uT#e
zpHKCf#2F{K(;{~ECzZCDWu7K~40fx`D%lV#<SLUg-G%e_b5EYj^^^bXFAvN*CX?B)
zlsSD{pUs(Hy*m>AI)5??D>~dc-@s5^t$d=v!|s}?3D3nJ8A*lT-j;p)&c;BFN6kU~
zb@>Ms^JYZU%y==4xBbTV>WxQrAEz{Qh~3Q-=ji(%_vWha!UktcE=T+7G?CkHm=62x
zevmjl_QS^8dM*|T;z=@FID58V4cIM^bmIL{TM6F>oV)6>9xLvu$x55@$NQ%AX~9YV
zHKl*7yxHcYkr318WN@NRq>HnxF>z7s2ZNJ(KW5~JEBS2XHavElrQbYEf49J*<-Q*(
zyYk8uUDRhQxP8mm?O<@~T32Jneg0|pv_<yCZ<(v}QC(H}t$U(GqPPvuc5b`2o2>W5
z-!i@LE>k>|uv;Lx$5)_!#+BQ80$(<64O5AFy>;zYrHfH>-_8vDvXz_X@0+Ife`N=c
zGwc>v*dE()@vf4=#qDfSetN>S*?qnOwb|=p6=tMo3M-0SP<MZre0`qe(VE={HXP8a
zh{zDH@!8DH*mkp3;P6|g7Qr$_H~!fQzpf?hb}%?_P0jOu)ttowHXGC3F5cHOc(a{b
z?%NGkdFwY$E$wBB$9!iiG<7U5YkV+sZQI5bzhBC<KIpME?s{I<_^>thL%~749~Oz?
zN^`bw8~R0txom8ixAl|2a~;V_B^KL!agMa;C>IXao-=_Q%WfGj-jN|Lp?8y&fBM^|
ze(f^F1EISGl9t7G7#!9+G9yperNr#0o`BD`t)4=2ea{4PsNFPPJR?<H!tEw2|Khhz
z{f1?V2O@S0B+ZHKFu138WJZFpQ(aGQnc@*PZ+%h4Q*qk-8?PQZD))H9RAHHoGB?E4
zlUrjIA~Mf*K3Xx=SY~q(fA*R?$CI@AHyk<Tth4nK$LTdT$BMYcyPs)kt4HK!cBGu#
zlPu2hE~<D@M9Nd1?6r3eTWNpac<&T*&ZbWSv)9@j64UN4ynW~>Yio6wU%~xL0t+)1
z-YaWdu-;U?i#_bzhfAky_iQSXzrM!iK&dwW#k;4Jzijy=vA1siySCtUamOttzFnfL
zb@1N(4)^^<SD5&Aq%{5Q+oRcM8Pc|x@9JT}_E(QLIQJHuSokS6CvR#;MDMqqPXvyC
zPTlBV`(hHO+#fd^&UxzM1$S2p`}|m|T;271-D2ZA#V6(7?Mjg^k11-nt!H^ece>2O
zChxvN<#@k!X;00&BOV|BYqmXf^3=M%VD%ZVPt8kO<DDk)cv2<HgGseLMJE~EcBRNU
z?tH?rIi{%R=Fd$#PFbB-6+Ux5KXjVTOTW46->iG1DYhe}O;YdXVWsJ3!fU6W5wD+q
zCYfRS8E3}nXOx?2BHv8(d&bYYG1zLVeY48%rSE>Oo%d!|)s8;D!ds;!ZN_>x4@XXa
z^LUOoU%@@q_8l)LDVLOn>bPyc|7@*fQq=pG0i0D&({*RnEbvy7cyeO)qV3M9FCGWj
zmK3hA`(=5B<F9O1597|zMM_U3-b~_bd-BauQT&6^c7E=;4?^F~T&DC!;+2)v;q34%
z{{Gla{pxy_2ZE=|Jeuj<_u-D}@rsH1-KyUL_vwh8G}oK?Ah&L@dUwkGKa;2FOxpc9
zI#y@W`xy81iuaRjYYI-<+3kA5FgM1P`{GSi_Z?48*&I81Cm~p-D*T;+ko&!vtGhze
z+MRhf+J=joOKrCdX<EC}^xKh@agQhc6uUCf{HR#_sST$VR^50KP@7Y-Lhzd96^ZQP
z&_c_k&CaS8b8pL>T-q6|H}k;~<@_#VzNv|Ooxg54xbTy2dftAK>H6!eu5g6QWc8fh
zx$4H1c_(zI-nb=qKJ@6;_sM)y6a4d>)x!^d-<8tT3Q8?jA#KWhR}Uw)zj{2unYZA=
z!ZwQs0m^U6R~UYKx=N{Q&bt84Wlzmgg`D}yPqI9gtqk@lUBMG9v#R|h-__$P?NW)0
zoO=x)ZGUuk<)&Mo)|<YSj<Vi%?Yikd*7x=6^=ef&E?l{6+eXIsb@{%3Hvf=PzIRLb
z|D9QCa?!g|Z`}HjeEim}KHasvxz=6mkJxLo!#UEPX^3nt5^1}6KkciyS6FM?Hv2zc
z^7q)w{8NipwRjZp^TtoLwRO9fC8=gh)o0}0U-+<n8sGi}zuzAT)@{4-ZTT^`m>+8^
zl-w`9;%2q^KbMhn-pmP)R&q+tlbBF`<G7m2j%=r{YPFP#1c#^t&cZ@>%oUGb^XED7
z;Y>ph`#!ZN7uRuW#?7Aau$OT!)4f>}9?a#`yf=Ho!)C_6Om?#-EV%rh*W=>N7M@t2
z7YkQ&)|MD2HWWX*=sd?X;lOoaVIdo%bAbnbZ+LmK;6{ra-^>fgWrf*x<Q)>Y&LbgK
zaJ7YHx{pWU%@&?;9*K|tGM(glW=wdrfKyUV+Cc9?Cnx8;SrZ<$aZ2XZO|lD`dhn6R
z#kb{;B<(oWCp(A#HTio&y<JaD<wcHDm!De7j}`tF_F^_R<_r73tMPm|*P?h&YJ$H-
zzK_R;TP-5%eNKG1)FKx*<HB)vVYU~USLU|7NOiF5{A#A?C@&oJ#Z=MRUbyN-zLPw&
zk3_-(PG=q?h4~WfvnM2UaQ=LDzlG<p&x^zzoV8yJ5*s$VDQ_0o&U-@rcDmXV<|8d4
z{yrzz=W^QG7%l8?SL69`phfYW^aTG0-+fMqy9fu}F;qNUDO|N9)k)smSK>h%r*n+C
z!u$j4XHIyqic@plj0q1naoWZhE$r`Bd&1qz>Ac3IievtP{@D{A%;5a#l<c%`zk%ZY
zuo)BHtNENT7gT#v&CdMEHE};Dr`hZYN$WW!zs<OCI8XT3jnqR7*1i%+?TkO2Hs5Vw
zx$SeJSzFjB$8cf0u-X&u?VQYa%o01I_g@xU|LER>N9wm8sg|+sSi8RD=yv&cb(8Kj
zo-6phYu2*1Wl?uFb0+Z@+}9JZ*}yI0{)XxBNB>J}8+A;&*PpxlXKt+Gj){u{V$KIj
zto!R{!>OnF$XdzX<huElM@Q6O+Br>&lFoYd`u5iKM;rYw<t|<G@6N4t&dy5nFWug<
zW53nf`3xI3ADZcZDK<P=`~J(nXSQo^eZ={0+tx)N-p(p`YW&gHF!cY!_FeK_es><;
zkUz5D=egyFm<><(ABD=dyX&g`e|Xt!|H;zK`wMq2UAj<i^1p;>rk{nD|Jo6}+~T1|
z*6wBBczbU=)?okcar)zdi)x<sl|Lf?HMThG%I(~&Q1Rwm)0Ib^*4+Ev7N<LA8$RGa
zrz7EJ9pz(jC25K^H^1^-K0CJ^vP?YZt=E37vDqS4aAc*hOxY`u_BmFE9hbj**bw}E
z<NcNFH@>%*Y>>9fXMFS5x$5G9fS)gHXK8QKeo(T{qrmL@g0~eBb(a=?I(cs4C(iQ=
zKY5;CSheF-KyA#)^#b=lJniP0{>hbJ{IjdP_@|=`&p#g(c>d`qNBXCu63dH@rtRB&
zTAXv&vu*P$cJ7+(toq_zfM%6NNZUNVtIqoEuNIfrwQBr&(#=!**_HqACs+BupN}%k
z{d`n_`SVeZ=1)f@nv0_sZv7|DbJF)$F|*wBvkJXuOY0wUU%Gw%QiH?w=v&>IPjcfv
zP5bustS_&`wD3*SzSZ<qPT$V9WlR3`knsBf?caC){C_9vf3dLLldEgD*0R@}nf=c-
zKv`+G-+XIX4y*ck!3VB1_Rr*!t9cOeVZn>AgUt)8S^4>GwJLtRh&-t5u%1g!u`2vS
z$A#rh?mhe7xh;S3{9KdR2etM0m&mbf*QyA(v+lvcg5^!^?Yl*7YVNFlAo!q;wO@c=
ztHPxs;-K;|<?jIrJ6@h^68*5GiTz;kcSjqWV(T9j@5(qn9C|D8Xz6XmL)zP&d#<mm
zQZxK9ch3v+uL?W<MxNWJ@>%0Sn5uZw?x`^v??Q_nrf-|XZLdEy=EuyHPY#{;RTZx*
z)7tsrbMVR5`3t$;`hQ&Jq*lWxIct0AnHY|D;ip<3#j1+8eV-a*Q?ug9qsPgr(;4P!
zUDY^p#!F9dTX<3D#<hny5^qE)3fs-iS^30i!_G<EN0)i&@knd$thf<y^62qPUV4nz
zLW?*zZky!YVmdV@Y0bI||K!3#PaM%sNL3YY5}g{OF)h3(F(T~b(Zlb&^z6z)i#RuI
zp5)yjJ2ggW&H5)o$vLgxz8kJ{QmbQpti4mfXT=jI!;O=;4^Q#ZlUo*6^wDtbB=3fo
zQ)8UYtau`n=%YGaVzbtk`i7gLMx0zTf={+4<f)2xRZfkOcowda+rcVoqolLufnaiA
zUc~3a{{N5JZrHJ9vewHQ=IOSJgAVkbkFh#fy4<hu>`LR9t*>jmC)C)mnA@E<o7Hx2
z-AZP?=c>z(KDqvR(q)yOOZPlkGviNGT+uX@{U0K?oefF3cz|`zd2!BjwbODNd^a|1
z=9~Ipi}O;8#{rUgwX>o%x@P}WI^6i@RmF~p^DiC$T4Q)%YmMQNt2Ks)rq&o9OWl3r
z(uG^w8dm;PI=H1~Vp7$<69$hj2c-+ei~nA^RJB;({=S7XKCksopE28(Z5q#oM~h=j
zWnWuuS^sxsEu*;I`Ebs2(N}-TcW0ir3~5R(GqrtJ*zQ%JB&{$>EARW2lALh$cMPf3
zWvgTEzgurHd9q`!VY8#9q)6(-g9pxE4x4KG<IJ)Ci#kOXzjyxVNn7XlZ*6kSfurXy
z|Gf21rLS1?y~Wm}k9kg<UbLcH=U4i4i=gT8udKG1mF4{R4xU>fvtb`+_#8g_tq)!<
ze|b_sQhocCC+xr0{nL9pch)SMEyb$UQ<kfAx&JzszWs%YSHWo~O}z+>!^+%WKOA!U
znRn5N`OEeT0?a;IaW^&wG&zcS8QyS`Y>QaYzEJE{@{CqCiM)l1ZZoFjtN)JFIH<wB
zR3djFPqNOH!x7w1KQ3)$lgMAFs5WCt{(iM6je{!OOK0RP<e95`<*@hW?;r18*5>B@
zaLdVgkKxLSmP<~Y_aZfpR&%HRNLVOm7qz0_FYWFRw#ThU&0WMVPjCMot2<@E?AD-?
z?E!6aVy_l1Z~gTmbs=L_bVi4r+S7*x@!YBsnF~c$>rP4RZLNyPUTAkMGNa+Em{d};
z=V2zZD2;<^+)HodEaZvSneu*)?v>+a+`Jp^I{lQpyd;p(T6apq^wyv^+XC8b#a<<@
zZ~b*6eIetw=!}k^YEQYJhHyVMoZR{;;iOaLl$3>XX;CZsBgIaY7m7(Gol}1)F5$Ih
zheq5vIX<nADVBRuc%nWEymsYQ-I1_R<hkyYhjTn#9r72lr0Yz1w58Q(j*(`3oL`j2
zK|AiHGqM-*6#JZ--gr~Y%iy$=W?Gbn{aLlAm%o;9KfQb0Npo40#$l1kpE65ZT0f<p
zcG6Uf(m2e*{ng-}(@&%9g^b6dGCB;^o?d>H!TmI`u{Ee?OF-L6u~&(cTYufiSjcD=
zk<sxLByGj58j-zFBwJ@n;#SX}U$&oe;(QjVag>WYH6ky_&R2JHK+{q&uZ>5XB*p&w
z&G+l#7d>}Yu5@yRv+y&?&Hf)Z9@4xyxmI<aZTOA@t2%C<=Pnj$a<$|-`befV@ud1o
z<NB^kI(ZSgr{vhLs5Z4$3LR~-=}fHq<`(EFB)+JNUqbJ!oMOn9OTulZH!Wup-T9~E
zVbS8o?(-7s49>ZKn(*+{n?Ubc>AjD+S37Ko72kCBv)OKk4Oay&CFgA9W|<wja9_x(
z%KK5QO+R-{n3~lX`yl<Cz@=oLZQM1p{+Qj}DaQISEUNbNdEb?qWv_S4%+328lU4Y-
z_~X$ZHsXb4Sp~Zn`Rl$*xh{RmZt3DH4;{Ykn(eyw_`m#1cFR^Py|$7mIr-IM$*D(D
zzMEL{b=ZW2ucm38w(rQBv%}}ax(_0;r>0w4m1X2zzr*Lh>9l*-ysm!xP`>$bRUO<b
z|7h&qwdBOpJ@QhO+_s|f8H;|H6*~vV`X=wb#dNG@uC~ybKT#`7mA0+^yK-x7`?R)Y
zzsh{?i*NWkn@f-7_C8N_wwp`0E_<nO%9?ZjDo5Fq%sn@(i%sPmqPwoFnO0S<D&Ay!
z^Y-LP-Y>VFIXNv%d+$_B!}ujLK5VU0^S-|6(&Vi#xpg<*@iwbl_-)dot4o9QBR{mx
z+kVUYnnb1d-jvdBFW*hNvCwj-(A1c&=&!C-g{PKvosQQ2JW2if@vtYV=hyY=Uhj%q
zZhHDh{?$d|UqfWRuf3&Hnzgy-DqC8h-gDbyC!({aUA(_6Tp-wR=B6Jdr=Rj%O*m~X
zIyY<MPwA}^m!2H2c4vINW!}P9EXn)*<i)nXlFiPV{r^_Lfgf6Xeyn-_ZB=eY&cB@v
znxPefK37k#ox7`&b!%mJm6n{&iskF#Y)jj@s_wm7X`S;#ckV9bRudhsqo1a}Ougk0
zpy#FkSBbYUC9p-BRp+PDUIkrmPmM$TnveK(u2@yQx_DuVrOvNI8X;9%t2aij_|*Dt
zYuduB$xec6jJZQsi)HPN)OZ-Ecco4L%FBQ?+e~Gj{uJQfAM(5D<)Q1&Ha~(Q9|y1B
z^=$quE0Zl|X4gA1e(p0>KlyyUX)o)Y)rYzt<cY?Kl&|`bv?I24dV6$e#m^ltv)Bc{
zeJb1W@Ra8dmA4yqap^aOhE@cwS#zj6(N#1~Zd&-mr^z>`zxttD^^x!Hx_yo|z14rc
zOMfi?)&KVPr=C|EZ`|sMd1e@09KG*O{rv{l_*LBg7nd*mR~^U}vsrF|$X}WAlPux;
zOxnUCpZ(q2a9Y%cL$5ZI=k<}rwd`^!F<ZhmXk5GUzutc9`+#olc&WwHe{adXeq}=4
z%13*)vbH$yUS>7t_a(D!t*649u5GK~I?nT3FiN`a$>lvWW}U0cJY!e-GNdXp`upji
zqWfp&RJNR|zh=HgT=v1DZSTZo>oR^Q+J2JE{;lTr%ivdD@vqZ1mu&o^{Wo!lRjRSh
zDLTobzE;)a=@R}qD;_u&T)kCyQtaE3opKzV=DzdS=j0w2HMF_)@#4kb+R>N0|L!=k
zBYd5fp2L?vr><#tPv^bxSo^l8U{ay^eOvQvJH5g)yJmb?_8@uDPScm)X0Mg?^LVNJ
zFLwW?xxx<>mPM5OJXOV^H~rU_YTXdM-5n=6=e`Z~dGfw;`HLr4IPId;xA`oucydMZ
zo^>hz`#HP%xBJ;1kX|nLXlro)hf_<pi+#9Zm1jKn!NlO@6)&zx%2|~1tIyfh&+lh@
zz<s&gqRE^830g&`mlkfG*FQh?+rh8ipUTb@y?!8gefN>4`$hStCM+$DSdzu~JI3f>
z+;pFf$5oBrluhYLn(CYy@ixG=q<DoLpY;`ncA2b>W<JwHjO|j%^?Ai6-KUoeuUYM;
zCEQbfQsi-r(cy^IF~3Z%&d@(=x_F0_|K)9)R9$tBE#7AuzA!~_(Trbfcebpo?pS+e
zPLa2&*Sft)T~)n4JFZ+`wYwuWUNUg;Go>;?>-|QHl-@0Ur*ti%_)@vx?bRQYZiKap
zAHAlvM`ByZ!>5VGqH%U+J8vo8b<HVx8gk3E$82%w;qHVG(Kr$1)gO{10$ZoIKMbw-
zDUs%P?9S>v-8T~WLKmj*?pT;MA$H2r*dqeQZO`m(>Lu;{%CI!{h}CqT4d1kr3#L9*
zX}S}5u=&BXol8{Yl3Wk@X4?mr3!0zFnDpCYcgO2zIWw#}Vvm>_Kk~X7bj0)k&#M;i
zO7X(4+R6V``meA3ve~TOtnlmBXF6|{ennV&REifKy|u4%;yb0PnT9pbCO#{?SvARf
zcZav$%=|BFR40@Rx@%Pk%-Oyzep9zZ&N>dKN4~}9s_rd~Jreo4@_d<KdQs@cZj0Qv
zQ(x*mnj-16JgHE;(8xS{V|PSWUUaM0dnLb!)oM#h#0&3K`L#H2>b6LGd)Z0v>&w<>
zPIGpt?Cx0nO-pRC%RNmotI{S-zqv`9g41U_xnk>6^2$!l;w!`Bxm6v7ezu1qrk^Vp
zyu9|Y*=5dUmZfsrEK1un{q7!4S^h40QZT>4`IYTE(%u=V*d7Y~JZb%f?%f@R-wuY}
zaqZd0H!tZ;)B%lluM5|$bb_`vFzU@*u-|*xi|3Oh-K@Oa3=b_d;wfJt*Jc?a?<||u
zpvY%>B%xg@ae{NNp}}^`NwG)jK5Mx~s#!dkq{+7{rOi2P=az1ZoVZG@d$SIf6pIJ$
zTG=f5OmC50WQ&B@?A;wzrQ#dU-f`vNuN2?taoWDJVnK!Y#_48rP6d5*{5S8`))Slb
zxb8Xc)(Z`p%wE0eQR(${s%d&ptyS-C`E77Wxt4vw>ZK*FD<gL4O}jKTp8rLTX6)Li
zUHvy-3(WG~Su%HD0JG!6z~y&OME<&(o?G|&n_%?@)hM5tyRMpE-?Htz)3KwsnAZI0
zSW!4}n`NMkSNEIO`d4-@SJkiFZ+<l{r}psn1ybfsKd<fxJhS>(Z(^Qlp4_vL$Ip_>
zO!FAiLLZAIrJ3dlrqw*PVt*M|yvum~rL@og#6x!o-dTOD_fei{9#8qI!p{Y}rOf5G
zuPWp&*etbOz<gC<_Xn@p>y5X65nKlvoLgJAT6OB_-A^)a1x>MBa(>F$OHQ$_YBMG)
zFK?awdJ^Zn8>i>JUH?9_Fi9<4`ps^?xexlpPOh%nwD;9Y+fyk!1bTklniKzeigoVd
z{oBHnZ>#kGx@DEFwfjl=G*!1BQ?{Q}-oI_$iBEZDKd!&p?7z}^6UW?*I?5}<?q7)b
z%zgh=+=ltxIf}cI^b?AIU&^aqw$0SrwkvF7$KfsMPC?TzP5+smZGOaP?sK~<_m16c
zEAiN;Q?{geznS>WkY|ct6{;^!`@V$vbMbTg!e8C?TYk=U&CBpPS9tA&#Dw6kq=ftS
z{=Q1T>%J+8FrQ-jwJW8`?pvGxQ>M7v?m??QM;=)jyr=jT&-=N%+WGzNx~GSK)p&RN
z`G!SjJ{(Z3u6Q-6)~5I*W8BUs0_S6kTEz7%kG=g<mMJFPT08B*rZvKEN?(ao&#^kJ
z=N%JW!^gLN>+@@;f(+NyF14Gg{W8g_a;0r%WXRMVWx`usI+yNL`h3gd?8&WhyZ2sr
znq9o`YK=?I?W;!q*Sk-j3(C2D)+lsJ&5@M*vDFrSg&Mx!pX+SQeH6;Izar-9;kX=A
zH|+(%rg<##x`DGEx_w+GW!`r0s?3$cr?ZMae_SH9ouPkqA@|1>QrkKBbvNeT)a}i!
zwtOAgl{>vAvQ^sgde$9{U7?SUUDzvS&TqS_@bksZQrj8!t}f)hxL;~J2ea<Sg$3S+
zk2~tkT+m&{tT6TT#<#4e-)PBf`mXxsX8Ojre`G9m@3>6&`EW<b|LXMjvbk5+38oc^
z-Oek1#d2HsVpyd9J-by;oHhjS-am1d$HupM)w-K+lx;5)_MPNC`EI253yqpn8{f+P
z?$kNHt$M#n^6g(=m`-bY=giKE%&9(7r?=_oixqntf^w?w)b%V1)p;cLn^XJfw(~mP
zD=$>O`~5a|&e3h`pHc;H%U#Kv<Z0Be#eZ}5HksRU=g!ale}vmRM?1xKo9(3FuB4o5
z6Mx^N+sWUQM4A=kp6p0z+6s>Bc^0Kj%l+m)ni-s4@$QQ4o`P3)al2NzZ#<`Jyr%r5
zoY{^P`RtgY246kPBW}}W9yWXTeYDsgJIgia_a&j((@L8@`^|l{D>%I(OM1dl&8MxZ
z+jPB--uSjtb(`+q=7#iXH@3y~=9)(&ML%40Y}@OVRhn^oVguFp@w6<zb@fBY!<z|f
z%eL;-`Is}AKf?C!F`qg2-p3`KH2+)kX><PN>AI?(K5^&whb~V$*u8v5gZNIVgQsJ9
zABC<v_Q5Lr^9Qx?&mYagxeLt0xeMKQzn+-sDIYscUr6V?xaPEH-8|Z#UHMmka+P2G
z`6z?-r=tSDb8{!u3FK}6#xZ?K>fBeI-=58_5H<SiAt<+6Kd|i2%GURLZ~eOvb^XG|
zbqgEZ^=3X;Xf|iZntjfp?bGjv7OZri-ei?hFO;9v6~Fe8>T1#ZPgk>O?+<R4i98#(
zAu8@-d&1V!>a{zwGF|1HLhnyqwmiPV<L;G(TX$|u_ARoCa#?>TxNh^=MZ#+eUx`%D
zwK}}7$X@F;Z{~wv3;r}M(G!aKvn*uyrWJdws@5;!KX|vxPNZDxm%$yq{R`E9nyh5C
z3aGoTf4=iULaKU~^VGQ#eChLRg6Cc`Pwuw}X<GbK%ii?3jMl-AHCxv;2=h%%NZuC}
zf558UYs0;3vp0!1=HILe{-*ZfY)oLy5~FyP9rLt5t%^G>&bjVc*Pcb;pIn<xKh0dH
zGi|%Y_kCMS!r%UV;=N68?cN17`xo)MKJPl7^x{jdrpv^dx>pOPWdw`HvCLlmVbP7C
zR`J7O(Kn-CbxjQ3R=MuQVe<=5zxsXpc|HEf&K>#MofoW3yyDN_{+XMZy|h|1{_p+N
z+3wwZo@~}RmmLH3>+K&n{tG;kIwgL?!auV%zx!bPelmZo(ZR)kjQ;7^tuw#1?b?)*
zCPTluNo#`BC7xfg<tcn+cg*@LgYcZHj!r+@Ln_PVl0TLAJzHAwCMz!LfJpeaDG95B
zm(O^1MRJ*SDZlO9UH#wBePaLd;EHoi$tyuC%YOOAn^#v#&fBpmowcMe^*qO}U7Nle
zTv7ck@oW+^Px(njw;fM7lw*r}0-su3oUqw_=dRlH$i65k>7!}<clU4hu}gCEe0JQV
zU2faA$J}AHvrg{*R2O*dXn0>$ZTigZUDwyRT=RYLcWx?^-+J+{8)dieQ1({3v(Int
zg86SBr0#97%wuobnaAE$naAGtGmo90`wpL-m{qpt#rrFbc?w?1v00VMH_xeRIOu14
zL}$5N;<n&^L*w<0n|jZg-o6!4_TXaF&!mp43mdvcZ7SA89Bh76!ph$^S=7d6&Z-9w
zAGht^Bocmyk7xQ_J~_RevNbUU)+`q9%Qy<py%l)4=C<OonKtd;cx`q(-kanWw_Vdp
z;7-wVhr-)p|5fkHPF6oYdugxt;u%#()%O1Rx-QWqTty;Fc=PTc=TELpAHxi7pLNts
zOwc)NmZ-Y%4~u@><Tai;t9GpT*_CoS@aDpuHAlawo}8vUJ7!PTucnmPJI|E)KFvuH
zc6#)&`=9Qc<$8aYi^-hb#~u7HnYr-d)-T6dH?Q<opYimHW|~!Ln`GJE*l)*KvomgW
zEYtgEl~5J;=}SNNwP&i!JwI7ip1f?Y7cqVMo*&0qS8u+z_|&6nAJ#Re#5u##VoxiH
zZMd*dNT*<h$Y)ut!+ZWX1+F<EE;;Rax7@U+-FDNSbu&(T(yjRHS+}6r^KL`2n@1nX
zi~F1w|M^WZe#wkU`bIv>UMA#DUDo{O>HSB=&u_M}-E^+r@ZY)Y?Y1Y%Y&G8&_&@se
zZ+6K#)0ij6|KCp)e<h;-cHODl`IoAvwoR^zf9hLSy}V-PFZI9vlG~=RPjXGa;ZuM0
z+4~l~5I=5N?j3)2o~!usvGUjPC#hi$&zl%E*@BrrO*r85?(vdBnXb_LFM9N!-hX-K
zc-CS415(Yd5vvUky}rJ3fw5iL{y=UI7e@DNR+T*suX}lHYp!s4R4|%L)G?Y#=^R>?
ze~G__Yg%ns&+(%9kp>5s`d_vV=h9p7JKfCh!r4=ryP_<e#kId*xOu9!WRvLRM~|0_
zow;!Q+1tc*yuW88A7)IuZNPsa*W!?mbf4j4<Ci`hXJ*&zI>@oCR;{#KNjqT`C+D&m
z6OwjtN~+DgaM(ro*NlWI9Z7o*N*t3sb2y^;r$q7whQ+*MNmCB~kh_;)=%&&7@8R-#
z;myZWDp!ar9$a$%a=(E0gajs2&7%^POqxMmooVZM{yue#Zjt*n^MZT4aNCQ|y=4_j
zMsIT$%2i2*oRPY|Z*q0G7x#|D!!}|6&z)&r*shw+&#ZIhcnvqN!Fi{jQn?Ea*GZ=?
z*u%4#H~!RVgVRpTIoqEIB+Yh|e9s-NGbLe*(bJ_{8hYJU8(z$sty3A`V|wb?k*u_j
zUpE|cs`c6SL?B^O>#-XNPab@%@-cYzu(L|W5KL};a<F}Kp^ZOJ2KQ6vTTVY0Y`ega
zzuzpg<G7gA;|+mE^XKoD6+89%&(1i@_$xnm1g6Jd`Prh%-_`D>SrhmC<1dkeE87dj
zUL~(-Ws^u=sQ50rPi*0SyRQXOk7oqlYuJ7yj{B+L#EJO_UT-`7=(NEVjmQJ9&CWR0
z@@%}o(5!26MDFxOr7y;>#6IleHOM%TU3hwh>xt~b11HR%Y_-T(D90DMqJQ?Z8s<9&
z8Aon!uRpki<@)|>yF*l}ZYgvdA2szp##63)#o0XhfXki(vAQM>;@rF!Z#pIOn0SeO
zo>Xd7lN`6H-|b{l+$Mf8sRjM2_XXbXzZPa9c(d<9xaUTXUXxvY60?|l-zi+X=lJWE
zw$r`9v~@hSPjBq!sNG}8E&5<9r}G}8#GcM7-<2J*aj#?@FEAYw*O`$pN0q-zyy|q&
z#w-3Ce^gbZef;|IiW9TV#tQ=Hbxn??JkCg3SfBo*%S<}+N6<Z?!(UH^UtpT|dh+@M
zccSeNhv@9v-ut-o>6gA%qdiyK%|uVXwC!Hs&n_nQU}Ebh&6_8}b(RZ%o#=Fw$?~X^
z<h#hC4<Bwg)%t9IB9PG5+BPF|q2WBqpIu7}W(JyXH2G>$Byc&W+%`mDOT%}!V@cm+
zGfp-oPH3%?$XQs!yYT{pv#!Yz1Mc3B2Q_BS2xynym*gayxR7y|bZmsrTw5NgZp~8}
z^CC>nD=!vEa+6J!@jJ8Pvd)#mT90Lp?x~y>mC@iTCY7|*E-=vU{JTr(E{0auUw_Uq
zvWS;16-X|gWN3EujdkGsTFv$E*gi+_yx(WMp#5iBVC@@&#D-ioo{d*q4DY=-nx5o!
zZ-M{GrcY1Z=TBl)lUO>xSZ&!8(W+u&L)H8%(i1y1D?wwWISU!LMPzhbownFjV(ol3
zHMLg{-71e7?K-xic+>US30Ev5<BmstS`d*v#Ytyrvd%uawx|{TE5)P|=BnNoIIU}P
zOhuXf;^OU6Yt?praa(-O)4tZ-C%wz}*h3fpyEzNxwnePye=Bxs`*ty@q~)#0B$7h>
za-6qIP8HUEShcvwCuCj!MfD>=7RN2-M4b30x%lwo_S<<femeQqUyeoxbmbj;cx2V~
z%ad3S$1D~|x;i^|p`BPnMnkKZRMN)QV-e{gemUINB~^v9ALcDCI{9zC@zw-6Vd+w_
z=)H4~eLV6kyMJP_!lsJ+l7kbh-<!^Het74o#k>fse5vEYvnSTNiA_B5Xl>!18P@O3
z=OjP;bLP>R4M#FkH#)^`xFC@J^=E9!W9DC83RNE+AJ=q5zU&J$FSM$Xc&p%bJ3PMB
z#i7D2p67^yMT*#g!->MbERqf}xci>S&XUt`(%<Z1nD@H$(~)V<*R=}GxqN)v0@gX3
zErRD<_it2Bd+J(sxGE`ZUfM#NBU=LGlA<y?E>BzR`eQ-4r2m<#={=vig6l4>h$%X^
z{?%=UMozuxPYZUWOmUj?V5VE=QOS9!3+>XPGa4+#q#kX0nju*A`tC*H<v+Uu;|#0M
zU3H(efOU=Ot2r^*(fKEvA9V$C8Lm8M?4_f2^{3b=^-%7olUKF2-N?0!YEeH{DHi-T
zZ1J9?Rd;h2vJ`)bh`higC#5^_%z?dMSuYBQKlmn*Djfb`nbcQ-%b$|CzivF@#H_RR
zf<U#dd-sQ98JvZz?-~Qs3)kk(zxbFr@cF!|H>w7X`isv!I(4URvB)E-JB-|4H=cH4
zF4_7-`q6@;=5FSP!*iIYOYXI}$;M~=O0cV{XWJ9U!V?zrZphl1-tyHc^w+s^Jkgtv
zu`eQ{W3iaj<Ei^>Iya>6wA<TVSbfGrU+d2yjp-}i*Ksd>v2HzUl7{^Ca9+bn?60RU
zIpQFf;3Thp<_V+UEQ2GO!pB}Lf3E5FnrrWS^@Zo$7wzntk+$^RtQHg7uU%aoX;a_L
zVljy=4Hw)QbH%@FN7pX@{U24=O?zjmc-Tp}$|CpK%jAhKB@;GsI_nrF_5@eWd&G6X
z^Y0yXafWlV*NJ$4^>HoOdZtBg+UyI*t%TVk5}o#aHBao}zPb4flbKol{dImeGcWE-
zPCg!0_<i&7^p~H-%x2EtdGzM~#+xN=bvJMSHM{8?KV9a5&$p;P-)FTqRo!3Aj4x4I
zb?x*@;hG;dweQZY6Tk4=cscXYMQ>)BuV!|A|Hd?9^|=p<zxh2^pPPL?l3D)moT`R@
zezq?4=|7eB{JOLBxWt1K@i`1^R#FC>PsJ^y0(>s&%d!e={>pt^(?{*y=bMk+!q~f>
zpJO(#DSjn4&#F}3e@<0HyPxe51$XZ54>=ox`wMRc)^FtCDO@6c@OEqdCus}L$8A=A
zg_{<fDW8)hc+0kEO9RKJHcRgpiJOAIZ#=t_{l>d1&Tk4{36@!XmDnw_D<Znwy0po*
zkIk>?)aJh6DJ|2Dx&7F?B>RF@lrHW)r(|SPHpfYD^S<&|cK0m5GUU&#>Zm>U$@Rwj
zE6H<;d4vQn=aeoH5Bwy3TxZIW)9kX(4Lawrr~4nhEvvE2(r+Tirg-aF9xb=q=Y)PJ
zxUurL#iJ|CGNrE+`z*h59G_d&Bg_|jWRm(^tAm=yB|Y*kuP?F=@F}0eD7g4s`Lu?_
z#^CQ8F0A~laBb!1ifb!BU%0l?J>u1sWS!y{k1jnba%WZ(mwf)rebS>(SC9M&2#h)Y
z>hUCIo)h=BY(1$MR`YCv@|rX2r9~$`+4E;t=j<OM#h<L%MaBPyS@TV}v${}5VW+^3
zYi}J4tm`L<^NDPp<GMs*zC3qOVcj*$)ejQ)27ljpa3%YV7gwBfie3q_rLA8a^;KfF
z?8~FPOLhCi{PL7^OH3AUl)Zn({`q7x&*M$&9dCbSGOKx2vf#!m9mb;($2CR5z0Iw>
zUnEWr{=V_%O7<I%t~lqEz7pJK`Bmb!{aM+gk9gh{oMibdyH<Ht{qn~z7JW5;em?$D
z-lIK#IKA$K#k|*=(|%X)=5e{{G70Ow`3$dzZsAb={M@gwyC}Zy*`nL$Po9tY^6Tc$
zwew1TDgI;^kFA=dUEU_R?uuO7?iBgWF*Dg`yqx5Grr@Mt+s;1O<cxhf-{xNaY%G5J
zT&CX4_rGIjw!e5fsdC4h`a?&jeRTL|;b~SA{pon;jrG1~^>^LK`+7!y_KjRw5b5K4
z@_fvjrE1U1kELi|RsH`UN#5kxRO`b9)88b{@cw3aMU`FR(IjV?qOIO7sd|=f{eP;u
z|DK=t=StFVV~hQvlkc8i_lIxtn_Rvp<q{jWN=}F`-ne<@Q>{7eH}!5Fmzge;u+F>h
z!riNRhR>cZ7ptE3^XJ=1Z!Eq&-`>3=!bW3%>=DsNc|TKyuXl+*nXdcHH2O#8ufk3j
zvG;Xb*FLq%z1~vmwegbq7b}t29X3YQxmP9}oz8yTGNdc;X7r}ETbFJ`9JqH!xp-zm
zzxT2mZzoBX?M&gfj@{HRt7mzjY`RR+Y@r)dpIq8~dG;KugI>%1HXd4OtfRj~KYx{#
zel)Xa>zf<f%qo2=BOiV?o4@1FrtK@Ihn4B<GvB-9Lw|1FRLf~`;m`M0KM)U7bh)0t
z)~UtylXcC@=(jafbhjlosebD2RE;?hq^&+9^Qp+`wQ~|%r&dQ~KD7(G+2y(MR-5Ft
z8yniUn!QP$%gbJoc3AP<je?fLW-^cOEx(jq#`IZjf4)J}?fG8cmvyaac&?^Wk?7Pl
zT`i>|)ro(-?~BL1fl6K5*^(>L4fx;lK6~7BkV(ckqT?}Jcfp|qL%&m(b5|SPeK+N~
z%SYc+m#$3o*?#;fXXwPECDn%(2F*Eh)@o*6`HqyPw|X;^rhBJZJey=IQ+m>_Z^sjc
z_E;nSX`9N=gzhxCD_8Q0g?)}y{EoR+hwWzV3t0bZ=}kk+joUqc<<+edey^}2+QXh@
z!edpdgKOXXR@iAL60Rk)Ha7Too12c>yC(B)e&)N{AtjGeSG{pD-2Um|53voKCbv(V
zI=7}r>5^7P;i<5LcSEA?<ka2N+Od68a-ry*$$uwyo!z)^*}i>S8&cP8p7BFKXZ?%A
z$&FohJlfj7Dk4HJ9zXu7%Z~9{O8l~ozpixpuTQyud}Eb~N#fkg3u75y-{oVGp3|Cf
zeEO<hQ5%kFVILOUh&kAt=*`N{AFW;S<HoafI!DX4Gau&L&dlF-m(Q+jr!3Q(GV7Kb
zkIOnXTz@N&Jo)m%neCdNREsY+K5#sE_1f7z;Wld?IDS~s#D4TKmmH73Rz*cc)WO5Y
zjmuLt=k1o2h}$7+v!}@VN5$JRjt|G)3M|_Gdyd<S=Vcui?_1VIy{<oKkS=C#p3s;b
z=57DX{?60Mbyw>bzIIsq_UE$`TeCXzmvz4XBftKQOTophdzSmL#;iKj{h&xRP9%Kw
zhol{0t<&3IgC_I>Tf-Y>hgN*F4G@hJd>8)kXt~E!e}SWSPeop2(hGU$x?w+;eiw6S
zg`v!vL#Gcf)Y?-cv+j`gfr(msoMhG>(mu3NYfsXf)iq5Lej(?&L<=@?={E&W<$sYF
zn5r(nT-%z}BKW4}k(;Od1kZ(*brtRll2+N>vc2_G9LsyIm+>=q?%}G4@0C8-o^?mV
zF6{BK3!NbkpFQ+4-TCuHkZ&GiT-fC3j_#{FTz3TYO4~0Eoul1V9GY_M`G(C`cL>f|
zd93$QiD@3sa?qIhCMk1y^;L!31#6_X3rt^C*j=zwYP-bo)fVAA;j2&d_@`fARj4cx
z<$6C=#CcU=vP4Yp>~_biJAO(;^hP(VySk(GMRad;i`~^7M_+^<^?A84U36w8>z5VB
zdJ`N>^F;1~w8Zw#ZvT08$Iltzz0nPpS9i3|i0zGTiM+bw=#0ptJ3kpN?mF{_X<7JV
zH-oiO=3OhV?lAOOdF<@rCs}uDd{!OPJ#ZxJj+4)dW4ecaWZg;XS^X%6RU+Co&5<#A
zRiW~Wm|khu?^$;w-h~);x9^B;4R4tpT5)v8!(9rq|BB!I>NMNze_YLvoed|~zVvVM
zTw8znnZ4Tl=4Uk}-X|4iT==v-_g`@B?`eIleWt8u_)m)+T=?Sstv}DtXg%1H^XX~4
zndZ4uYWJs}Sl0e|)62(AIcz&LX4mYT>bq~vsk9#Lz@0rJ?Wz;o^}<spKbVyh6f@no
zO?uPIhs$z)?NFV~xa~w*$J0%ciLE)uA~dH?eAtmvX`vNq*XJ45@OYBwqm~p`3(ZJF
zKi7=U@j<KoVp1ZOGBsVjcz^p(X-<(1KFTc7rcN7um3eNPK1ytNt9f(9qajv`b7Qo!
z;I|BwV{u!BM6yG~>)O6&w&X7}J!F1PD$!!OAphN@sXtz<o5)~mBD83^o6?s{9zFLq
z2647+&01@-Cb+Txs}{!wM^;6%RSJjHM33Ci3Evo*^MH+AOHo4P&vvtV?a55{en=_3
zSXlkhGtiyqZpo8{tNVT|^K@sldadE2F6;VZ#dPgs?D1>)k2>hezL$T$wPa88p38zq
zqh$@hT>1RTz}?+0?X|`M9og0yD;IMZmxv_qDyx%V%Q)g(-}~dm@`((!rb3JQ-ITsu
z<KWM2j^Fxo_Y{+VD*xW@Xt3LOj^me9&!YJUC4L=W`g7&B2F6LQ1_pY*{ag2(@15k^
zba9iXp_`fHu~W<13pc%d>{|I~?bF)VeK~DAqURZBYwq$&eKcF*<R=4{O*K4I*DxGb
z(LPdevdh3}Q&Nu(|KD3yhT8YF`-2!c-c5JUWa8SfR<LQh)WV9Tf^FBOK7Mdhw!4?5
za=^N`rDDC<gh!2B5^}2!999<nQL(0p!9PUc(Mm2Qn_$PD=S3%H9ercE_D-^}lfhP-
zmadleI;%%}J>5kXmmDz&a+h;^apHJ^?8kEp77Os7`W)1xu`DAsea0F={>dhXs^_{f
z`CRbm_$bwB;HPYOjBTl1WcY#hPZBGXe?-VBl<xWau5FTMfxnsNyVGiinQwmi5MWko
zGwCctf2#2j;hQ}L&SuFr+Q;XXip)Lbyr=K{i}R}ScC+_S@a>wu>Es75Guu7WeC6{~
zj1NTL<oW1lro3mm@4jCisVOgXrcM<75cnyTWqIo4r1?2UZ>Ib5v!`4>?s$`@z{AX0
zX4+W}_Y~t}fh&9Dc6p?J;j&QsS;cZZb@HQ@9HTi?eEFBBTs|Imlc&JV%z4gKuZPLY
zuKZ`ZGi8gwb`|YIxhJ~{)&I!n{<GVf6%}2-a&_kUbgntv|I=sxUzT|9b>YrEi`3`r
zo_D>-W8MVK2Ti=fF<TP5ydT<c`MF*wPptT|>-`26aUYMudo4CnU;k&%Hq*>`AiehV
z!kplkz&BMfQ%_x(ptoa(nsujrZfH)|@=KnXD-PfAIHjDuu<&W*bmx7lM=CPwGFBXK
zS+V89b<-``UVJuzWj`4wr)*}Oth)K5{)`~I-(OjMrs~M`PW!$5hyK~0Pp3RuZ!CU7
z?Wohuln9mCa$={K^{Z}@Ojw!I_CkNQpw;QL9=#w*&1K$7^SgJQQgbxF`6O^tj?tG1
zzWlaJdnD65rnMVRdYU{fg>{B*q~bG=u$G;ZM3dt}q?nHuhdSuX3VyjJ=qfL3_~q)v
z_PUGp`OQkd9xgw|_x5(}{Ug5vmaj0$GtxTt_~xgIoIK5GsScK>l^!)N?9*U-+0mY5
z_2}cQz8{}fD({P!zB~VOymZuUGqe9a#&s)CMsZo_MzSpbb4fD$q3x#yIYKfMe7pW`
zI=R8$%=XPxU-{}3;{%*Gc|Jy&DeswdRziQNQP7+zF87q<PpBO%zPY4AZ8nd8>gB_c
z{zkPn6GQhkolfg;-z52PVa~A~N>e8$Zddtq_2a&rPa4i<n(t1j9hSZMr6Ag@_Rb{V
zeREEvbx3cLe7Gy;*bcR+7Y`SDK3V-Z^yZV*jtVnH#8W0e+>=vfp+4L0+=;Y?&6^~X
zye+M=KNk9E1vf07B>Jc$#nnP5(va`x|0~brgH|tdZg0D`?AEU~z8b#c&mPF%{^J!G
z^e`cyYiIPUBhOMQEIGs*5?m@RJscQs|6d{0BrCP>#!A7qSgDU2qLphLGE@%4^|stt
zK9OU#iO}N%@>9J6dP1}hwx4h`6_i(PH*qSAQ08$rdGv6F+mD-<JsKWMaTYo$3;JcL
z9Mjw?#IyK{*9XIrDBmOP7lV2O&RpR*tkYXDW38Zkv58Q^Iya{?mpxj3N_B2@SliNm
zF-!G_Li7r?M~`zRx@m}Iw<LDD*_^r1(Vr^CxgkbbF>D3vmyL_}#EL5%NW0q1A13N@
zV^x#H?I(v-4nA!B)TX1z^80l4F(u7}*?k;puR7S9=Cw(jdvW4$rtD9PrHdKVOONbc
z)~6(MJ+LQvUX=X4`4_!T9lsH%D=VMkUUI~JW}n2iS0@fT%Ko%iu$bX@>5=E$vaUB)
zFP5m@^2^}O)mMkxZ|CXC%IEJcIkMbN*6_`RiS2K#K5g`MuUWi&vB3Efk%ue$l58%%
zdYsrFCU5siF8k$=3)M@MyV|WD&90NJ+_7F+{(FhYgLQpQcP@IpO5Sc3#`NZrN5@>L
z&JE7WhTGVep7qcE&1V|%^Y|qtjW@@R<s9Gqs(-13nP6A-%B%HLCSOnLO}d`=R^Gc*
zaN7-ywZSp3Hva2h`ZsiS#VM_m<;{=2Fq>(Wai5ze{P)Q8mq`s(7rn)+l=4o_{hxgE
z(Zb8}mm{1aSYsyn?(3i8Ygc_j?QrPLF9p74l^2v|Gp;+G*0Fn&<imYA$8P9Po%pCv
z<<rcE0ozUss~vQ_xx_+YHqY<W%ZKf5e%Tmq#{6dDS%KLp#)tH7_G~oX9pHULcjxV(
zPTM<^bmYxdwGZ%~<SKOBq%>#p8VT{uOB<t0mG;eAz|{2i=H5jjA_b1hEYD4y3jLIM
z(oG*d+~8I-=bA@DuoP!ukg}j(hRU&zIlVeUUAe2c?GGO}aViK=7I8N@w82YRPAucd
z@tR(i8OtXM6q^bq_PHgU3DZ6pE-PAbV-b`5>`;M(?OaYVVU8`{S`TcU^gK3q@QS4y
zCwNsbO!1v8`Oxp?HB09^-tHpYrAIb6xXV3zed4%{?8gRwcSW^V8i#UZkItAH`^!&I
ze_ELQJ^Qq*mlf<Y7b<tXv`U(>OqoBp^ht78ACu3uzz$EVM}J-14a+jWKFnP;*YMsF
zsfW6&CNdP82qi6^R@|~ws&nHJfBo<YpLBOd-dwv)NO?x4LDxsN<QZ}EK4)>yP>W;<
zK7D!d4%O{(W}MefsvV8GnG&HqTQ2PMvi{ahk_k(5J}Ja#u^tsUnKVNuQclftT7Tyx
z(S)Xy))|VCf@WS}J-kmHHQ$+tZir!JIelue2_q}dxujoH1b8lS9Che5;JMJzo+tJ3
zqQ5fZuPhap`JXl^avTlXdZfmA2@`*J=!4{mTugHU9Xk?V1uF4`I`$}ntJkY5?<9AB
z-)FGUrlo61gPoO<#4_csg;q%ti<J4BOP?f9=wsr!64=2Q<@xi+1&+fOy%iFx1?7`X
zgc7#6IrUufXqhP0X{fZj!ug2q&U;UHEbMy_T2|{3!Rn*g?*C}oos^qPW~j{O5l+2)
zxZvg&!#FeMp2=qgl2eTjN!;u)jM7qe)%Sd2{7d7eiiGBD5#^N04`VBt1hzk!cVb!p
z@lBEsCg!wNXv`LrJCWA&`{~5E;}?RhbnYL#JGtiPySH6ApA<sPDs41o+bB%&l@mOr
z#?P5*e5CYdPl2yla?I3IFBZ0kxb4&4t^M<pY-D)k(icjzdFG~Gc2>Xn<zwJEtpn^g
zc|OKzwf4Wew3GKj{3a)#>1#MHt7sp)V!v$qug)UxcbRkUO`2@*{%b@uAM2*K*KbFh
zRotuk{a71k+--xSt1a%DF5MA%#`iT7v(OrI#iMM(MmcB9CM>D&ewV6qTSQ-Ex7_)q
zMpN=m+D@MPL&{orQf}AlOCI?v4ok08SQ=fFzj<#i(^0?AbLXs*li&QjtYzjqW9!uK
zzgT`V&Q0CS`hF>o)c+>_o2(g1c_&Lx=Is(H^j?>3xpar>b$>I?U#HcMGTcm&(3oBG
zak8&``RP`H?N8pFNb9)0N%G;eoMSswrp|b@ROQpo7i~F0F_V3}R&P34;A&<YGtDUN
zg`O#^{HjS$7cWm?eW4nuSmhnoVmC>2aejmp^G)5I)i<xs<Pp4cUGONgtl^z26WiS#
zt1O#OY=0uhzO+#J{-o$&x&E8FJJp}+Y>qDSFLn9o<IZ!w^vT24zM4JP0vp<&zKh<a
zx_wv8(Zx#}LMOQznD2I1UBeW2Qf<Cs%H&7AIYxV?`trX|xqQ6(<`d&(Ic+~QW(&?c
zoz`>i>DN82Nv$fM<Zi0&o~q(ww=8AyqS-m0{M2XfxqedZec7gyzvt$B>QkRB*mgRt
z=V_3n<TUTpC#xTGot(bDPdjyT($pNIHPd|gvr{e~kGjdT!OzTj&GfSz(kaHrj8^u@
zP4fgPRs$)XojN(GJLi+^-kho%YP0ROola|z-6WZ`JcLWWR#n@<{v_AM_)SWCCRAnh
zOpmMb?t8KQ$+U`>S5Hiu#O1v==#1_=wGX)wD;Szu6EiJ6806)o6eHFOc9}{gMywX(
ze{J$8xzUX&=K{z5V_7PP)OwHHSQFNiH1XA;_7h^g0y$SXj+*rv<XrD)mzDasF<6<g
zEK}u3Ua!lI#S<ljuX-8igvI`lkXj?yq$u_9w@j}A&t;DOTc(eaTilp>u5jEh%TPI#
z(R)N<aoC~5LRVY)H*J(slvpmfuly3nVV2$si6w&alTCyYHn}<Z1aiMfUdhFz6YSXW
zRI6iy6RTm^sdi1a_NS)}IUi0;tkl(+#H9DK<H4prjj&f84_Ecs#9W@(|Jv%2G^cFq
zj+M%CJTEnlS+2FSS#fpF4~g4RaW&l?4<__!@V)MM*wJSrb9G{WvK3cBjJu-W3ynjb
zvPUgK`KpAEJT6>o_lR%DG(Vkat3@-GE4Tf$`n18p-A?S4#sMYS)`&HWIlN0ml2?Yw
z^UvP$>%+wDKHkUM?-j{@yy4`|Q(XEaacW=9nrnd#rdC`V!?aiSpN+cDwZT={Cg!?F
z%V(+1!h_nmb(5ltf)8I(T5)gEY_Vxc5ih6R`SPalSj)AfwxsKcX_gz#<()hm6!Xf^
zx;n>b<K?xNWRAXMKNI>!?2NX$*8NGhr@ZBx%)OQMP0A+U4bztf2VB%k@YuFNobh0Q
zXaG+*<6#cbiWjTWUM-%@#q=e>v16WA#|3Xz!zyjn<u{gFe284!&&Ba;Rl}nBToS)l
zA2`e)`a@zx6GL-|K+*;-C7wXXp4lOKmWS(>s>v<WFpIAfu|B}9THE0m`QPUa2eYWP
z+xjzpdJjLoRQHbGbF4!)lCistadU`+K`^U`amWFKSXQ}ZD-RqG5oM8B)g&-^bCp^H
zdvw>?4FMZ}URi#_?wNL3LuHq2(z+z~8H;ZircHknAH^lhZShh4rkcgN8zRR=W<Ok!
zRBfSj^HIMgpSD^2VUgL779<(V1my5Xt49A}KWKOKS#tPB&2JiJhx3kp+u*(F==HDV
zT4wLXyL>msZj{`nxvl;0B;9`jZ?`20-wDd;n%#A_z<Hx>%=Da(hCi~qd<#N1YOd2T
zJM4M%TY=}MqwII|wagB(9$jX!{szx;k=utOPm0agvtN9JWx43=M^loF*97M9bN`>B
zCx2?|@r#k`*JS_ce$mRkDWvmka+Cei!y1+0v&56<adA9b)sWQ3C6TuJz+oN9j(yg_
ztTx-i7>|~S8u$b^wy%sldHb44XH#BKPE&8!S!KtgX%Xvh)ZACUxu_>wbaukTq~JAS
zIc=enbdO#3I{J*UJIPolJZIm@={bgV)3nSE3LRY*vE~L(?Z3!vm5aT%N!S~U&Q54c
z`YaZnbMHad+1t^Zj{car=myJV(b-9pl0N^Pn^ZkR;bzjGp75M|sv@(K)+8DC1m^HB
zR*mkNAK2w<5VTR#O~dSP!O?F9zvo}hR=jV1wLIs@(l?hn45Bx_nt%D7#=kWoCHtpp
zDMTz}>Uye`5V4Gj-}}EMuNbSxKaP@4Hx9Av7X2Klhu*W@emq(xaB=VR?3RRiZW_<B
zS`z!*Y<xmGUi^^%w?wGvyVSyp<$`V7L49Y%B#%AUI1Xy}2JE@QahSWeV#i_uLyLKt
zEe}?@Y0S%LdAQNd#wMskLXF?l>4Sr^$am921^&u%@3dTaW;~aAcyLRR-jM~8iv-&a
zN_{l&P)vHkbA{s|LvO&B>m1JZy%jH33w%5-C_mMxd;j*=YkvlOxy0e@-&^rwp}<G=
zyt}4O7krgPVoeWSa8;JGI^7(^GsRC!VaJ@cF0Kw6-~88V$ae{Ska+L^RKCf7^l#Vn
zewZ4eEW&MiXoH8coS3%Dl=4nDj%isf{;pDq5eo(Ri$#>!zOnUwFbz`{nQd}tL!`3Y
zHVqe^H*2L7CDsdeIZ8c@jZ~Iv0|_mZQj}OGxbNu|j{C(~M-FSWb~M^XDYGP-9J;R4
zYru1bW4?o^65BVPUV$^0IF9D@8mzg}(f*Z7khyxU8^^VbmgA;U4}~+n9q{(D*%RC$
zaU<W<>4TecP1ZGz!_K`G73%~HH_Xp$@jol|FnF`uk1J~g`S~|JuMj$9T(^X&Y2K#|
zqH`-7xPJ7-vC92A*?aWJfp@C~o9v_(zE~^R7AN)bgX1~|VdmZKkCfTIX`gL>wAHO5
zV%5@58^RP9sitMMB<yn2SeDt6xXR7OC$K}}#$;0`15afUVUt4!e#&xgS}r_q-bp?D
zyw~kV&3Zw*``In$zjFyP@1E?&VW+iLi|t!NrEGFiLizQM_B@dIYB!Eu87+%8yGdAO
z9y#p3v?VE_{sKq;PLo5)dc6iVmpJ-&f&w<X_e0!rLAwp6hnDm7erQ}fAtfQZg+B+x
z1c^R+xYkC)b-A>*{)I*7djlDdW{4W}1TnrZC|X>dl+b^H<6uE=0MBKP!vVb?OuZCO
z9=OJFP@y+~=L*MRhTe)7>jexq{LXAyu-;AMS4PXi?QS+-f;uuD&y!Nzp*LT`f6J%L
zUV}N8I@%|52{Qj~apUOAZh17rO`<RB$YH_Oj>g&uWtMgmC(xi9yUC-({Z2;?+*>Z#
zv{!23jm3g(ccngV@K#Jpcz=cCAYX4l&UKE%j=dEX8vH^R&+vyh6vVTNd=EKL5YAd7
zugZM!(DqP=0&i9k^UwnY&a86hPB@snGZhtx31vJgDryiD*4XZCB=EK>kd;L|#Gx>b
zmFIcLgNJK)j>P<o>(e+AvNLjC*b$$duB<%9ArBJw@EkF*bYx`_4s|f}WaXJ0dO-Y{
z{nGC<mh3;hT6FR)mo!lhwHvHmAIlo`Z<QT995K!Azasa^tG$MnTnAUkv?itW9cun2
zbM$b*YNciO5-qt7&XZ|PD(^eALEHV~hP$geG^?WfoGw_pf4p#VRY&Ge<*nK*@<k$D
z-z~ZLKd<eYVSDeHhDd&qNZWPGuJ-9+u09&G*O>Zl3%eQYI_pq@y?SqZb(rfJL;fO>
zrglrNql;x)k84<VwX27@`gr&ki!`NMavl9F(|SDKk}E%ZwNl#pa7(U(uVq>v<@Fu<
zVCMdC@2OQCE8o@j9jeanbNXoL{;+WK)^?Ta6`P))6KNG+&>gGrB1>Fj*G<-a@*BA2
zs%~^mjS+q|bFsjj?V(0H-AWAH6D_7JuCT}nb@|)>M1#e>SVXS-sRqySViA7zHC;3K
z{GVyCEH4s~OMj-plU^jUuPj>Buyx+)Kn}hq8Y1FFB5mE4T>PFnua{;toi1y9&=tF2
zN0zw6x|^*0*K?az{N>&4P;gl<;Lav)p6zd$_@#53B0}%oU~QVX?Vw87?7*_d#4WKO
z49=)^EKO^ORd|svuJP+8YumoEgU<4^6|T*{SJs%YA$GxxTycrCo2>lCxlIvOX*XD#
zUY0c`&5BK!ktJ@^vyn6D>dYi@4Yk{>ZJuQZ54+5s&~l-yk$rR7!NV5%wYNO${2zpV
zTjKpmC7dyObM?zk-wmqOEK4)QH$<_rtPXM57{tn>9r7S?Cs&P5uw%ngEsl*Ytb)5%
zD;z6cswOg9ls)Qz@hXO<<ys3W7BR_vSpgZ@T+zh9A0qH*AD7adV8@=_UMD5Rv{lnt
z?*s-JG~L#EAUusr!f)k)!@QzDcC2e+xE><#Xcd=IOrT@WX|I#EIU!!}L<>AU758mj
z%CzsyiiU@KxNPPGH}-GV;wW%qRotbq_r`IyqkJ3UHaf2fe#4P1YJE&<+C7c`*|D+5
z-FQyk(-BJ34*UQ4{+6Hpyu4esJ*>97Z$6zzE@c{TS9ogk!{jUzldIwdp*2hQX9&b-
zON(4T&31U{qKB%-B}2@9^hB9yv`yn}OI$foCjD%`YI8#F%>@w=W)fnmZpxm0mrYM9
z7;@~I&f6uYyGOLk?YLUoyp_{rGJ|Hh7A;wr+ME<|GeP2?vVEcJ;^gX$A@QD9u06VF
zrEaOl<~n`T!3(;UB}pYY@eb=ly!mVu&MH}cIk3sma7T`Tj}PBm3E9c%zU%(}n1B1v
z?ro;NtU9^6|CA!8{aX|<@86@%k-ttKKJ{gKf9T8g4BnUG6|Zgimpl9ak^0g#nNL2y
zdcACu>j$?@8uz@!4qKo6^dW9jP0fTg4DzblM^3B7DJEVu`+p_mdcc7`F;;;$A&f`$
zL=E1AHMZMoeb^Yz%J^-i!jVR?eP^aPe%!O}_r(U0<GwEvr*ksT`So%CmY@7gW?}~W
zRe$?#Sny?b`NK>3_nN);+v@23{kCz*m)ZJ;OYbXA+xq9d+5gYKA3aW%eLZ{9|A#Mb
z+*<eYci-Dt2EVWW_n%$ArK={-;eGu7`DXuBLJE72`|SC7{eS-K|5KH_pVWGv{MonU
z!pG%era!j@6$Oi({5^fr=Y(03?)T%o@=huJJP=eQ#~1wg#cr>YzL6^P1H8^LN8Pvh
zbq=gHed?so6RsHd?OQzM&J@>AGnYQGS;5o0IA2%QJauACm8K;>H}m5c(Gaa+UUH>R
zqznGOm<{1adC8SO(ff7LeA=Yhn>_5EUe9b-eN-G2^@umJ-PC1bNl{tK#_ML*Z|=M~
zAr`4JKg8>t`Kf0cpHBs=-Z*7W{--m1yVrm%KDhKr4U?hun?GPz9iKkwbMLg9iQ-eh
zO4m)9lRxzg-|h`yr5l$%so9)dcEfe)lVv)S`t?+w-xl3`SWge^;t);C`<*jn%RDuA
zYIZ+S_CEPj?eLoo-rgXu%TFwg*w<>_XRH2tLz~7VzVm%L^Rx`C-+Tf)Pd?1c&&p`?
z;dL5dgFM0t?k(;)qP@9EdXa~fiTA5gli#;i>8w=jT9UkJNlkmMOlj$~D*~>UBqJ{^
zSbX#NwG>a@K$W+XRe7yv{msq=OF2efTCw=%_G_tNsp+b{*0cU*)q<rM_dgSy8*tRT
zf8F+fwX^qo_V)3bzO_!6>{ItlbEDrM%dm+8cLW5ZvOcWsN)eo+tFC(V%(D|JCv);F
zjk~f_XNn1*nd~_!A<>fY@Y79ax;Vv7s(5Z#Fz3MGshi%23ZI$aIVmB{vax@4>P>yM
z8M>2I4*n@>Vh}&=)=`|QeCX#U!N*S8{riL$8)kl&SDpOeRe#O<5F_p#noPSk#p;|W
z5>)Xr&^+BGW~q8mRyDOs>Y0}2W#f%rZ`h4I3O)4%54+y{Qs8J-J7@Y?hV6BKLTB&S
zp80ZReqcn=3EfYM&UYqEZatbZ`)Nv|;Y~Hm$d>A&O)F;PG|$n|Qj6AC?=B4Y6BD1j
zcIxD#M^mz+Zf*Jb{r+~**|Q37`Zs-iRR7?J@_qa5*|`T6PK)3EQ~rK=M&<(9*Z;OI
zTe))K#^l)B@1vz}nSU@?eC&$U|4X<2t$+0U-%hE6TV~zg@^kB|tN$<Dxw0+x_Oqm8
zm$Q#7$+6h-`of)4#s_<(3^k*lrWH=jx;a1A>fk5)=>GXHS$ztu1$sd1Xv(Y&dP=M-
zW;|cRa#U#fxeYf~R?m2UrB<il72`6?uL8kyt6DVuY>yqWmzG_zZ|hR<ocqkQ=U%2w
zkvpZ1zKQ8g^jr5VF>l?o<hXTW2}SF~k{s8GB_^J=-};l?Sva<SV(7~J@B@!zS`$3a
z{?Gb+Ki7+~_OnV;U8Rugf1A!khj~vD6Xrch4)D9FIqjJS&*>r&{?o-G^4djH7_^J0
z2&^ue!g08GibU-juVTjhe@E8|?D;32{n_O6<`R8>r5C@S%XB`<i_>beuAI~!T{)?L
zxn=6|im8hY_M8c{*>fVW#^!t=lg;TsCz~^YN;W3~lkWVVUZ3+>+c`7iP{Qk%*=zr^
z9{!)>{i&F_?Mlt1Xa83?9oFZ4`#NjZt8A+)CR?_dZBM!}zc*C(t7n|MeAc?Gl`%0Q
z?N|S7JNtjzE7_>qwf}3KwX3doWJG-YvCnzZj#_7Bw;7N7SD#F>HS&L?J=fBAX%OS*
zV$&w&ol-|H#`HdnSoiE<#kyyYUE)MF+@5>#B!5!jPyVbT|M=4qhR2_m2nc^#!Xf;5
ziNxeHaR*gDvhP=#roCYP&K@tTgU7b%%}rVzoPOhGSk8W)y*E_-Z*g5;7_+1Q|D#Ks
zW;giaE@^_c`N?iORO-Q9zUr8O^z7NuS=q1t1s!-<Gx34XK99m{GAX*HZ;zf7iqGBk
zCV+F@%);DA-GsT8j#E;brt8i0KcqMF;a2Z&AC9U1uK4}@PgP(}(Un<=o1MRIIJ)rH
zjaLE8ImIg!%Pg*NsLPmkZM5BPIqN9j;{D!g5(#`>o_Y(~gVkTWyP~<vvb1fT-(6?*
z<?j}6UR@=^9BXv=cYWarIlCPx^6z7c8V;^YZkKp6N%>3BNyDmtHzj`P{{Q9uo6l6X
zE~{TJZN=3~HzEWAf1a`0tY5ft>%z4G=~r(G2`Qf4rlyj4$nsiCjOK$J=RTK$JqM?y
z8=hw3vkqxu7yH^Sb<r-VC^|(mcbU+aRcFIBe_4dI?c=-Zyg%ym8qupM2H&>LxiZP;
z!f~zc9h#XF7_XfPo|&+~dzr+ONk1L7T=PD`>$_EA((0~jat{MIk6DLwiBDUp9}GGe
z(8_PplMUbhypX$*=l$*cB;AwSZcNkN|9SUnk8`^>KWTe4?^0R$*Ras@=YAygeLJ!5
z`SP!Ze8$GckNGb185@5-!)N^YO!tXJXBM2XFI)cj`(M|T0JfC0)LA>$E);v0v7(n<
z>eYjVI}|hmw;fq~SokDM#1ltvzHqb93zL*fZXC!xc_Q$jn%v6`t;el$9(VFt@6cRV
z;$<;i|AOw(T~>)HoMD<b`*yPBOg`WjC+m6j;*8s`>%u-e%Uv_OvTdWQ?e2SX5A|7a
zA3SH3Sbr!oXolo##TlZn4GpZXB`5jCOyhmiyLrY9`SgtiD(8|OXUsWzaHB<ccWa>-
z|K-Ozc4-~UOV<U*RwoyFT5tDzk;<rhE<m$K`1w}>b&2&)+0_25RcS7r%l*=4-!{w3
zS7zlMaQV0^_2MLNb}^q{(TTHXy$-oQVX^CvEfHOno8I}!%5FN#J7ayr*_*%o4MJrY
zm`<Eis#>KpAyn?@(Zhc0CO_HZ^D?K=HHi7|YQ5XHCivHPMQ(bPSRcANecPI<8}UzH
z&D35yk8kTvpM7?>F9pp$v`2#dV3S09<4;4rDyFW}_ct~BbuBiXlCZT+Dq`tEwP~j{
zS0~+S>RzfValwbn+hocEZ(h?4A<n)!t0!L*Uwrmu-<!Q(PAAK-XeY^RNxQ;l6@DR@
zWA)XYXD)r6zU$p47H^X)2X4o&T-mvB|D>|p3m%(aFY`(6&3UrWd(N+%b^E6JIVvCd
zsegpi&8u>o>g8FQCKo0bPcf-pv)afiO3-@2mfLGY!V?+KUw;<)#jxwe{Ht}7(rVUJ
zoHf4Q8M}S4@+z*22fu_Ly1GKhE3s{o;F%C3=FL;i9LZ7LY~X$}=S-*(`{yZVj@GDt
z-r#VuMkgdxa&COc#U2qcP052vs>TMPCw=z(UD_4-VqK5>_x)ba7ObAM^T!ICdF}jO
z&mRBP7Y$8ITJ)+QjY~h&a~8|`5YMO?OR^NCCx@OmC?Z;9=+kQB6RaqIIW=9?S@xyR
z%?XEI$G@^TD4oeEZB$}2Lrr?^i+_vvu3Wp<a{jT9n+CTp%y@TYrms`*5|hdK-p`9P
z_xxTuOX$m#-elFR1=HJRz0l1w)X04obA9Jpv-!s#T18gQw!SPQKdHA~Wa>3nc2SG?
z7zx=4y58D56mQRRlh2GcEl=wX+cx>G`sz(3#k=gBpWA);JM{@eYSf=!N8}>q4v970
z-Sjjvc$ZoD^tV&;&uvLuoAdI9qVIE#TRDI0mbS3`y?WsQ!_7MpEsp+293pp{Zr@sx
z!4tM6I`t=C!OF^QCuX%v&FcPWuKmb-`s4gbZ?~^doPB<aSQPipv{yGZvK3xwerw>}
zbSs}z?Z%@++eG89)^2~sU*WBN?X=PLS-KaLuU|90+$O8Cdhe>ngbnu+5<2cBENai`
z$$Wb$>~@3kCSkqVYqiCyXXMN{amly3cw+hb$vx|D)?CoKo6;+GrJ^=Dm@Bq)#)HKb
zvP-&nYc3=Tzn^Mh5&8XeRdGY6^}gU^yj@?zI$uthb4XfNtzzwAwz!uYI;THMc2tdd
zb!7XLwo5ZV#Ox^yHritPU}nYtEi5m;nTIc!y`6c}ys)Atn@Tfn*8crGOFo;&@vHow
ztMS+V_#|t|zrC<Nq;q57){sTVA3Zfo)4J$>Nr|=mxK#G*qM21P(|Ubf-J@&e+*2M`
z2|mBM?)kn7Tm2)P?=p8b?!NS9dOrWYpVFqPKUM|$^5$F%5WX#~x;Ru??bNZI+fG=R
zJDLS-s%AOZVX)6{$A5p#*fl+qnVU9l@?5#r`D4-niJLDXn;he<w6gb2YD_DeVpC{k
zc=?}Qkl8NQ4H44>i{h%-v|E!m?F)R!a(wHZ1Dv|%g+Zrv=S=Fon=9)TTR$P^QugZu
zVoXzo=KKqt)1DB&=J<h|5&fOT8|Ap4FICN2sk_bH{^r$2Bi^dRteJmuigWdXU-Z~r
z`muAD&D{p^{tMB!VplZl*&D{pvS>Z%aKXDON<=gEYu1WJyGOY?Ud=y$F3Ndz?yA^}
zLy4U_V$LPjE1cNGI=8Rr(pYi8dy|oolug6t4RJ-ALbLyG(pkTyHuDVY*GZo(B%W{X
z{rP3NX3WI1I-$%5LvPNB-M6ONmzQ&2m^1VB)W`1oinU`OnWRS<Mu$c7u(R${yj^AF
zm3%JfC{I?A&t4t>DVG?fq*I>P?knDB^Zd+;>xE4(HyybhDWCVEC-dtho9)k=kGCy9
zrEHXz(6z>2^!oSsF5dHbZ#Jl?cW;zPieBVdbmZL|-w?$nPOX%LJzO&-mOF_ZTQ#9~
zYf`O2$e!Tserrx#I=fC}T9@qNBOKE-XEr|dN=s^;6dAEH#Vo9IZ|A%XTa2f?yR}}(
z|5eR0&5Vd^4cbRvEj+!Ysw}x;E0^G!72<LNIvdWPTC%~%kz<<oWbS#tcPhVo)7|l4
z9hc;e<xXnvR!?Yst(Ee4A(v&v5+^;m)f3v)wVpiAoV#Zp&zkTn3p!1?k`h-o-I%db
zfiF*^=)t39O%*$qD%j<Db4E+r1q(Di=Sq6e)HK6lp@NuSS8;*EjjEM=%a0s&Tv*Y)
zv!b+9Z(5QJOL~$_$wHCG3r?#Pat0M$FuhwD`DNF)V{Jak$sZDVW%>&RVtNWCcBrhY
zW_GXK7OUH*q3E~4ZO^Pwj(7PRxhs#Zj+}n9*6oT@f$F)W2U&BD9x;u~JS}E*V(Ev{
zp5_ORJ%<mxsM>q@h(@7hKnY8^uzQxqK@MJ1gBWLD9%1+IOuIK<o{@R>iFLx-9cEkx
zyI;2~e8eMupe9$&`N&Gcgg1SHY~F649vmv+Y7Xg0Sn#HRaglW5N2lOU!9Afy%<re1
zIZ~~<`Ge!hoIL?X?6Ff*3oiPev?&QUlD7>BO-pFdnl;hMUsd_V(iFBT?U|jis}@O%
zUF)!S*D{oLpK|7)pK5WT&q*7Za3lHYN7Mzo&$!fwe)O@@S~<z&Wx*1!OHTHo%r{@G
zcH*=1diIZDXT?e<xww@R`mbL)=D9rjmeqsokJrqT-yGhx({G2KovBl1s^89~hgrUt
z+&G{oYxXfD>bb~v(+8a<Sx@WECudG(`Ccv8d446E#*UWX0TXjx9y-a)su%lkm%iO&
zp+g7v70k_P;<?MSYqf=wb!<<~wJz&T$5!3Z-lbu;Gf3n9)00cHUy9}L%?Z%I|7@q`
z>Y1N%=SgRIq(^_eRk$#C*;eOeedk24A30vdH8(2Dc<tA>J8gDfDGAM2c%dD)n@^hO
z%SCCQFW01XzFd;d`Eo^C=F5buj^4TJI&*V(tyXk2H#NDiHEf4e^ouK9>yOlyE-bnK
zY~~tq7rh*P!Sy*)WZxY6<`yZ>8++rQf*q&$BbN7D=bYQK$uDN-hQCpJHpu?EdYbLy
zrP3GX`q3s=j_`dvRJJf!+N@K28`ss<*YuCXuN7@teeL|yh6j)DbA=mAa@?PARPE-?
zkbRr>hLzd1tk21xwl)6isc&wTkB(~Jj!x+n-nD6OXkCGs3#)WR&g9-xxw1{E56dba
z9c=c}c^thYG<KiP-pE<+eWIheTcwx$Oxhq)z1PT0_p4RpbZ&*W6D_oIH#Ue}%Kdrf
z{>9vrtr7eOe@65-7H^ajPEWQKJLfT5aq{^~m*!2IwykFEpD8&t9WmPXwQ`qz+WJZO
z(zFwo?gdJn=YP%1(*A{wnf)u9F#8ua?%%1wg;ytJzU`>JRCVI&Q|Zk+f0^uFvp+nl
z|LN&p)h1ICwzo;`P`LLy*(7$QlkRfU6;HP?ZJl#%{<O=lg!B8_Dgvq>o!8@DDlJ@{
zx}qXEsh{g2SFqwPKauw>Vu2T;x1X%aetPUk)W?05FWvhbpS-<v;_cd;@_C2&cvWw#
zTxfDxDl2Jw4#)ZB_PPG-`Vx0kPM1mw=Uho-mM*z*B-|<|aWCKPh~<a*%1+$U+7W$t
z9@Bo!>?eQHXI@jgGhtcu&bvjiv+{nHotFEkRX*|3jO!tW&HoCc&2p#YZ+|m&ZHv?P
zXuZ>Zi!Z#L=DlfZ?9Z~(t{=s3@~~}>?mM9@mcM<@`qcGOT8AE`S6(msQFeCwAud_R
zOM$|@$23dkJruE8UC`BgdCsE;BFlGJoYb`Y<|gvZ?MLZEolW6-Ndd`MQXZIzpFP6m
zx6z<GIOojcON9p0gJXQ2iSX<1D5?39wZ_b2+fvorx0bG3xnusC!`FSU-iu6IT75a^
z_O}Hut4;4eD~NWMl`{+d9T$22qTJbQI+`|@0-BCXWj*L@yR>$5TU5ohkhj}xQkPch
ziI{!rS|DiUV-VBM%X2wVc)hggjY9#kInvH0Hx4GqmKm;CX=OFF;OAP)=OG;rR&YsL
zEOS!pTRovwSS#i660VDvgIZ(e<gLmJ)#@m#nlq8{TlU1wMGgf^R#x$=@&xTzufX!x
z`b1|VBUe(=f~E+GB?@M2<rC6o_0@d3G-HPXyO`do#$NBD2M;#M?AW2iF1PMfV}Ewg
z1mDCi8G)Wj*KDi*o?Yx_x$tmzlSIV=1vNRXj#jxYnHw+k&u?k`-5ZqfXsS#^g(kaM
z+=<5K=S2@5&6KIA&|<fnXY$Fp#`(jc*@~Oujz9ap@6enJ0(;)2Ww6(M$<^9${mj(*
z)Vj^iUvIi`zMrJO<$B@_Gr^|lTP_}k6YFGGt?t>ha^;*}!7PsrOFQRl&DQ!Uf79`3
zhWpf%*?axg%Y1K9xvdy?=37Rt5{vrQ&MBwtmNDDMY%>vUddl5rswHr+P}Hcvsnusr
zh$8p$kQ2uoMT-ieT4Q2@75URcPaN;Pa5|~0V{h}qjeUpGc4Z!8SJZlZ@V(c4^RVrY
z0<TQq)05~GwF<iFaDHB4P{f{;_U(suo=Avh-sP1%_1>QkezO)T@o;?(Oy-#ORe$yt
zwx;l^=Em!Xeg>Lsc&(q`a#cKaN!0b?*3LN}7p!AH)hE3mD0YADq!6Ek1*L!exV%ek
z{Uw%3E-C#RC$&1{`sAgOTvxx!^e(J0jLcW~vBF%ULUDC=(3;nIvOh`-qCYI_uZhmp
z>wUK=FJw)>NMFmn{v(dNo=raZNukV}ms5{xHV@n9gVqPDIF!?83VxaLbnA(r2*vEh
zN-7cS+SsP8TG*+YmGRKqbjwEXYif)p7rZ0Ag!fK8<0P-T`C|A<8J=(>@p#S8FBA7p
z`Wdl2$&D*}YEMG%B*}=iDQaPwGh3%VstBxU_U392?RYSOOVVP6lN#TO39Wy%Qj+I$
zS>9Ofr1y=Z`i2mf*}_=UDGO$AxX67`WZ6rDgC(+N2F~riXRai2Z!WoUEXQ{zPs#NF
z;e4m<`zx{*E>~OE8z=Q@!S=RYFBUJ9`<1z(f2C1Wm5W8|T`8{(jxtSMQ(}+YSlRTZ
z?hT)*%8hkxY}-~Y>|C3bkvMaej${42^$S_JO|Bep;x*mq;jF78w(PpUwAY>=2A|Wm
zF8on7&-c)^{#frM-wlB(OEo`D*f3G&e1E(2>hJpwwWMuZ`senPiN1w$O^i;#Ep;|q
zgJ$?R@1GmBu#Iin>V=(4Wq+(%bzs^zzb`v}e_?C-Th-jiT-Ds!?8N5${o4Kz=O)#x
zjD(F+vm(?|Rxx!ywOe9-A?&&|kIY4BADOoY?p=&C+2B6;2J17^-mBT?8q<<WUl^4r
z+^m@LOojb@<;kP&ai2DH_}@Ez>6nLJ)9E{#7n_xZR@>@FN}TpKQ~NNfS#!^mBrW~Q
z8Rxw1(!x}~P0cU6oZ!3ln3vGo1y_T_Oqq{YbY3&nkF+@AZ59@~dY8sdixazTWFDx>
z|Gxajnnkp;_Fkvns>>7au*rY7)5}nk<C@j{yXo1Zc?&9cXlK~HE11bS>x));N^X_o
z1)VzrCeqhg&mO$q<YxY9j#$m>&<h-T+_O5po6;UHT3~rYDMK%;Q0n)w8(!;#e8M=5
zSr@lHZF-h4bHUCCtqi$+A|~?Q7QMCKN+&K5)Oli*v5`kltcOjEV^-_WrnKaF3oK`7
zXUIL{nALwXCM{>$%-lH>YX!^t7tFk&n^9t}n!#7bHLF{;=~=S%@{Q+@=ZTs$U5!~L
zJMATJ)5Qa6j+-}lUXaOoV)Cu^#`+!2JKiW<UX`5YX|f@%BPVh00?P>P47qC@v-)Sx
zIcCRW-EO_kJ-ce!f}JxIGwhBPNd0d3q2jpNFz`Z5kGP5a<3)#!fA9EKbMDxxEY^JE
zjeXN~);v`eUtM|fuvXlsjYd@`9z;JnEv@W(Y>S8(ha2;0ma|8`ybuwt@%!U_Fg>~L
zLGcEc50w!*8FpetQoC>3L|owcB4EOOm-Vcpx#Q;xQ!n4xVLkb@@$XIFOdO3rD&G3q
zbiVVvSdG~|PCNE|*0V>m9Y25Yx=^#{iODy`bLvfLk9!yFlsGzdI`4U9*0aYs{F0qN
z&f&YrC(LtnFYDq)#iq1H%NImSXk?hNam;G|*Ys@B`~{USv@`5}73{T<*tGtNdvDH(
zcef8@tNC%-ai_DMJyz*hT;O;iCPu`Be>%(A;}?HrENfP*Hcn4*{$}cET<Cm3=Z=Vp
z^mdlB2W=gT3*#@?#E6;5M_csP2G&eoaB*dmc8o!&j8G3-TH$k{-|K#`IBquZypZ!o
z_)>A9!v!0eCq|Dqp0Rn>ljA3Orj-BN+>aNV(vsIKu$0ixkW({v;eWH4x%_$0o6Aqm
z?>Mtz{iOXOCc8EZnuyD@^!8QoPQ2NuYgheA=%BQo+UdIn_tFxQO4JXy9o_zQPD&z|
z{6xR`d!8i7=<j?Xzm|WpZ}j8Eiv=zRRS2A2cXHWUJEs)kWR|mso@9JCyt(CS^aslq
zsu_A!9JAW{o#JZtJT}?JQ=yx|;?HvSfU@J_<&5PqW>0&COsr;c&FY@m^z5NQInOoL
zWd&ak-Kw7bz}PVKL*xyGA31LXOxVj=&K}Km{9F)wp{7RGw)AYm>r19q&u6}wd@_B<
ziJUwe#SFP!+=aCzk4?<>?tQK`!8iXs%h^NGj>R9`F1*-tKGW>2%z4({2azQw5~8@a
zvo3Dj-IVrd)`G|%Q5RmQg<X)jBVfY6ea9J=;48uBPApqd-IVsYaly_Cl?*vK?pgin
zcN&{tCM#X{$yqf+FGFk@=d9k9P0x}xEvTGvB(r4Iftox6u4Vo6nl2uwa5OgbyP(q}
zY9gJ?a`s?`<7We}3pG4XOy+-E8`tD^A^O4#2CWRWUtF_V>zdLQ?_XdkA+T5Bh@L&q
zGu0-=7@x4k(u;$ctyi{xGW6wntjc}3^5ij&xT1n7{`U^2#eLeSc}sGw$l3pFj*DN<
z&R|cC;yTT$e3y$`>h2d#sk>h}XZ4ykJxkcSU}uDChFloetbWls$JAD&Hn|z}YsYM;
zoU1IstJ86gOKkR5F|Jvyu1#*{y-rnpX<W0qBb%Njn=Rk?^=yoz@{CiWDiIH31bf(?
zanI^xZAwdAxZq}lN(P@8_pEN!re_bm9Y1fJa=N6TXwI{qH*a>RWT@$J&1&Utax*t>
zdiG$>f}a+LGv9FC({xm}IGJj7!z@p{hs}>;R_EiUv_!q@sW-NLobjM|W9WsNoW~|+
z*WU@4G|g>FOPCHWX~e#9%<9!`dX_MM!Ok1{8FG9DQoApdM_l0OD-f0SIXRtmabxnu
zqy0zAdfQp%w>CFDOIW|)r^V^aoX=*l7hb&4&fsHnZLjW*z5H5Gc2VT<SqE-LTwLdN
zJmXsIvJZy3XCA1E^Z)FSd*`ltP50dgA=$r+Z1p1=Wc%NhN4W1idEDe<)ASc=ebaOH
zJW}1&`dD?>!3V1H%8Of5Y@ei<#II?d)3>eqg8eU7;pDS*ef^oq*7}w=PI>E@eVWuh
zch8gL@{pwIoNR8!2iFPpJWypmTzT?{Lfoc;>HhbQ6~`4_=<R>;Nc8=u4^7i+Y<j)q
z&i-|dQ<@?2_T|A%AGGp~4OC`vZ_|)D<t^6tK~VP1b5-%`%9DrX;y!KEzQx+Kn(zGL
zx$U~2+uZaOH;3Lch}&d1XZnj>Q>Mq*Je&Oe!<6YYHmmEBwJ%2{{9jt!yY=f!z0$e2
zWorJo_`bAUwCL-b&X(^|ULW2~KILpJlWJb~F|3{Q-ik|ncJlwCoONviRnM+cX?2$5
zRkN75(k5H$pyr&5MOI=NI~#+e@*XXj7JXy=Q!~D-oz2Wqe!F*1tG==Psol31tF9jq
zjV)<Dw?E47d6KSqLC9%enaf4o$G6@&W~y8MVe7QrJJvmw%gfl=KYQ0J)51vcyFL%6
zO%uLzt%$jN%bg>!y4wr<Pv_pbUc?^0_0Cad-R~a)PS@I83SHmoz3W97SO3;I4?3qw
zSFC!fHZOB$>+`6*$F0+>D^@-&(YhYm-`j2V=0OwRZj1GY<<7k{h}BNlvQHE&oZePa
zocmm4+OgRj`_BB?^Gw}PZI`+K1Em>L52PlfF-`B3<ctwpre1J!#<T-Z6SA15cWZLS
z$f>Cp{G1_j-+^t~5p~z}Nu8WAEW$!NczXOF7#UhOo<6jLMNj&)@D7<Z9uJB(8a19i
zyoyCne)VJN6GtBXSn?ufi&|rMLN3$v#!}80wQK4Hkw3H=PakVz(PKX?wByA;y~fjr
zm$B%{&wea@;?SZWOJ3w`Q*G={$Yz?}n9CWXwoSbt^2WpismbX~)0<;CWAw_7hp*~w
z{iw#y{m57E+40#nXS&UQ&X~P&&lUHiQ*8F!otp(}-UL)u#Uy$@bY0W_pmNRe19v0(
zJM(5*^tb-r`0~aM@z;d~>en7WDqGXO&L-Km<m*kvWtu!S9o}u{YWkCHOH`#^FI;^w
zqQ8@Uqa1hhqgmbO%9E3A`Hmf_is|Y5S|K6&`lF%IyEO(M?bf|0>5~>L*RVRw+y7NU
zLhQAnp~<!6M2|Jck3ER!Z%*HsT5f1yCd<#9WNY{9xM^!^dEw24n<Mw{aK5;6P5zqW
z4)Y`WJMV3j<KCNOYgTovO6E()*Bvk9!duPm9qYB_yLTiqW>4SdiVD&6j~_SvvT9nf
z)bjR}mFe?pxSZ`fO$B*=x)|<l_tE^~_h8ZH2kRflvQ?>ioOU_SqQ`A3v?Hg)|3T3O
z-Nw^L+gVb-e6VXgeSCNPakB}H2S46iWPI!P59UjjZ+)4jH(uwAQQLLwyPk0KBVRGL
zW3zL3I?OF!$mbVb)W7#+Vg8<z?(_ZsEqb=RDtP)XkvO%Df_r`}`83z6SGTqCtY-}i
zv(QhTFaA%AFX~S`f9SEx(+wBR1FQI|)IaTfG4;grh3QK3`{mAZ&N_SGv&zw>=^5Wu
z+Lu1h*zRIybz1Gy%!;W?zR#?fb|md#rqJx(b)K)z9lW4oChk1xh7FHj(q}`B#<erw
z{i>ULz-{*H>mScv@Hv0$UuVsiHNH=bKNwCvlAe^X=*tT+m5Kf9YK4Ew@OVC1{5f+`
z(FIA<iT&qR&SW{8wxD{_n~jyGClha;nRUYb`85~2U7?<re*1j!NZNeCxbv*rdKEJ(
z1@(<PUravYmVQgcO#W|OY@~?!a%txH6Vi89)eEK<ehkXy%)7O}YqNmO|I$=v#my|+
z-_Ji<#W637U-Zt2dYSZ%A6%+cwxxSKtXb3kAg0uG)u$x|Cih$lRPLobaM^S6$is@s
zt<E3Sq}d<)^6?#+9rLE&ymE(F{-;9gZJ|7KdVM?kg32HHvXnpeWqWsYw$Gh@^T-N;
z{7oMX?mc-BxaZ{2wH1@wXMa?aH&2Q_dSvE}mNO#jj?d=U*Jm!dLn7a((DYu)L$5t2
zk3FrJ-0b~PO<equuiUnyvukqtb49tACkt;1x*?UXbVDfLXoK;+l%()ICl9@=nA~go
zQH{O&v9Dg)k!wqPgEz{tt0&v)eLGrZBh&x&hecTI22Gosl@kt4a<OYVy8oZ++4)C*
z2hEsz#>2pP=EVa&DQ?1-Crj%3c&BYKG@W_z;FJ_M@#0C6wmE)jUp8t6a!W-_(Qve~
z&htrAxiR5P#)H)<Zo;o8OX{xiPTR84Z05y-(@*y3T~jfxikN%mMRMpOF_Vo3flVc9
zu`|zjY*3nc@xZ4PH{sKhBz1Ls)3z9@&AfQ<M2egE;>nV>Jzi;F40Qszqar3~I9k2#
z^GH*Xn0F>4A;)NOXW_{nv197Sw`NQ@^CBV1XmPh^=d0Vt{<zq&e-`=~bH?|{X9LTL
z=a0XM55K1=b!*>sR(qG4Pv%pv7rD*s<kw1hxR&eYi*MenMFsAnr;iqke){0kT4VE9
zI`bgMZ_Q`Qs>&5BQrPms<8Plf6xN^eQ70zo*k;35)3w}=3#)!MbUAoqz2R>yNyqo`
zVfP*$H@5ny#dWZ*wlXe|<D2%GVy<I#ft7I+)qbZwJ-L5ky4sJ{rzNhMH}rMSYfIjJ
zr73y0Kx1Zav)8kP`IB~5EJ~4^r!}+RmFrmDn?2DdIkss<8T@E{&X?-K>^-$-UG<bR
zhul;*Z}dDVlM`kn9zCTePk(C9J1x<kzaR2vO}e;s-=a%R@$B=0+)NDOPrfMfIH?m8
zY$Sbs>Y0PSs>L58PTJT67|FMb^!zRPxMq^zoM0nn@hNAHxT-ErUO4Gy#F`YoKFv14
zZ-<XR_Ij4M!N^x$UF749d?kNP$%C0kH-!EXU2C+c(by~P(V9t-7Ryu2zG)qc`=))G
z`&5NopVrKNZ?0o?xs|SgKOQ`l+CD{)Hzw3b`1+JHhpv0MomWvUE^t0+b0@^$oAlY+
zUTzzLPx7n@HxiE5oY~6jm6kkzQQ^(?7Ur6dFYetv>F13_32$oUqo?*H)PL<}v++*s
zH(Jz~>y?(Yds5_$g(+ry8d`0CH<ZjZn%5oc^(=9F)5cG-6W5#ET&?wO)r8hst(4^b
zT$UCKob>osO=x%4dh&R~o&>gN?)VU+Cb>@=jVmrE`>PrkhTgL{I9tA2^YP6cYkHn9
z?pKnFzWgoNi2Lu<GshTIiwy!!#_$9g@!u8g(QR$ll00belc{y1$UAMRPTkgaZDCVG
zr;|E8VMfx)Q_dWWQ2ksGKGR?RyGW02xx9wt!Q`Jcha<S&gMuOai`uq3dl#pO#c5sM
z^3m(0Oi5@6PxPg_kYk@e#vP3K$#=T^%$mP`t0wf?YCU<dgKMY7GN+QY3!LowPI$|1
zIj3A{a?OH0<irt9(M8#^qD2MKtuc4P73KM#?&L3H-Tvg_jYI$EaYa_Fb25utF`+qK
z>&c^aT$MW(JK5=-7L2}nf5LRj3g?q-p(eudt0(lX)_U@wi)-hN^-gm8LKNk_pX}tH
zcF*|9#T%!p8@VE9EO#<{wrWDNrPh<AZCsTS>h3v}HleLPK7oqdlS5A&QxPpP2yBh<
z2~gyJ9D3roj%Cv6m$6zd;g_{s%Dt}UpLG>{+o6^6u!-yD4o&x*i@&GZNavib4!84X
z@xGV3DueCX$_brYwNes$xo$?Rb>a(KIiY*1)|13muAdSAPM-=lE1LBBnC6^+F4+ne
zpFN)*{ut!U6`m}^ay?0g?b}g{4FAr;h#hi|Hxw!#d-x!&=kU?B67B7`4f*8Dzf8@0
zu*Qy4xplW8UsJUqAM^KQ88x{h7CJUPg*Pfh9v2j79ebGM-gEfyJ&E>y+h1Phg?{r}
zW*&Mc!G3U^M0?|0Lq6f#Nit&Fj#=d7^cPy(5PH0zxT@#y;YNw}{^nm^+Y936w@f{>
zP=fv7Ly7iAWkWt;_hcEdzM~d7GW~@X7J`op3WMSk&GcUI^69<e)zf>)E2sCG*KS=%
z{d{HP4L{XeH-1!WGQ4^}T+>(6$M1pCj7bMvr>AkosJW>XM9!FZAT`;IX?pWa&KSKk
z)q=_ya}PXC_N!r*l#rb-GPn1cT7lph?*~Q(_Kl~HG_mM$a|`dt(eZjvWT4Y{`sfE1
zJ$_}O9WTCV>}&Mt>GJP77Za~mAgJT}z-WU`<LM)xSoFBHg?8ku@p-VQ`#FoA_-f%D
zHadO}K5bOn*Qn#u?ca5-C|h_3$2HXg$%y#}QXj2m(c_jD+L4pv^Pp&hX5;Cj&whAq
zHPpNJB%x+c=evoIedW}S&93qJ_2jqd{g(&q5+bIr&V705+9ksu=G}s4?N|Jq$6}IV
zB_*-0O{~rI|B7e*t~312m%qOGX650MH`fxaie7AJop`oBq?zG*uzR)gZ{yb9wTrwq
zEd09LwEn<q&7O%TSr)5iO8oFzG%G?sP<PGb6=v7GLfBWUuIe@wx_a26^Hs9W@@KCi
zeS4;?U|FoPs_~=H)gv7nW+lewr`p%bXa#b%d4}AoR9V%jD0KBuLT6U;1ea2SfJM6`
z6jtpN3G)bPnksblfL3SLBk#_v#GNi%H~K8HickuS)!|(oa(c_AUvu>WIhT2cFbk`!
z>Rc&w^^izsR`Mp7QUlLLyJl#t+EQok9nz#LboD@3r<eH@p{s|~I=#&MgsvXs>wJ}z
zo?ZE3Rleqiyt|VYGbhzrUA{H#(7IDQ)Et`To_)H|h-b5A&Sd7MwP)|I{#hn+;YiSn
z`%0HN*|u<SmU4dD>TJWr%yN5neZ=QlzAdFI&Mq&0c}&DCLnF8M+50Ph;##j=PtOSS
z<B~ck>S$aLdBJB+*1yNI?AK&1{8+xdN#IT9zsa-gCkgIq4O47t?)t*>CR9N9x5$x0
zb*vi;9UNt3f(69gMUNa7Wc^qe;nel`Yc{K5#bO1vds-cx;b-e3KL1WUWanhJ!Bv2h
zZEMK6xm`03eSGyMDv(ppBZOI8bycT#5+4tbSh2%%=D;llQ9XH&do-*pw7c}qbuMZb
zUi9K|&63Zi&o0g1)L&hmdG_)`-&PK@l@l6!wOp=m5j+x>_9cH?ocBWaZYIH!a7E_7
zAtzk!pEwch^u=Mbl5%LI`V2|Q3au(G;U^6Vdt@Xe)Y;XJdG+Tew1t=(#;&?-+a0pq
zFm#pm45h2FKGR;wsd<*l3u}8{+Hh~qy4*LH9L}#-wer~z(R=&liTxh!oMLAGGtZW@
z-nkTXf6^gtRplR6Mi*TpxUOHF@SsgfYRA$xwRM`GlOODyG_zt^ir74@nZ4>>&mL@?
zw6kJKid>x5%>LDzKJW03HjdqQH$<`NwpPl6KCYQNRy&EkTREZkx7L#fja)k`G)f%9
z;*LqbHd-4Y5Sh|qINRe{_KL>JBCr1*=1VT@cJ<}_m9e5RPAY3r`sx1ce=1Hde{C?*
zTE1ad<Z;fh%$<#*QF%#A58SqT^72+s-uc%zxBOo^O<3n@5%cLSca9WIG&f(~>oxnF
z=&U`5A{U>#n8>_Yd9g#`!INV59WUNnt$2{#)Z{}TuWw23nabRpytSvdZQpeD+boak
ze2Pt5wNeu1a$S5|o6;z%AhkfZr#xv1(^|Q<U7^>EeOtGEx|MDITO~e3<;K;8dx}<d
zY358?!6L1?s*zV{>N?{i&5}1XyVS}$7PY=zl##qw!|H}wm)^FnMeVwaUL+gO|2^%&
zy!|e!7MB;+Y*bsatiV-GIA+>OHocQ6!s4p#CIw-OW?85Q>du+I!c5O2gnhZns_ylw
ztJ<@Lrk=BxJ@uSDIP?Buh1l8pS2kbH>z5LGGxfmvOG{^5zdB(Zn^x%blW8~HlqaWJ
zKRT-`G&5npkJ$!)wb?gLpWZ2QSM_m9DyQ_M*~J#>H#j%X7k#6$VZPuSw$1a!-sD7_
zemEt;eCFRh3FgedTc>>zIQZq)g}5KHnl6||rgA*ykTnVI;G5a?h38IyfUvpfkwdzy
z8w+C{Wo!Zk#J7tcIULRU@uQzpm+^5n)`g1~G)df8qM(-M9@RI=C%9mWYtY;Tx2Ly!
zT>KOF$q497SeBA7EyUa~cvbWat*f~{(_ir|^DGrFUb3rwXVBf_dpwq(mYAvYd$(S+
z$HT2$f_o+{a+>xoHExx_!R~4HtA7THvgSvn=kHk*y=2?BXEn3VE{KjkwVLmov*KK{
z?V;C7gx=h^aW6>jxW&Be7d^78PUP&#{>Q>TW#VVnn2^+cd)H3-sXO(h{f7;cmTw3U
z;oK+U%IxiO@yH{O^V-+aHr%byV`s^Ka^OI)<$~GkPjI@hr>)v_)sKsP@!3GtSg98!
zEhoD=SlUGdJq;hJxVkX6iwgE89qH`qQ0voBnsu}zSgBX~&Dxui0dHOjUzw1wM@mX!
zd7E0>s)el^voex<nAn!z$S_rrSlY(swrXLgU{*%r4yjub*Mjy1oJlBD`0sUr;~KYA
zY?J%5I}XX$S6n}p(qw9M^FY?joTShthuMn^i?yFNB(%y%MCh@rP2)^8+Guh~bmq0C
zdUt1>UAo&O#cEkWNIPfW%MFc>t#Tf<Ot|>Kt$-{1X~Tmq8HpV_>}q<hYLgjKZZ?Nn
zFSWY+#-o&Z_tIURwo@nDyvTUdzw1$_)$&k3j%QjOjg?$UNsUbrGuA4YJ=;F*`F4%O
z^=+YwO?g@=3wCqOe6i3;%r0zMB;U;!ny0G`3eE%xG|l8nN@#1E5wSu+OiZ(*_vj{}
zK&R~W)42q{gefxDhMaJT7u|HhzcuH}dK*cBFYZ--tmU8Ig}BX_;8PH;CcQ&_vhSVs
zU%s!pGspX3V~Bc%##LUMNw3u8jBTC6_w!b6>-Uz9y^*$x@B6G56Ar#UJ744loBsUF
zLwA?WzxpSjbN7?WzB?{?m@l5A+I-P>%Zxoy?S{Tv%2+Kup8E;Em9*hH@phT~wVL_L
zy1qHgr^3RjrRt+TGmEBLC(9(?PCYmAXvE2nUH;p9vLC(fdaLrfz<Y)EwyA5bT=iYJ
z?vRDXN!x|%IM%NI=oBj$7B1YfE2(J0IbOy0OG3i0x9(C}xa*egmCz2h($bg}+D@;t
z7Cosrx@*>zkl@eyU7J1r$Gr4!V%&Lu?a%24=WF(?_~UD^>C^Vcz^VIvd)EEQP4s!1
zFP*GiZ!Ho2^Y+mnr|!$Ch5Y+wxN#0EGxO<96Avvpb-!fC+CRAu4laMX-*?Z7Ke-Pp
zpXN)S*Q&R!i28Z^=;TxP<>bQuef#+0a*&u0kCyx?pNEMzlZ5uH`IEaK|7h|e|EKxf
zd$sFtzX<(#+wuIV`+8L&|Gr(=`)PZB_*0z-iI{1+R_vkw)P6*qYf63K{4`(qy>@+c
zMflI#$6lYh&v!56U)jfPH5$^+r%K;1i2vy??tHqwN+Rsv<>Mdjm}l2@|2;V`cjmOU
zEF8<y_lJJIz0`qA+exwM>B0$(sS5>S!&{xi_VKjl=y0~m=<v11=y0{#=<u}G=&VTV
z@hb~;slM_jpd%qpBPAh1!$sOuWYJkEu8VEFtr?fwoVFA?E8g0(a6*6H)t;F%B3-x4
z-<!3zjbm5ajK+-%Cv+MvoX~o4;e_76g%g@5E}YOUxNt)I!-W(2_g(FYoDu4}<vTBL
zD@R+46B}E*)0ZurtvWnBtvNg#tr_;*tubHL%iTG)BkRDyIavpe-pM*}cvaSc<EP&E
zXZ<tzwIC(7c$-~B<+GdVmSOeI*$b*!_8vUowYTw|_r8z8R-G$&&gzK&lwfy^?m2v5
zxgnqM&iPmWbQEu3*S*dCcIUNA+ZGC>D`x!PJWKwyuI3-{jdD%@6|W^fsPFkY<H!6Y
zTd{w3*ODL0C)x7-`yJ8W{a^3e<A<Lk`uqQzt<&Tw>G5vk%l_}Xrv1VGzONEL)+gDj
z{W~4e->SD!PWom<KeO?*$A>z;Rw!(glV7=GnZd`%7^4z}|NP0eEdL$X96zwX@9T^o
zuOs?f>+P>4KaM|ICG#hA&GDo9Nw#wTjIKR?>|W@bxG*@zsN~fz$2IK_{F80j{;6C`
ze$=0AD^@4-dgI5*5&g}-BKo`M9}8XBd#+H7y<R-M@Z<brkv4zQ3&rIB|2&^vw`j`~
zreLn@eS+_mB7XPoU-2_@j&|!>&m5NDlW*|IcqADY8g!mLv{1!Nx_$BunK#}^#T(5#
z&mNwqVkW;^c+1qrQcj`8mv66AF>9LZnZs75vT^2(c}LO`qlISoKK0DuJEp#Ir^NIl
z&k}QlX7?L%-a2*U7fa{GpZO(1(g#<lm@z9)zTwm3k+j*srt|Ev4Ju~r&XaG%@OUPD
zHn8YC>%N~QH}&Camd=ZuoAQOE9p|f<G4Gvx!{>`v(&h{HoxOQFlW)kJ@lGl>G;n--
z>&AowPZN@vrg!^t#>kne7yP{OsrUW0n%Tu0*QfsVQQQ5)*l}ID`K5m`YQlg1RGZ#^
z_~gOsaQi8*SW2CY4u-FbO5Mczt*0_1&DHDS>o84)9p~P;dpL0Qi?%#i)+AA}LP1SV
zqoegVSJLBoO%^*=E9kw`=xD#q_2}`mJw-e*Q{5LDzcgi4ys=h+?VDCdXDwG!;{K)^
z77G;k__R8@ow*)8+|cyHLTSB>u=$g~7gtI{969<lI~r}dk{-1*MOds<F!R&wXuiz#
z=uuBog~e(GyK^VCj~`O56tN1Q6E4ui&6V_EQPYeaOBBTVv^#oZxgI^()U;!VlKzTb
z@uCM0cFOFiP-B;ycdD^peeIil!7L&1Gp9P8&(AP$<nQ|L(i!~2zGTLO`s<0z|CbzA
z`xh>|?Zf^T8+!l$KQ8rV!Rl=(djHa8zkSFrxpCY+E9<I5`Q42#8Gh!M&Uj#MmGgkx
z_u$Kln{TD<L!O^K@_*Svv48fwTR;BKTG9O9-8uHpeW_Ou|6dA_|G&H-c8}Lh*Y}c-
zT0d`SO!#GfW3BI2#nzjKd`*^ye9XnkGHPl^EOdCf3UADie_XIZ;n>5Z#Gb>47fH1D
zAN}QJZs0qg#g>2C5etreJ%thxQjZNb`pgd$4*tr<9sGq&dh%B`_Q_w^#D%}I@f&|-
zli$4WV{&h!-lF~MpR(Nl-MLKZChzt9SG+9ySDQ=x(A|6R$om((Vs+8^8$QbJJ$O+5
z6|dgDh2|AMzJFnp|L+rhnQh-{--dY-|6}qMeu!5!H`cE-pYcOESI+lJ#?IFK?47;#
z7mC;~6p8O&|5UEd|8#AQ_l{1<XF1n?_$SG*{NGsMJF|Gnht(F`2k#$?<hgPz(kG%&
zjJsYied9%e^uh^!n|EaNY_7=Y+Wg~%K=6OIe~V|hyqGzubF;u7t8+;YCR=oOPMdLO
z)`w&nu{{#)yynLq9_TFGu_0MT?w{JRhmWV<U-vUiE&BRW#mhHlygXFb`@`tCCyW2#
zAhQD7$Er=?J3&35&{Lb(>*Tqm4o;T29Y1x8o=LK<PJQg9wJ)Znug>Ls30i}*u=?Nk
zth)BZZ#+?4{Y48E4jz`Nu3IOvMDt1Z>sdFW!#)YkTo6Amck_xro>q6KotxS}*(u&q
z;l<>1ew)Avz6;Br<~PMXjS<yemTuwkJ@)7Q^(!^mnz<?`aJO^jJrDT2Tjx&LZ2^gQ
z?yODKJMGu}{Ogdh;@PI`H~~((usLr-pR)(5RLI+~xVcsxD4)8Y^WL=8Q%Yxw+-qrO
zS%11xU*vR=xsck9;G9OE7n(xfGW!dUiglb~QFonLJLl09A2o~EHOF~&-#B4+)nJ{i
zjGBZz*XI5L-;NeewYEcVc6((jCpJA@xWW79CXX2jnU-hPWd+$;3aQNy;o6*kzgCh{
zSSOEvmTB;wbDq52i<k~h+Hhb}grq3PwW*g=uG_7An5=O|>B|cVKH)EXt&vy1b+>T-
zYCp8b&%WhSa_KGIBaw6W@fU_IuXUPJdw6xeijV)7ZwWmorquCFeHGMi+nQj*;hCAE
z$@19I<MfuYl+<JU4)JI06IWc@+PC%W+std0-&ZRhWHdD?2;lXV33BFc=YF#@ic@Fa
zu1ZtYiUkYV<g!+Dx=Up}ESzV%Qf3kF*4A4-+I=ET!TVRGN4+kblHd4@&E`?`f}IO>
zFHc*h{OLB+^b)<hN9x{uTPKhi<uv<St;xd&>D%QOaZb*<T-Ot3+-Z_~y-an<-XLDy
zl5l5X+bb8G&VTa|4NttV<@_REfrTvFO|Be><TWjfbJmUNG1JvF&wc;R<MN~hnblj1
zbsc7Z<Gs%`b=h9Q+^C?uTeDjeg!Eqjd9TmE-Cc<1@0U*j*406=Dt*15-%d;ptt+Su
zSa#XQM^}$Usp>`gl;5|TEoVJSu$=rVXu9T;*~Ym$;$MDLJy6&5(Lv<pPqmJ-^B+_-
z+J2K0ef?oaP+;g*#mj>JTrqlGRnqgqvNo_tS#6&dVRyGDeX3*2@g6(<DcOcjl2w70
zFTFIni!1exzcHU(5vg%@Vd7T4^;+o}p8uwtV{A%WFn__!7pfUzRUET=`77g!KlojU
z*&}Mguiw=@Yt=OG@*~;*6n@H_bI+a^a;rXNOXy7r7lSYn-X1}hsQkr?-^zECN{HtE
zcSwC}-*Db_=ilS5x91nUwO6|JPw~u)4;jCwYdRlZ^!9w+q(aME|CZeN=e+oBe8_79
zm8hvLTmPTUuok%XFP-J=5n0F01>qNR?g*Q(`?H)qdcEn{L$57=Z+2V}Wd7-M`I~%*
z#@e16a|O2v-3pJ>5@l`L`?fyd^Vv-TH93|1%LU%_Y2-9+X%6Pt<}R|$<JK`vmS|<J
zXpP*5B28xnTXm<I6>=RsR-kyJD$hAY;fI!t`j4D@F@B0O!~-LYtSws~xVa>%&OfNh
zVyw)ief4X{p;I4BmkY#nYve4fof^!s&PC+g)7huzI2CBFnQ@Sv$+Go<lS`uN+*6t?
z!Ae}(F9rP+EyM#Oq~|{sX)+dUy?QLuZ=v+8jzh1Wvn&^o>DO3O*H_4O@M6ayGv(Ko
ztqI{SiTBN|4rsD0SK?aze4XrKF30a1k~UVoJE>XHEFBnO%l|~AiBYii>gTl|F6xGz
z->%HH`nmNSrvmdu8>;pl*JSw)5@4U>^ub`!hN`+Fnk@Ywf!TAMKA6;SZF*zV&Bb#5
zFw^NX-76eE80l<Sv!{!zBw1E0!tI_5>n=ln?ln7)yRcfFZe_i8<NYG0gR2T&?t1bn
zc*eATEtbocM-TA$85(ZhBdWi5e^N?ibVOC@(GHIr2R?Y*II`iBk?D_2-D*z<E@#%>
zgpLK0FO)OX*tlo4IyR*(&aci4<58P*>S<@>Q*OS*nF(c;Ph+PxSElrZsL#;8cyp(b
z>nh<h(_g%q6QOXGQ_QR6nxeR8Y12`mxuxe-gXTW)?mTDpI%uWe2A@Tn`z%9NUA{Ku
z#hTfClV7nMKAM(z)zV2#xJM+I^V#vhpt%WKT+)-TNOYcyN?jAO{PBhvvSvSer=MgI
zcA2Sa7pNxeBb0irVREX`LC3%}<&%CheZn~xosr7l?xS`?`P7=1-%h18)&9J6;IQ9!
zu~*U}q8x0~-6uTYHP+<mXyIg=Cf;;Y;pwAiImMNQazd{+tlVS4zcQzA-^M)_{jW-I
z1l$vFcTASur?uYX^peJey$d8G)HBqsam{Ky+LV^Ob%AAsYKC4I*Q|EYr`~F*x)T1g
zXBoHt;@fc~JaU^|@6)Hgevv8MuTpC+l{oI5a-FNhLBNFBo8{~gDaXwQGuHogihb^6
zb-Am$<}G`}H<>%nxC0ij?vU1XD4brVeoN46_m<li`vPt*z1x+xbj|g|aue-T2kS%Y
zGCDb4b6B&UJ;3K^yfOBIPL8mNbTsSPgK>_Ff2%ltF3`Ot=&?jOrsJCO?cYlar>=Lu
zCb;f{=jFbFnb$Micc^5r>2b~KOm0ehs1yJDX<tFp`oCW873ahoN?RU3jV=7xIzN^x
zGU|n%<Tcr6Q}pj}c9{O}D*GldwdvBr^u(XD797x=6Si-WXyZY#>MbUB6Bw_o?4Ez<
zPuaIEU6U>!5%>6fRz~Ok<*6^lKihiGdVi_HYSrG7HkW$=oA%eNRru62iTUYF-9L3g
zR&4JlyvPZ&3fW^Xc5QZXj+d<tb55RJ&^)z8?=>v7CTHqvnb#|rrxm|R^=Cdk-Fmgs
z-Qtc#jsF&9EQ*gjlO8qu)ah%5vaA!&{a=)kutsB+#QlvScN}<hQ`t{4&i(r2zySeE
z1H%wL9iGX|(ub|5`K@MXSzXZ5bYbh{CJBk93TkfUI$xJIO!0rkDeEm<8#yEPU5BdT
zj^*8*vh};4%{MJy@cZkw`zwDwKP%hOsF~aNB;o#YQ0u~Y_sWQ(%e<nyugXk&v8?-U
z7~hg<IoZo5oGr~fs_U42*tcx;%q+>xC8{(1X7%!(o%?d-*<<pY3!@cS4Gp^0m(019
z!>TxAu>#w(O_@Ct^?1K-Y4U2dJ?9tXr)n{AIdfp()Auv$r~Xeno6o8-`A}C@Lqe+R
z%AUYF(P*yKH=<UrU@O0PeZ$>IRi6#BVkfO{S^fQD_~wre7dWpy@n&6fMQpe0LAFop
zo-PW1y|LtblAL?jcGHMC-(#|t2&LQ2j_p#JA^xIpLqqKIo~$id8&?EvPPOz-|JvFV
zwcu5SUgRv%GY=-I9!*(Z#5qCXre@QrNpsJv3lO_n^Wo7m&%nlnBo~9A83LjlX<{$V
z?N+(4Yhh<hYW2qnP6fKFE~Kn0<~rExHTTnbt2LnlO{G)&T-y7-US&A=$!pG|KQWOG
zkDZpPooc!2AHVHO$Ex{@`V&&8Ufca)x#|6<p}TgjSf6{0%}m$j=JFSjFWsMRb+Xid
zzJpoh_A2Y?;)gz6^4+j$kIh;4&q6Df?#X%b$tfbvZj-NHpw6}*Grg+Wm=3<|aG3on
zBt*d?GV^23%A@x~qBgG(*wd$FGBbRR(}zt(&)Vlp-c$+XGz-6aAy_$DMeE=-FL#Y4
z8`erG+>o0xFVt-VuTP?Ob<a{Qft=GDW5QT>$vqU=CH7E+ncec}5k<d^1v7$kWFCsJ
zyIUSTYUuZ|U`}w&oDQ)=2fnRn4g2Tmr)Y6hlg+QVtJC;mi|?BbF0nE<R_Wb~nwswh
z9y~g4#e>BBkPjR6XLxEeRfqkklvWqC$xe1oitu{4Zh_z(K@;ZftY?qtI&Qx3HaKqc
z#n=lnbHpC)`k{~^caM8ke|gPC9-F7RTUhUNNgb4SH2x5E!Dr7S{=`R|`jHi9yv_1H
zO=@o6^W+hue&vqy-gfU;vzPak_)kbay|QnMRoXMAlgDo^3YmUL<l8;nU*fq-PQK~X
z|D~S&e`D?&owWL3gJrrOSmw{l=C*Ns>Zg6$j<3c`T<MLp)RzZ28+7Z=E?U>eC%L?S
zodZjH$cY1yqDF=9o|kZ|I`1@zZQStOCGFqVdyWR$#~i088uAHm{{Ji8)IP*&)4|}{
z{T&+x?(}b#*dd;7SomGse$~&%52V#n<xU>ovHwy+(?%<w+41c!XZ>IQB1ih5PX3$Z
zi0Acs>MY_SM-CXW8Wz5MUh-Odf`Yte)`45`8kUJQb7x8StPd}q{PE@f1&2#3`2%nJ
zh(Bew>(*j%w>)|v(Ql!#=<*1Q6PhK<9*J13Ddv*Be%LFvdY^Gwja&NjO+oh;Zrf_=
z>wj~u(A0$WE=z@Hx|nYCUleuYzohIBt*nn9j~$&`*_$wNfn<b6hME|3?QWRM>1}0a
z#0xeE$If!!TJ<gIn_r1**u>`Js=Ph?$MaX@W}H}RuwQt+ppJmatb9k~jUE?tbi_c+
z;t#3I1EkG1=;*(7QGed$WL|VyiRtbc-F_|>ZKckX7h7!Ff?sH!(_}kV*wy)QPEsP%
z@*5IzwmTZ91|B@JYDGd~X~+gcLoEvlLD86=9xgdIS62DO%1n2Acz)<83Gi^umkaSo
zG+8dt^H792+4ATS3%`v9^MZ4HI^^ygc(5WN$s=Tgfr-`)2{BO_o_;PpHy2iZ<;6|?
zauerlRDY@FrZa!(M)R1$mpQg(?XoX(<YwC?D;Idam~b}r-#YK(x4!w6#MNGp^m{RD
zz47dIsw%B-wOp?M(@J@eoNgv@I$-*<l4Qp4kU(FX5Jm3j&=beRTyMU(73%2oCPaYy
zz4d0zV5hE~``2}3Jf5bsD7L4<S@7aPU)I8n&W<)U0Rr;nPnYPnuB%s9XX$@3^|ssZ
z18$;9Hx@Xt?fO4=3;&j>zYm0lh6wkEo;Vb)m6E)7(G@m1jgHQzTuBet?$NyMRr_F;
z(xTqqT^&p9K5SK5)LhN=C~0z2#fxPb{__4-?P;~&rnEo2aPxDldZ6jYxPaJKdo`9k
z2zt-jne}+jf=$xr)m2tCJ{G!qgt0R#ahuClL$`pNy|4cXU3IYU%v!YGW$Oj^MYmoY
zTYYeHwz0~p#+O1@kC=33C9ZPWYUmo!9N+5!na}GKx_U?jG@rL%6T57hSIDj>6IQ4_
z^9bQCR$bLw*>p;7N`z7%Z;fW4ug=sJV$(cBq?uW#+D2XuT_jX8eFa;UM~JYk>Z;Z_
zp{s8Bj;Z|BJQG&1$p73tVHF#j=N>gS?+|Whl~uh9n@-JRkWvfeZ1WCbR#sWnIZ^29
zA&t(g<Sh#}9gpDi3Tbi{n)>dD%BoIfp{s`iJF_0oSg^_P)e;v~3)Mj0In!3Co%0Cc
zPFG#kTikTYZJoE!)CZefOgF?Ynsq}bP<PFQ6=r!K{_0dXm59FQohKBl8_2t6;tI8E
zULoACRaW)JHl1?Yc~@v^LcfdYhVVs~_O5f;Y7n;Q(l&utjlH$kRkaRY7rJ`Dv@`3`
zDwnMVu8VH%&{>h=vPUJ5Q_m}eSzKjR=Vzg-hk`q^9y>?;EnT=xbBEN%x0~Nzt+8IS
zNj`6x^aj&C`xC0Xw<%tpu`uOyy8W7;bu(M^(yp=kN346lVwu>rtQEbbUQ#vz>ld;}
zn_fAf#=CWct8;EnXwbF(vP_MGdAz0@+?{pbTn>=_J$1_0HHW3V4C0-6eXa%UnsqTi
z`tp=1XHRZ6os!VkCN*RALbYd^8nMEeD|%VIPCff@RLX0Ei}TA{Zq6^I+?`)WxjX0T
zga?^DkG~SIi}^|bv%bldBf`8}KLj}E+5`k`bE{ahkj3Bh$^m0u)536PU7PCx()v@U
ztkt}isc}%7*R&wS*;nRr0QYgzE5|rhQ?n;*mhvj_bLO3MJz!Vzl>lyclPkwmMS15j
z+b>?ovfT8_0Zm@h!XRheJy!#y^QTNX8}{9F%7b~3&GungD_Y-5WhJ*x+O#ugTAR?B
zD*?>LCRdJ>@NPA5b<ULu3NpL=_DVpLtW;LQ*0xzUmMs)B%U;pD-s<0iXVpfh+Img1
zG7{HI-LhEF^yZA+iZ(H^RSSDv-&7tjT5|g6qr21W9{%=Jow{JZi|Gf)Nll&Kg|0e%
zKVP?h*|Ifh+&8}7+@8HJl<)bapCyd_ht1c1dbvzbHhN>+g<BimY<_>eX8D?p{adb@
zUAeybxW(`CNlZ-#ixLt#WM))|#3@W?*j@WKaFuY)geRI6OIECY!f|l1Yx)zz7j_|h
zK6559bE`i+a4giapdg+vCTC*$^}}+OA1*GQyvB`Z&vdy%3w}Ffda)f`=eO{zJI9g@
zE{+__v^p9!xssALHC<3$+;n5cS_QsmS{>b$T#p{kX!>EHw_b+%_R|ALq%1dV@Z`&p
znaa%W{^Y>XM9U8a9(*-#rhnrSe!t{7|J;(LR&(=~?rOdsboZ$9%6AW!hI}`42x`!&
z)=8eU<agRlX352eqMrzOES%jWpc5{@tS!>w_k$}baca|zh_woQ*EBl1w{ks7oZIvx
zLTkMYGxO5}F5#9NK1{R~(`S<Y`@~S@%T#9Zy-yD~`&)jv*v<ZRYi{ee7^%O9_|*+A
z1WZ2^KA%(RVB3c?5kfC#c`Xt;Gi?RiGmj7}56=+p&nl~We<mH{$q{iCE_R)$cf{r5
zv5>$L17DF?A8}WHW0#A^eH6d%{N-=ZA+L35?VD4=RUdN?+%vj7S>E#V*R5}2r8Q$7
zS7&z$l!PBKEN~QT&pRq|<j~IZPTw_7FHyZcVcBjSQKcPgoY?eMPUw8BmGZEKNA!B&
z-k)8kFYoy(=-RYzk;nPOK$F6W`R8rUI-6g-*%Lm$Rqi|I5x$wnnB+J(Q-UfKyI9V<
zPR#q}dhtkb;Fb?A0-H5;1YDb17iB!~4m8>5BBHDF?5LA7Tk#JqO_P+39rJ5$-ImJY
z&h+87FFo?^%_Y`nC63B71pT(GSh^uhg!5YGqQ<X_G9GCMZrR`{lA9w^l#;M)!OR=#
z8C#}v&FY=p^z6Zu1v@QtGvv;3%<A8~=i0PGX^zS_PKo!~{w*-R)8RVtL7Rru4!th5
zePXWM&s{GboBF{`O@KE?xF|EJeL>_6%?z`5oG&eRXlLlX<CxWcyXo2EYv~KwrLC3~
zx9a`mi~LpJmbtw~w(eQ`@hxR>ZS%Vy>}(acdh>84+vRIDi!Cj(XNB*2AvW*jhW6)k
zox2+trk|?O-eb0gsp<AZPF0o+k#Dc9ITd%TRA7_S3^M*0;iz*bU`g8JstVgsRkn90
zyptdG*|fFp{v@&G{Yl2VVW%3qZ+}Xzs8HyC_;{hng>xTV_%e9Cv%BX$317PP=(#W2
zTuBdll}v^6MUNaxb<Hx1^FHn0-jM$3(uIkxa)%EUSMo^fKRIyF+p?h0w~mK@{S(8Q
zJ?}(zbzR;l>a6_GjOX>2v$O7J`AV0*RNEDoHa|m;?S<7&sRh}Wvkn|t7Nl_Z)&!@1
ze{II5?l<jxM;jU^Uv20V&Ry~IZqfqp4|aXpM=Sga9>^XQiEKznH%&+gH%&+?*A$Sc
zsMc(JaKL&MBlG6fjKZ6n9E>^piWNK*I0R(Yu<^*8`WJWhy$Eyc3(c|}N1Bx1>Zl6J
zWcrvUBz#%ECd6?;#Hz`Rxw>J`Pby4Qa41+MWl*qAYC&i)7t8Tip<W6W*Oo3|Yi5Z3
z6~?S~j_s->%PtmuXUp)O@HOYSkBW3(tz0Z+V6bC`$40B))0qx7hEEmZ;M=oFYJqoq
z)`25?794S0;Qe9UjNgoBMPHYDOh^(?kci{Ga9QnytH6Tl(?XnlcQ#LPD0onJwV{#m
zYD1@;<AcpfXXAK-xS3e4uVQ454`)_;H=XHV;j?K%9DT*gCmaO~3f4#&6soMasc=EH
zqV(dtq&-Pz<@A?Dtht$dwV`qGRR-TBA{=~s_JfSgz1q+ydbOeRspDC;#z&3kYkn;^
zy`Q`K{F2>Q%+0PYD}MParna`d<gfb6u$mup_+n(Ty|xE^`uBPMl|PvsEcvSEw{91W
z`sBOzt*$1|ANIA42@~!)SWmo{@aX9MY+f<BKY!z|{4q&6k-GUz;QX^sU)XOkdFgY!
zg!x|Wzi`v~bsM){bah;|wR5l2_s{#+{G9nBXN~R^v-aSc&p-FC`nmI5xbLT}cW%wf
z6%DHW`g8xfpRPSyXMH$baQo_0BVOMUVGr506(4`jzxro|&#TS4x?9)smcIQtEo#@%
zWpn<D$(*<U|9O^t@jS1;)9MWR*4zJgJo~*Oc-xdim(PQj5p7!%?e*p4^OD)8E(U#*
zYv;c^;a$Z1T-_&|&bsC5U7m5aHf(nC{tXo(d7C$^oRE9+%JSJS`po6`l*;gLJs0!q
z^0$(WcPc)BX3dleuWdIKH`0C6s<!39j{lV_f<0qpvu6kIn0~~qz`V0p@6x0jK6m)$
zPgRUwrszF)OUL#rY*E5j3XJb$DLNN7UcJEUYQ}XoH+N@A^0MHKr`Fg1yF5$2!)#l~
zi8`^6uSJ!MJ^XgQoPXJL-wU0x#W7-+MfL<Q3^@JBpLc$IsGfE5vYLOJXUTV6n|8}D
zckA5Qo41xsm@AX6I71}e&>%4L<kD>E4Cf^ViszgR?9L@6%-Qqdsi1PqkBy(^U7U4x
zd)nsQD+X?P8LtCwd!1Lwl8}{?S>0B)&(*!wuY9IreA~6xGwL6hHYxsh*nT=tw^ew*
zpi9%_MHvsKXw0(E?GiiJv8Z?R{)fdbtAkH0?flr3me{o5<_wJtJ~hr+-6xx#CBEAK
z&{Tf!KTWHMr`%gxzty~C$?_1t^p({rwdaZ7jYX^P{M6pYc;{urmR7ZCU7Ojjb9bHl
zwrXK|#jVT}mn&QSj+VZR;hJ8jtj;oB^vD5GR>Q(jN1d2p0qJ<{j^@8ykCJ}pI~B(`
z&%0VzyDMgiY?g<)M3#rSOqPeaLRLoN`TV+&&&NY=+_)DNe<CINbmXnohgp7?+&Hl9
z{vXG)=Bsy1eV1y{nwL@c`R%OyR?%J7zndm=?D{;ZF>jB{^cQ<l9xBh@)62i-$pc&c
zofXHu<?el&)NdYB`C?v=v83jnXR1x%dr}^#>d&k=;4PN-VN&nxJx?Bp>F?Ze)?4n~
zhe`drV=7-Pn`At*#PE<e%lFEY2TJ3NK2DgfWAjK=y1(+|LE*Tfk5i`G*gRI1Kfm)+
zgvFtmYecSn7CLAUXJjyEx=+soRc__VlgBjTiVS8=kLh`+%AZ_$@_4{H8?(M5HS5-o
zdt3|}r@z?LINitRkt+A(%9F=L;))EWPLKKWRFyxr@}#@@Ivc*eLN)c)cY9neG*9RG
z@<dg5?~W_6F%Lxe_wMV^va6~SoOR%3PH6P}eAoB)Tf#Q^_&m3|P#S1;ea=$rH+E89
zA3ol1bMu_HY{MV(g{I3Jf-6q#`?l&y$;I_g+2&<i-u;oavvqn@-s7p$tSi<&)w`Er
zDO<Mn&hcydCRe>TIEC-s^6U7kNy|ST&)nJgF)A;qX<GD*6;I97GIutgjCz;UHLY4=
z@l(5HFIQbZ;1&Dz(#iZ?dX-kf=Pnd68*jaHL`QeKLG<a|GZ%~4owwX^m5=>8BSJJz
z@#Bp{S+d(Uy0y!$xtb{cy5z=TBiU~sr>6NBU+@>6x$PI5tlEtghuO?tZs<H~m6O=b
zcl*Yw!+g(PZ|Ht$^(L{6@Ar(AD}#mqnofMVDSH~9@R@6g%*7=)j>O1rGw^TE^|_qL
z&RufjsFUos4Ib^aI@hkOY-OBvqU7avmv+v5R}z`MOK144vC4Vam-b}A>0`I8e5U`f
zdh=)*U-gcKhwawYFI*Qa&20K~(dqN+O*1bqePJqVRuJ0m8*?#{dwS`OW2&-c1%d4^
z9|gAC&bgi_f83<>Rl<a2elLY8=BwrIlDW73FdN^C4V}$aIS(iB-L_bBn6K}}hVI2y
zZxYw@{kB-QGFZIXwDi@o0;kE_ybFTbdFNb86kc9><B+86w!*M>**TXJ#ow3SILt2l
z?PFl@a=EsQS693jzP8Uaa5R@SyAa#%`{hC+w{7W-W7fVKZQ1vhZhUFv-){TmYNGt#
zf1a_+?T%%>y5hfZzgq76ga$t8ie;MH@*mCPi{7#Ru$kP84bAOVZyrtLtFBmc*lu2p
z;I6VW4{LT^=!$!2U4Q1l{WIR?TNcT0zq$VWv#5*zyDxl=R@-KJ{aR|V$yvtun)T0a
z2Tsa<ai{Cv{Pw(R@voQWZVBW0rBw2F>xo;@m*?KP^i_oK-=qF4fu=X}Dzw{*0-LIr
zuHE{kFHF0w@M}=p+qH~x=TbMuToR0Lc{ed=@6v5M4QFR3W~lVP(*A1vsypLg)Mk_J
zn|&{3biZV*n{{i`%$jXekIp*vbfNBSeS=zA^KBxtZfE3*%+_uFD&_S-H2e*RZ(=*2
z@S7`%%)3i&9Lba2w!ytUSLbRX`{$AyM{8uiZE$F>)wyzIWh3vb6D8u?K`SR;Z)jAt
z%6a51yUifHJy+*)BKzsm8%MchzikL=uU&KL%F51Ou-d40&TB6=G`8PadW6Gl<7;`-
z8;9~_w{3K9mwj_JQT%twjl*@a-wGedUoFi_oV+aTh2FabYPq|*Z?8Pe#`k(dr?OSf
z!xenDEtVbT>wCSSTX<H~#*LAe`DJr128d^yUO8;W`*q{r?hCvrUbkPaDgGqyyxDMW
z_^Zor4Cmxz+s^jb)Z2SQL*mk0u9w%^N}Tr@&)pHV@s<4k=+EyZsxKR8uPSx?Y=71N
zdUSE=+2Zih((jv-`+m$!Tjn)8<=I)EFA<YVZJ$TIz4&<Ju2p$=tNWNgFHkUU;#qXY
zSi{(;n@7pmNO?sE&#5&X4SSQ`pGk3F^S*no{ke(HYxj3VyzUmwK6Rt||5hJ|`Pa%m
z@A0@{qb^hy89jHyJM*4bw{A;czF2+t{c5%~tG@T2xv*Hm_>IP~l6hSpBUVaWo*<f>
zw=C&QZ-~#4u$x<^NJRZD|E%@cz{gPUu;}BDZAmq38fpnGNoRt>4bu*W{r%><{pIDK
z)51FgTkj>7EG(B){{8LV-1QTC*Vf4{y!C&TaYD>j?W~y%?!PyDJ-<rL_U@*c@9W}C
zcfWe}H1qMaSDDG%z3w+<Jp1&1^|JN8duPvoQT2Z1-mU)6?Y(o>&3k;xk#F{@`?pu}
zy}EtzlSgdv;o!Z}R&xI9@0~gJ%G_p^Q*om7s`!(uxU}uxu6_C1T0V5YXoT#?4dDrU
zgMPf~Yb^EZl&ja$t=sAIvbrRD>g%Qd-&7qe@Gaf8I_cIc`NXY7HPOlL(XsEJP1&F|
z|M;obS~E?;1hhXdUH75pMpq4+)&1QsXYbo}<Kn$rH*N$ZrM*>X=U+AZ-Qfjo%a-l>
z)pyU|Y?Dp=K`)12>x+1+&FznEdR4wo?wE<W-L*>>cb_}+;B>0~#x3rJX-zj4TTDM{
z+x0mj<Zkho$~FE!w=b5OJ=>dS)jQ7BJ%<yH?%)aRIey`OxGq=lWIn;eIWG+Ud=EXn
z-7g|(jf3pFeJR1yrJ26&&<vO#&BVJy_re5u7O9Vm9g^R1m^@rQeGS*{J&ECGbwt+P
zRyTOvJfHPdk^cg@@2syrJ1k(_&tbA;e!!-Ve@wlV!3#JyzqNj2c!1Az^AAg=(iz$F
z52Uy7GP~U}c%m!q*0U+`Oop^t&&Gsb6R+5BUU0a{{`7RazeQix&)>ZAa5z)x&!7cz
z&skm-hb>@?=P-HF<Dl%vZSri2gRq}ndgzVReX)s`v;1$U8?61d!y&eh^UC8D4z=I7
zOdbd~w!IO^IO5lM?2S;yp}gIP<UYUQnjQ9gWi8X?tnW9}Kg`VDKD)uvjAv%<;f_eN
zo}H<OTRxxvdpE9LnR))I8rKW^rn^4e5HF_3p7O9TK+LW^MX(@3Owm0>@Z(IKq`p&1
z4{w|f^_~&3MBX*y*^kqqmydGQty;TK_3w-`>F1yJRjksree~pX=;ljYe)n(YW#$<L
zp9yZNIkj?qc7FA$nV0p$%{#swzZ8E(d!y}TAFV5i@y{O3z0$Mizv}@$X4N-F4u|Ca
zs=m4Ge`wi%@l)y3J~ElEE^nOWy4&GwRP2JZPQ8H5M&cP~o-_GM{E1%`?eIJKuKdBu
z4>4D*zlSc`6lWUz*6Q7LuF96`txm6g?hNx_E}y@8R%%$QiAmVhXUlIn_7^NK3$V4n
z#+UVA_VZO`?yH)lPXGRLr*2)=x!G5rnxEbIHRkFZbLk6T&8*DluZ|K9JG$lD%Iy4g
z)lJgpL*Hto)FiueU!STpC3kM*<CtK6bH$k2b+3GXYTdqf<Kn$5mjeIQcn80?+iU$J
z&eQe&vrj><cE2iF_J8gA?6pzz!|YtXA3bf_EVJwyU(w7k>l4XUZx!a3$FGZ;y!8Lu
zS^s7~{WtZw$@7B9QoZT(R!4QrE-k2@eRAtdJN>l2mrv$uZ_Ho)KKSU;lkas-wlclm
z@M-5Nx!qg+E6rDZKCtuZ+{&#*Gs5p0hOge@J%7HZ_x$6pXIWiRtqoqecP8u2;xg;y
zGt1-8tl0KT-Piv95A~I^rs^&U?0vb{<La55xLs3Un|}UR9NN8Plksz7-rY05Z(4R&
z=jQ3P`(|?Lr}?<Yf8JvIE2G=;**oq~<A!T?{#TdEbK8DT3jIE#Zo~4|huN2>BreO?
zWU$#Jaz^JYof^C8t_K7oXMA)J6Kr2)pM7~+-MVE*9J?*&Y?yI6T<r6YTS<1$b;SxU
zCMizW5i8vJOL)V}<+i)+imxu;xM{WV<DIKgD?%N9Uw)acX>HFlrEb&Po%MHL&tF{_
zEC0!`@$&rN+xPyPDi!gyQ9;=H?ao#Gi<ixfzn&HloV@pE{`_OVj#rysEjQWvEjKGa
zCF^U-lD+ShpY>$!GT*0>&|az^R?2aA#}Rd<@*9dp(=Y3L+)17;@qTmk6UO;oM@u3#
z6Z=JlzWoe1#CTd&W>eT9!Dv;P&Ax{?<-^PMMRRs_wV8abHhKEtW_RdaZ?~xtpPHV@
zh^;=CI`Nf_*s62sjjx!V?OzabFm-*Hb${)wPdC@EY|u9qHHy`CJ7VH{)aPo*p(*04
ztk(2x+x6^QiT8ysmxEWiZ`BHntlt})Agd-GG2N5(+T*uOuZ=ezVC&J@px8WrwN&ux
zoa2|*_VTQZ%PiYsx_#Nt%(^u*t@p33@|nNp{fhcc`}||GI~QL4+>!Urz~_nHVb^yb
z+fLNp6RAAFF|nOzRosel+ls@h+pny1&%41L`g+2<_S~Yc`y#(5f9rFIUB+=Gd6h%$
zGES3(s;}P?uQ!`q(OY{wPx@C)*5L+QGoGJk+61d}B|a~WUD8shUs|8H;$`P0<J|L8
zcKmsMcX2xF%qMrZ%{a_2R{Znh`PF{Ur}%&W`hN|V_e9H*@A1!OMjrg9y7!+~>sP~K
z@68+PZ}5K4eE%Z$|B=h7H4h#>xOeedh~}r~^%K+igmu!=-iaB%H9oAB`tM8<U$ySD
zqDT6>R^9&K@yl2)?cDEo+?G!awo7fjZoc)Z;m@BkRV|*CA?I&bUODi=CM(5B&i2#~
zo2s6|$}bklpI4YCe|qshu|&@H;ELzFqk_7A*-Z+YJbVAzuIfuV<z9P2YcslJ-0bd8
zJ*pRywDqV=Smc>A0y=5S*u{*CnY&qQ5;jhFD%L$CbK{JqV%`yx*3UZKQ4{ftZ{krI
zvB)#23y<1}MVv|Bca-T_q)y7Zqe{;rbkepR6-s-$`q{@cyEED!ryiBL_TTJ$%(cif
z$!m|+T#L|2*m~3{EK(<F>CvRH2%U$AYoxzcD#!EgKf?agxwieOSaD>UVgGmCXPb{C
zF?Q>UZ90)8$gL~3`9Koq=g*<sGiI!h3P_6kcj3Snf3f13$%g$m#XiqW-PkZwj5{)G
zW5;2!Zp)O7EzLhywXQiIuB%h1P@nN)!~G<^-#X7Wn%8%Bl>R)fkd`Z_y5{6U_cISB
zT3=V@t1g=EvwvU3?4vT<qRymtAGI-yK9fHAD3e)~PD=ApCABD>v>8W*+Mca`_9@fu
z%$F)>oo5C&lJuH&o*7<9vSZc}yKp~A@vn~9NAGn@ZIY_?vWt1|n7@BwTG}-Gxi7!2
zd&Y0N_uhH2;+@%s{quF66(3Jxw2#tx!rtwAXIsP>-EP%8XTr}uUtDw5XMMSH{fd`g
z*F~RsI_s#-yT~)oZC^_<8taICT6a`wS+q{ttfNB9o=K-1I9D^{<=15qXU_c5eP(ns
zNp7;vv(MX(GPOnPq%<8>Iu@;yHs`3&v1hBrHYv-eRqZttE0)MLoE|9lxk9_Xv%~+B
zMq0I;YRw$yuc~g(CLZp%qx#x+efg7{HpM^w+`O|;FaO#3v}Z3XpM1Xjf3|blnbY&N
zpE$_5%A8R){_rI5(8KiIVJf`7Q<olpzbpAxl=g3y-=9w;#>?$|b5^;3Zsn8B%Kc1s
zCl^oX|E!S|EqAo$!|r#Rwr`!~Di*!U@t52AXF3HpzU*H2zG+2+(CVTiiK%@%)|?RR
z=Py2zp54b1b3X1)YO+{G&IzW=si}?!e(7*TPG=R&-mH-3E2gsMT*EXWclmptMJ!~h
zx9xiKa<<a*q9YGa%M||9a<|!cPH_HbOQ8?G-yZ25-+bV)i`b7jXPO#<BLz0;b1R)o
zaXcd)IicX&&HcAlhl^+AJaa6ac1vpBGpEu6!M%6>$6gP<d*i~#6PMSPzI}V=ckK1H
z$%$Y4ubY~in{8cg|M>G}oij5uxs3}>9qO64$-sGqj#J(#rKAJii#j6z1zo>iyvpU5
zux!omH90YQTE`wwN~+nWp_b5^<P@f*mNYdfDXjed+bc8XC7$$-oxNN$BeQPH_vG5!
zuTE7j>5hN(Yxn9vHR*m+)3A5zER)}-iIvt*pLKEW{q6fVR9ox(wOg}HUEB8ggm=@Q
zU6%LNwY~hsr!(`ev~%p4#9#m4+=_gWruyvGg~Uy~vCnQ_ShG0y#pVlbjNLgBn{KoT
zdgn-NzS72ddQH@>J=uo+l48cj8r`gW@;6SHDb_tB)o}Bz?GcCO$artxKP~#q!{(T$
zr*1dx|Fe@-_SemcdVh0Y7#?V|W6qT@IMJrqoGW2?q|MMdcZR{4RZCB|i+zqrG@L(G
zj5{KA;{<aZvBLG$_qTK(y|X>yP_s|b+%IKQCFZU4l$<5^_5AL6JKHxL+3`O!xcwtj
z=}d)y{!S*-$?=VBK0+BMY#QBa^e)U;%;am47JkErKlg><p*B0^T!|0Uc%9vD8YFGu
zO+I$xg3tBO2DKCVZeMulvTxo~_m|p@Rx*MsPFFTo)hGwd=Vs#l5w<{4oK>pObAjP>
z)>#Ed*KIiMDP1*Z)57za(rj}!CY}&C>#@i_Jfqmm=Z6vZ-1JN7udSu6YUZy^mz?&)
zV*#HuOV+Y&OkZ!P1k69n#Ct>O!i2R<rrC^*$7*ygw7e~Aja_%^!sA)Iwd-zQSQC*W
zvFTV_T3O!V8Kq`E5%b$$Zr*yUjnDeiR~`H4->k0+92V%Yv%V_ySYX%ADpjC*TY7rt
ziQi1UGc+&Eh-C7eF;|vftG@A8X8OV8>AbaNw+$WyOSiq*n0O@JtmkI-;g;IS7j~2F
zqKh}R`Y$|uC&%{mh9lKXr4f1o)A?Dl!WJ-nja0laA(W{%LN{P@%@*y4M(5k)KIgvp
zeDk#!Peg`$o6e@hBT3R~I%f}mxfqe|-2U~a)>Qf4M5a;+y@2WFEU!K;bEtLWFiBYA
z;CxRg<A_G%u^zFELlV0W>B--`klf5$`|Vl2&##Q0t;)`9Hw}_D@Fut2Fi6Z0t2)1=
z|CoccRnO^bn|Zu_L{}W<Y22kFyyCcI<F6XU3loZ&dVd5hFpR%BwLmi8xu4VQ%gu><
znKgMTZujxbOgY?f(#&VZr1qDK3-7h@-G8z=q|Rg==aq-M9Afi0t~_4mP@BhT@<hGS
z?T+Y*mt}9gcEoI0cznCrmzy*F%WmD#zd2EHd9K9AxxB*b(iZ;rxwUEgjSGp{+q_aE
zk|sOIe&f9Ibb^B|ANQ5#9S+KUoF>n9#Kug|HT&}8Mw{L4O_{!lC-SU~9$mKaiGJoO
zZ8hhV_?A0H<^PuY%9e3ndD`LNyNM->O{1}GkNSm<RHojUKc|PO#<1PGkUW9+tc>dX
zLt9e$HYz)}-7-j;!7F_4#GwUujM8skNc02S-|HZIjq6J4TnF20+*h6}H@fxw<(_@4
z=QsE29eUkwttxajE<8UoCn{Lt(?VIvjYj;Jr&(&&f8CP0Z?b;I&eU(>D-NH1W3$sI
zHjnel<MhT~cZ4&J@HQUf+0gyk=xCc<aPEs@v-xYb>^!?wS@~INVnkjfbM6ZRjoH(T
zbT)b~V034dDvVmdnf|8xC(p)(m2o)|pVQ=)mH*b3wpw#KeqYV@>l{~Xq?k%0H3B|o
za9l}mc3?K+GD({3kX*)L@=$npmR@(xi;Y*>>`v!MY`WAYxH?B-vlaK^qT-ur&c-g!
z6073(ZCQBuW{&OY3qAZyr4||i)0vsR-p~)2U(3{cL;1oC|F@5J)g(IiH{WE}Yqe|7
zktjN4XY(jU?AX&S@6H`IX|_)jU#3~|L-BU|l!b@i<jg&F<*99B)tL?JpBCNTH|_Yu
zoTWi8K39sVJ^kc=W}dO;^m@l_#eNG9?|EYo)Ry*0C1AQg>#M>8c56?^NYDCb6n|yQ
zpUZLU@9pw4&+&TmqHh28Zp)fUw#iGm_e@@!&UIFI<3i6Byta8aFFendW{cULcp_AK
z*2}fL$$Ga99tM4vJ{Wr;b$4iSzpmKln>iaB48^!B6JnJg?K_(EE>h=VUf<H(7mJR{
z>^mE}?oPYUiM#8HHcRC@PcJn4B9ZMppV5p*BKPowK(n5T(`|;zxibuurk~v6TAzIN
zj?M+0XU2D}%o1iDby^mslhk!INiACE;ai`DcfaU!sNOqs_)DGanKfLeE<AKd3k_)G
zvu1hqsnfxB+wJA0H}jqQ&5h63NdD@nur0n+bWQf&*|=3cvCFuxBu9OVni_3lRK50;
zZPn>EyTzL}Y}#&otIaNX(}qnt^KzW$mv7pz$>(N*^Zee(h?(YflU}l4zPnTCuhHAp
zd%92P&z!jMj91Q?Wy-C5!nrR#_3_%CyLI79vl-8hjKdS&=SmbFZ!?^oJ7a_K=i{eE
zcIj-$diT-qS^q-K&oh%YHmnrmj!cMEPU<|G6c(kEnA^AX{8hbf%Qq)O*Xiyx_TKED
zx^(IJ4GT|eNms4ewlLG)%w%u<GI71b6Sn6{7@le~EY6u>U|%kNsr`-d>SuEEqt85F
zag@m~LMNqQS-p4Uk%I1{Z#G68TH~X%r>OeTo7Gok-B_nps2i8BywFh2DphD_?7#X#
z3-?T(sVmQONw@iI=@vEC<iD);*>&HVr)I^<^?yI{{tH|nXwPZ#@OjO~OV&k4+n(Lr
zns{cbj?Z^z?>UuMoA{P*7S%W`B%gjcY8hiYi&Rm-0>Nt5SsOHGA3k+2-(H&S%l5<*
zzs-7XW*u(Ht(;-^dHE+!kM|Ew)-ataFL2%W<Eixy#|4V}Q{E>$Kle7HQLX3v{TW~O
zo!ibWz2QQeVt0<j<~wbi@+pa__TOgb#9D9nc%KsHAS(4?vV-$GE|X{b9E9ak*mfD2
zD(9OqPMv%CrI|_pHeTg>+Y`_DNY7fQ%70nxgQp#1ZYC37YJTWUHW{&u6M~J$WP~#g
z74GJcYtDUPe5Q@@aIS>mp|&)>oWm{KH*MJTey8p1hIMOC<a|7BlCasqc^ikxv*bp#
zobBBY3eUCKb>~WKIMOC~I#=RzBd@UCnZsLHd1`dSFBEZ0{JWnk^`Xtd`JPC|8IDG^
zo<Hxy1a0{KnREZ**`&<OcH1DSgEzVDmO)~KSlBo9_vzLLPyKtcH)r#UJM(3xuMK|w
z?@2{tn~y-o5tqhUSNmi2Hk)lpJfI=n*0U|~jD)mW&)LIUSpUrNcd+8Rb5?zU4Oh+o
z`#Dktp$inRvq%-jF5ooZq`P3#Zi|z8IS2U5Qck2wAB)+Tc&I!w;%3nw&Djm7rahjU
z!*puHk-0e^Pha8TWioYcc2KSp%Q$4aJI2mDSEAr_o8tCdiQ<!Ooc7NWE9DL5H*8`3
zGr`|sC+nW6xd&3EJ~TQw+lgcx;c8S{bLQTgQ}dqJ?%u%2pOdjn#;oUM`h4Ss^<rUV
z?)mAjXB{dLIWcXE5A!r`la$2{$!44;iJN0t`a{jWMCKlDFg5Fm$c9z#ncO?3`a49=
zYLNZ;XnOv)oW{02$`@v6GWpKP5<i&S&0D+emO;X7US+#&iD$~B)oLcSPW}6I_Dz;0
z7gQ3`w*5$zGVorYIGHsoY$22HjBN3P$+LLR`dnyBQ_DEqA!*iAdA^PF{qw|IO#4o&
zFSx@r?MZih9-}v_)Fz(=hSDsv42*y82`jt&{N_`mY({A|oehacoTQKGY)U-z=zrYa
zPwRBpp8wfyQ#@m~Zt5woGn*D3E|T6=li=LXpPM0e*Ni73^YDaFv!00D!!u0Hd?K>1
zUP_&J)aF_Ane@h^XBM4FO7l(I*l|>>J2Giw%Tmi}+s>4V6-P{49aEVjmFnDn(X6EM
zber7doEOG7+Zf;9Fi7d*RbF<>AZ-?}@UrNwC6dX8{heZ;XC@dLYj2G>VbSf{vvtPI
z-O*>x+%aCWsiy9F5}&crRPD_|$8%m3+-cKO&v{XJsm*SAjzqz&HpTQDiNX_YhUvL8
z3eK%sdb(QdbH)86yZmUK2i)DQGTS1K2zDQ>$=len*fQ+j?HxyD_MHk{_vcK`hJ}aS
zq<7_PT6jE7`d7}z!~<c{Z8@74N}kNka4V9YHSx}>rKhipeYVIqoS&~FR&X#$@qU!f
zqeVxP$|7_gF6LRCW3guYjKk-Ao}OBBgi+e+%(jK6=l)N0?DsYMax>>}gRU9R&8)*6
zxn?~-Z?tjV{_nnTn%?d;v#Q^0n{j@*8263jjT6r6h;6){WcXWW+6MdMr>YZo8ZAG6
zrZ48{sq<&*q^)E&EIjQfT_v+=;rT@AS*cTbouAz@NSeo+{Oq<tVq>WH^toc6Z=@Q|
z?-k?zaV1HyT1V{T%%e%)qI44D+d{Wxh((=A3|v+;SK357_r-=&ZF;M7Uu-<qW|y5S
zvEf{s;_F<Aj}v*%F1gXhxqEHYE}d;Njth1F)Y%$wz^J=bXM4mEneL-C85>(fEyLnW
zBhEbh4R%()S;>wh=jrovUKCz$v$MZ#@L(RV^Sj#yj~aQC>oz7j?LQO$Gw4Op)m1x>
zn|A+<*&cC#x4ZSumWU&|-AC_ii#WtD8Fur^tNEJ6ss7mx&))Bx8y&UW*i_HC-Po+;
z=b<*a^xPN4N7@+UZy7w%mUgSjJv`&Hna_`7t9G8Y?5?cIGn~IzjN2k@<Aldz-4=-(
zXG|9JwwRjy(zy6w65su2TH$qR=hCmOOMUU7mp4}L#)Zex(!XN1Bpy(eZj0HLcqCH#
zSWWujmiNZlZ$x&*Y{`08crwXux~`bP(Imy^YqDKxGB?gxuQTmKX<O(v#`RHW9!4!I
zN)1ce&MRxTb>V3_>8hGE=lOfhcy6R0p77VK$0FhIjAk<*i<GOEp6)tolNWL3`Ld%-
zd67C#wjEWf+YoUkv0L@csf}M|-pn*?Z`^rm>in6P%}n;r<n_%@bMDtR`yx5F(&Ds1
zLJzO=v0Da7b9j@F-8M*cTGqS#!qbk>;>)um&wNP}Gu}J%s84>HA)Fi8R=RJ-Y|Y}z
z`pMgkL3zSB=f#J4ys`JTFFfue{p-x8!~;3fZ9H2NkIX%DSMTtQ`8hKRuCLm8T4nV!
zxyicEKKC7Ea*NbSS#?xtS)@+duA@Tt&Tjm4|7%gI-~5@?U{@a8d1uP}nTlp5l3C9E
ziDq9U^A0zd=SX~7#;fdh!ys)5ukgK1S?`MOuiAN>x%=mzq>T-A*M2(viq=VLIGR+q
z<=k|G7xg8z&Xw<<^?j-D&F#N-HC*Y8(*OQlr51mWugjMf%IQ4pdh21pM6>M6N^duA
z_W1oq?-Jwgu96ddO0pk4C-&V8ULtI#ePZS5l}*ieg{r%^9Nwq&cGG^3>YAt}wdX`$
zHr#f#dJ;CVZ%5>k<UEm=Ew5QEzgk2VYzTi8HL+|*)RNeJ`j_PHi)PN(cC~s?G?8y-
z$P#5ev6nOQyGstWHqH4pN5kTV{zu=5Wft*EV)yA?l8YD3oUh+qa$u*DY|%Ck_nN>7
zQyU($nmvhV?6rtWNVe18!0G<)#@EY<+iI6B+_>`HOQFkI$1HsQCtvSRSZS#G>>6Lu
zjBx7{sdaA^`dPO&*N9!?<BABe)<`LQyP!jLYje`GD|}uR%b&#-R&IaRdFF({&aw%I
zm)vsH%fHU|X-1IsiS*333mV>TZFaI-Co8gXZCSvXYquOZqqFXb_Dh=jryQGhc5AbY
z*;T%xn-SJ0lAYfw%<s=)XI-;iR>WvyS-_E9w;T<#v)NVGtgH;LxwpDf$=GV`I@u>1
zR+UXSed?B@oOTxb&zcBpjR(!Q92HMzv%Bi7o_V_Ad-k!GGixM;4Ogf6oOv_LkTdxI
zjjxZVJNX26>eg#~;+y|>;cNA$F7b~ZKVoRlJ<6yT-OX%sO@#f&8f`v~h-evsO}i`<
zl0pj|&bS|C<do(ze!FS;2bQ!gw<2T`ejRzlF#YUNM!DDB%r)P{*jeW2^Kty#WufqB
z^`nLv`rXVz=S0(Pt+~nNpWwD&^HD~=coFs;b2RxrSj5UaC|+fu@F1no;Y8=7hK|Qa
z84ZtjF$?vHrQJ#|f5>@e#iz=`hQkvdF-$*vlu@p|i@AnRjGaYBgOB58yo|uc^#=Zo
zySZvloO6=jxcI{HnxGfL+x|a{|Mp9L)%qS)Rkv>nryj1g|Fb&o|CX?j&h6DgX=(Ru
zIg4lBnQYKsUM2L*c&U0KV{o;Qn9*AGM8V6|LSn`n)e|}MzdVTB+4Jh)$ulR6_oyfG
z9j+33X0$~;Q7-?R%9-bP=e4k|dE*&zqHA7@Yt4<x8)ooV2~FFuhyDAh<Pv_rv}5a1
zZ8?i0ZcR3ru4>EqIpWS_gZZwuoZJyNCU2OaXv^6habfa?89!|~y=Po+u6}B~j9uM$
z@%=mVS}f1J^qg_}&AgV%Gp{^noIf+KW#(5u|3gmqUVBCy>5)q@Psrh4<aF$-f>u%u
z|Dq(dFA7?TN94J#CHtP=^5v~Y;XD28qZV`io^Cptp}Ooy=PgIR<5}!Gzx;PTtI%(~
z_5731!EYBd?B3e!RJTr6q;P#%z?r|d969;3?uky<&zg6lXUhI7d_^z9jUT2>`Lprc
zOdGZ<c9YK^xaG*$oW<VCvrbmzW9-|e<29ky8V_I3DnI(z=dAEd!;dv@725N&*muUP
zkbUwo_pQSG?yb#CdRO_lcE(t1Jo0+0uzAxu*(o1t&+dLyyz5!#nHS|-%O)J&ddpGo
zdNzCIofWcAiZ_=999VnHQE_`VyX&6-<LQjcvyQdY#2KqS$~Ze?#$(fDA-{i5?caXo
z-!fxbNzd+o?T<K$!~cIcU-kF!q7I?yS*;c|(b`8+&&X%DRPd}8ePp~R%;SLcRwt)>
zYea<%7lnD8IT5AEng4gA#eco<?38moDT}jWj`t)l&TgHtHEyjy|HiFOHOH=UaZ1E%
ztE4!sozU@n>nEY&&0!vg)=Is-|M<6*x?%FQw^53G_E)$JH+MuSrlo~zA35_nt93?X
z>{<ci<*P-L(n_{ECFOzScS`;I{&U?i&oeK+c&`;O?q7Xz!I=|R_J?)MJbabQ(0E6b
zV%onj?IUOIWwpBSth`vv60>sR?Fso=E-o=E1O<yXy15*BYX4_$T>V~|i&vi51zo>z
z;mVa;H?AGy-~aFS-hWY|?^isyz5m^>r_Rl@tIX{$-V3~bar@pQx{(%lrnH{sjjV{7
z-g<s(*2P=bE?o?|e`(6JS6N##ve)Fl`J0j)t+nrbSJ>t~IoX~^%eTGI=+?fvXz#|I
z3)k&k`_ccB{e#l~5-H0wd^TqunRmbRZ&i}plJ{HwuG4B?nfW$2{6EX?`tYfrzU_Ov
z_L#|bo1^P|Dq6SO9DMppsMIFv{_dUS?U(QUP>&I6ncX#c|GTQ|MxwrJbHDm;+WR})
zJoww{d%V8AHNRi4o8Oe1Rkvv0%g$qIdVhYs|NiT?=#@_q?|*IS-D3aQ<EgbfyX|7j
z_JimDG{@Dum#N;nwDhn>?5>_`OOH#${_44!dcY#Kt>=2`5ti6vK37r?-Ol<J)3)MV
z@`_hAZ7cN>cD!=B7Z7`7_Ns2lg;vH9f7EX-T_5cq)aM<)d)^wAwSRtnHUIB9l~26n
z<)^iJwtBBFJ)fk<toPdJNtfQ)qPXdX_g@=5Tr(?jdij+zRX%}p&xd}U!}vTz{L|7`
zO8bIh&s<;SZJ{4_&vSNYXZEg=9slO<iu2i$+PRzc+Qa8euZz|nsJf%S!A^Pp#oPnG
zn4~`iHul~KNJuslyV2tNs5op}n$ES&ZwiB_+ifqAEQp@2X#c|Kk$dd1I~P+A`OosP
zUUW7}=+eB5?rHmr!nf=`U{w>95c^FeqW?VCn)COVq&KWT(Dp`ugCILs&Y6QuvkN@@
zmz)lZt;)H)^!)K%JU7;zp5R|1xzTyLp>^rZ4Sv&JmOq<rvBtYyx#-`iO-If!y*5~V
zVAmPF4RXa?YtG+ck~Ua>pzVzQ20?DFoHJLLW^Vu)_k7ovh!x)RGk5XKSa*6td8wqK
z^K`@aFO447`Yx-zoD^%NbMaI7yV+sl5$&d|*HUvEzglSYm!xJto6mafj05+YnayGm
z#@xCa(&VBNgxyXlJ3LKip7tv8Tf{Q&`A>K8{BW4ADF4bRsZ}pI?3GdCRGrKD3g+2*
zmYh@bedSf3um61evbt!5alHP9G`pyTvpri5{PNM=z<8M}=foE#>5qAhy)y$7gzsGz
z&b+pKm&xnk*jZm!>Ye=+GCi$!mG@@5tEp!KKtgJl_r0u*wK{VJ<koe6-S5tltZ6j8
ze2FRh*;3YPK0e%QX3i9gFgDfQkd_yfkgO*bVQl@kKT9b#?PcV*9gF2NudUf-k{uR1
z%XGEg*;%2})6T9s-LiU<&;FAabk3AyUP}dssKU;*Q`a7T!1UT^$$=^#oegP8Q3+?y
zY&kG%WoDyk@nxoLwdJg4iPP^S1Z7X#P&Mr=SJ-qr{u0R#-FnWyUb1$*Sbus3<1QbG
z6(_gk-&*UvS?@B)wS3|c#?AU0(xRdgZ2QC_<|nh7J*a5pwG2#9_7l4?Bbe3f;g)$G
zn_I3fjXe13l1@Tt=C$>^OiqW#&bqo<FL~R`OKW0NXVxXBbQ<p}`QdafK5`akO{1yt
z52oy8OIfd-@#bDLbB0)iv9a!kw5+HE<uvgKV@ur)X=SU_FQvBXf!vtJxY~Pj-PNU$
z`(9mI6IUv^IbeF)+f^sG<VV3BxlJUZeJ$&?)aiScX-D_J|F=1>cAx$R#&GVO6I+?2
z3-=y4c1L3ar}_WQvp_E0{AQ!ybi3E3k{>4OIh(yON?NR!Z1&P9akI|l{AW6a-hNI$
zt6g{MK3}inZKJV)Z@);y^t$?0(f$8eucbRQ@=8P`IJ1e~=s3t~mgdpuEAeZ2mQrlp
zh0Sk1F4n7k_rmCbdTiUBtEopsV~^droO%eHPz`iBGw+u~WeYyt_cEig)FLb)wog2w
zUzqjU^OQzji_iq;Z(=t(j9JZ|bu-N_2s^*&v|Vh~o9j!@Gsd#XTuD8l80#i;E%l6G
ztXhrU=`Yd&-g7Q5Jv<#8>ra`ouQjt?OP%*;&h)j%|1iDYyx;(vj?M<fZmygokC>!4
zFFBy5Ggbcdm0dMU({Db`j{P;~dg=l5T|7G%obJdkl`IaN&N<y=<~QHdTU3_RUM|wD
zf4VO-x3M%LJR$a)ctn3c*P8QfOwt=R9%#$a+8{WaJLk+arr8@p{g<3(-}U83z;rw9
z63Gog(-n(LB{zCaH(XshbA#Kom*!`u%~;~yZvN!Y)YQ&G)@zA1jioaK5^UAPBIa-B
z&N=XbN!n=V0k=KD3CYVuZnUhdQi{EIW$AH_*k3(YQV&G$;*nf>y5r)m9?8Y0TQ<(}
z`MoT2SILZZPuJfu&z*LB)27lNj&WP3u07q)dhM}a<JX@n53t?Q-JobMexswB)$Cba
zqi==6|G!#W_1?dV{5E5u_x$fAk{|ZzIj6lcN}8sZoc7u%u}|l5`lWp@#Vt8kmb_5k
zK7Esp;hqDt>ee2Z)xPz>tmRt|%sRgHz^vz656oiUc3_tJwga==w;h<39yZT^$+e~X
z^=#Q*TuNV{$DH@tTt8()UCI%G*ke3bQx6${3MTWY#!LHN&iG?8ZRyMdh#Yiw&z1wT
zIx`!2Eus?6UfFVB*3QgE)5({aveTAVDV-Gxo1VtH+Iw@u)uoa9Ul~2wqIdRH==8LY
ztGqXtO-=oE_GDV(GVgY6aI7o*jMR;8=jU2;x}Eh}vTNhl$jAidFwq+muCkgX`8M`O
zMkfegJ9$1QD|X-I&2K*L)vI0i%ILu?J?C|=jUKh>CFi{|dN@t(^1jTy(^|IV|MEB;
zzcc?<T!O5f<_0}$t~JNcF}>cr`T*M-y$y=<#cp)ivYI{H%ryJMzIh(gd3SxevBG=4
z?=GH*b*Crj?&`U*>hz4<T|Pg4*n7Y4S)PAss>FJ$cFs?p!Qn}}^knZ{U3!`)wrbCE
z@A-whcxJ3TJt1;e&y2OFXISp?nXx+UeCncCHuu8jo>#fb>Jt`w!g^Krj77U<tX$<i
zW7&=^(zBLZwg2?GbZYK}E%ocYHov>PH1hO|OKVa~GOro!GRc;Vowc<`?`)LY^fcYY
z-kYcW{2ui!Mr_5*uMsP(=DV)qj##s6g6gX7h*i60q^|O|P~3O-(K2~m4a4v=K9N>u
zet!>|A3ITXns|h<yw-*^yO4ylH7gFx`Wet@`gtK!_A_VJYiHWI*39G=z0oqUN-6f)
z>r2UvdbP`586`~Aa~6ATl+>x0JnfZH;!L&6eJ>8~4_<m$|G(eK_?_BP%Tl-B5$Dz4
zpqDQa(QnSZ=6nZ}biw`wXB6{AWuy&P9XQsbw}CVHi_Y#ZmzTQN$Nu8Ekb1x&wvFdf
z>XC%lV>}mA4+TUnbB^oWxT{1$9aIwO=xpFS&b{VzC)4Y~O$UC>(b~YcoIB^lOeX1%
zu8qBx(FwxmUUrs9uJ`We-1TMViqj3-OC^mwrwdLlmHgbNC%i1f=<V!%SC$?QkNS72
z_VQGw*B@dVOMmV=P!*%Q!R|U&&VjE?(nX68xZTm&V7Q$t=TNTgM7{XemmcrYtKD}o
z^?+e)Tg~dz9o@Tnb}l*Ha(a`GeN;fwbUoQ?r+;dn7Lpf_Xn)Ik?dfEu*Bcif`1M9-
z1LJG1oD=Vuq(5dh_TCIm5VpH4{PNR8JzKLEm(myOF`K<KO4+QZyzPZi+G;)FZJ9=I
zXMcNjDRKU^{YCe`?6q;$jBcOFdM!1q@#~D(gxY5!HyU=bnx(`w_Rfe;NEQ>h(bD>f
z#bm4A@2@)5G8dMfcZ_9|xs-Y$G1g7yV(OXayL>FPPJda<sw#HUQ=|Ss(@}7iS;h2v
z!>$9n)@W{!%jRBleixJUhJ6RxYC;l}%|vd@=w&raoIKBCy6Ubk5v#oCr|#mZh@Y+~
z|H9~zbL_D-7g7&-f%3IQtQF5CP_^hL7SX<)Yt88uOs@@h9r)Fwxq&g6JLkj!CTYWc
z2afq@Y~U3B>avUH+S20!vA=k(rXDbeZR5F~dPI6xPi63QPUU}dw}?;eYf`-zHutc~
z&m}9hKhD#Wo%Z_D(=~dw=U!fV?j6fkv-I?Y^`(-9phn;eqlZniY^R@G^|`{m)Gjzg
z+#s}6@p6c`VQi`4`&D|0ZI3SHy@<YQ851%o>|IOVi%Soe>BZ)~y!3dRUTxil)C2vy
zcy=y6-7&vJvN(J?r~T@i#XFZ+^`Bn#dFP^C4evw5KefJ6TDMB?*{oMW>$>%1?|R({
zo_n~?Ff%p%TE(uC8*9C%`<K4l=sw+U{!62T^?J_VUK%BB)=TDlVf1jpEZgb2t3KaY
zWi>x{759&bQpMY$;vYL-C6%qvOI-fwlHKzOuVnbNJ+;4EE-!sq;5J=P{77eQ<gCd4
zAJgY-GMOwFJIk_P&-vKPOJ^!dGSzH$nS7r6e?{u~rya8*`_G3yFAgbXJRTxm6jLgw
z9wJ^GRLZ&BWqMuHr8C7xc1^c;JEa10<ul7&B^B}0^^8kj8hTH++gvJX;0~^l4E?7Y
zelDH4!Qt$@na@|8J5wBz{>*Zf@n@N<Gb{UEDY>nL3Uzt^p3}OjIAV#)+B?th{N!I%
z^22kwUU})ukDK*s-@P<?uusof?uF4K@z`0J4SK@AR^Kdsx!kJ%^?%1=JM$3ng5Xlc
z?IGfY(WQp=EA$?^UtBuBN5{}%P5jTt3wcDM{ccW`Sm@n8aaT#D_jI|-r7t(TPiLI}
z(kNxUp7OVsMrr%?g!xw7ES6ki)qil+XURpo8WyhNmRz>0<Ke3AO6O9}d@a4V#eA#I
zJ)AIUOVRvdujzctOJ5ebO_z&*aq0OQJ?3*Sjh=YNy45T_J!5_8%!0VH^G+vTt&|Cz
zd;a+<Zi`jBCM2)wwph1ohJWbvf}oO@>T=#Si>=zZlP;ZFcZ4g}YR#3Ur?q0M)?8b9
zUMiMt&DGQsR<UktuBV<!idD-A*!=v{+E+GqL37UwU1ik?jXhy>)m0}v_6++f?;in$
zne#UXmh#P>vSjM-IdgZF+*s;8{qC+W7V3W5r!J*0(qq1NE%k&-teelZ)HBw*d}b(}
zV-9+`De~-^<6>8T=7h!`FuU4Xvu0Pv+g05^T}nBvU8dJf+IS>n<GZK*#YVn9Q8%Z~
zSnS=tb63gC<=*{2cYTRmaJr#&7f<Ap(;Y{5^+YZ@-Lf?6Tg<Z6=N`vi{dp!J_CWP2
z?#lR5LE}(yW5-g?&7$G|IQG4gnFdaO-;c)5+*DGrz<YXp$;*rW`<MCG$FlKUNIl^Y
z>&9~_^-Myn+8>2|>T|uO^Ithr#S=L9eE%y;=65Ufo~U1Sy%QRH=KLz}9qPNj2zRNr
zb(Lz=`%F#*2cbl)RnOI>r!8Wudaf@$uY*w-tx7wey5p72y@0vrXRqRxSh#D##8urD
z-lc|%L#7)<6=u#a_9^8%J{1&%`lT;FOx26scWvqM%Gh5ui%&Oj@8bCxHeFD>RI=D}
zI_LDOZ+6ZJn0wsw>d!eru?I4*w$2HRJtDrU+j8};mgYs?_LUKjx?aib6P_Garh4tw
zrNp^<vDaQ-T4Po6a<k)fM(I+?O`g*QXO~KDcAd@{ef7=Gn)O!wt5<!#xolU1=qm2W
zmAg7ZS9M3O-PK|W$`bsc&p&JgrMb^D^<=-jx|BL!&-UBvOV1nhnAy7K@6$a!fpJ$4
zsN+z#%jbvdpV;{!yK=&fUw`a;Ra3S?FJbvBr?QoLN!wo~*@ea)n)m<1_Nu_L+N$rF
zzeA&Aot>jQ^Xqbh+dtp6pBe7zJ!jH}!>*jWd;a#;W*K{NihudxwZJZ(%jCfv2j_EK
zS4u80^;#-lXt`{8E8u!y_@g(c%}Oo47i~M08ue&Wi>#jaji+5Lw)-Y;IR9P9Om;$x
z^SucLM`jE4Nh&^Undo#kcArSoU7>u>8;Mg|V%@xNB=@w`ws~75%xQ5x=53MG)RL^`
zW$`d~;k#vXxems4dJ2`z&`F&BPw3l^m*1Jb?bLqQFke-s$p4VwcU76s4u_U$|JfT`
zK7V~{(r?vYo;M!$6=dB{Z4h~D7;wn0Sw+Sm;*g@Vii}~%A;ZHea}2_Eyk~sg`e4Bk
zB~ELdX&X)paaQY0-EiKBlU-+e!3lPuz8?XH47pY3YzSIWeZt(SAR=jMi)@(pjnv*2
z+iPAo(&x4?U-Py|S=OSwjALu3h4O_N`K+@FK0aL+FS_=^v9x0;>|2*>Eq;;FIBVT|
z^O;4V8J&tkWj_q9yTUe{R(N<tFQ4;D@)GcpnFqd&vl5*b2p(q5l2d3@<2ifLWU?w7
z*Of#EJ>k@-N6TZ>PTlyTAyoF$=a8JVYL1wW5Z@1vLyE6eWj6X9GR#)ZS@z~;rK{v@
zM~4-=C0)b0pBpTGp~bm-&6Ewtxj28<XgqA-SIL>D#p$jyx!_C?r@D^dt9KXuL2AXp
zYLz&5>rB~joQd=Indt=wY&hG`Of5Jf!+HG7w1PuA3*Xu8=Qx-q604WkE-X}Lsh8NF
zEcDG%>tVxrRhc4}LxSz9GQ}Q;IN4iwvD*kVoz<vvIK=l`HD}r!p>H>|6X*L1@!e2<
zIKf{vXIhL<-%ZtrEpwgjI=|ySm?m;pF|plWCFj~gp>IDT4%yvSk=YP>NHJPPW@F?E
z-y^n-$NuPCXxV*t|Jm4uX(F;QwlXCp>s?v1t}VJc*Hzp!JCM_Q&Xf(OIUin0)71(v
zJ}$l@vYYG58n6l`)9k>;SzA{)oQ*PZzw9$>=3#ELQi(rK;FNhUpY_d$%`LHc-Zvii
zl;q9-E5v7^@Nh!2P@jd$!x@K#{4A8N+&$CRl3?6br<d5iSg6cWEwTTx&^JrPhYgd3
z_$*Z)cI<ZAbyj1Sf0y46rxm*+^|?S{9{ErvO~h6`ar2Dn8!FjUa;7y1nXOjloZZ^o
zqO9j}!{?xC&a($jcWc=|;kj2OvHi4A*-oXz{<A{gBJ~oDt0xtlXcy{>P<%LJs*qoV
z>J{4s`vr2`E?yNXi%?FSzE$X3gnHuquR>;<(>U96CKMcT<2;@-so;>&!gq>)L5|Iv
zxZ!XZ=kA=z8zfy--)xRO#5mu>BBi}Wxy<Xv8HE-vw?ChvXCJc>$T;-(ei-jM*{7<n
zmfzgCxVW>*XTdV}Gknuyy|3&QD*NGcNUypjcz2CnK)*86S4*7>4bDuai#r{Z`8Z6{
z_B#lFdzvxpq|kBJR~P=D-xR8MS*W~drbhDSw&@#A^9z03p^!K~T8M9l=EDinLVY{*
z9?r-X^4p<x<?hn?0p-R!>h$Lv=2>^&^G3-gp>I2M66bph@$JxfI3ZtEW~1XFLvNKi
z8zNThKCbVyK{7_%^G4#rmRK>58_D}xYM*&pB&=(3e&%73w5=sM&C?=rSwQ)Gc96pR
z(>ENp;M{#?!iM9!g}%+yd)SaE#5YsxVTYzrU#0sY&dII2*zbrpoqb^Cd5ACH`$p=b
z7F#us8|iymnD0$4IFZNc{$^6a89z?-Hv+HZiu^gunqR96mEF)woZhecW~1*RyJ%IJ
z4X%e2XRFF=^gLuJ-RkA`N9V$f-AukeUYgH5Xd1gy@9)|8*I)Nf3Cw<f?bxqn>Jh&#
z*}wX_zjX4p_^Get*Jr=G8+ZN6?~Tunv5D>Zvj6L^*_L;&?f%;-^jY+=!TY7_xJqYj
zu~2>HbtCbdcm49~@!JbJ<?FQz+vQ!}6?s3A`y4l6$9bNKv)gXlUSIi0Z}ZYi)n_Jc
zIDbx@Rp!Gw5!p{|B_}(~Rb`Bw4skNapSat9Y}v72prO<~{}0`N{dM~)-`9`*x0hcj
z{gRuW_HV(pX==sd*7Ke%zW?WLT>au(A$=N#uUP{v&P;1PJ*TE|zRB9vjoJEX(eozf
zR))u)5!1aob=vp6NoN%1dG8L+tPEFqQ}E{dO|gK3s`siRAMqKxyeo2iB6r>8U2*Ia
zM)O_&1wxL-PAmPdxrwVfXTqY|Ja3DHz82>+Z;Pa9EoTE%9=3dsKX<qP-L&%0PjgNe
z%Wc~e{j+&j^u1p(r;B$*-Q(BqK6EBJ^<j~E`~$Dkzh8pXY}S3Bw=QGlx-EO=i#{&U
zFIU}u>C-#!8xI}Vv4#|M_Pf0Mu<^v%pnxZG(XQ_{yFOu@y)<c->4X;BZyq<E2XnH&
znO1N@k8}3aWi82N9u^NL|M~kOuX1*g?)lmqpUj@|zsjq8U8H;dZC2&&V%{~u7IP-F
zp1xPpsIB|{_WktedDC+%jqB&@My=A;eP4UjV$bx~a(lc^&sI!qFE6f*W1T)jqo|!z
zsa#UOsDGu>_ejN04g5=!cKJ--aNLCRchBU410tO5dz2q`oD}Mtsq(O8`{(bAcR#KD
z@H^-9XSr>Ao`U^;E9Ug)T~YTg-S0k>*r^kf_LMs&v$DHL_x$;7ds=rcTDt4fCozp<
ziFNP1>Xtq|J9)$512Nny9tgR+zWdO6BKBOzoyS{F)cSSWJXjLqGyNze6XvVP6vQ1;
zjQ6&9q|JG}M)P4y@z38E?|$-o5;gDthNrUU<*(fRbnQvhy!%%_oqHw~vAkf12KRLD
zpG@IV_ol|2=H8_H{&&p9pxDgHaM8yFy5&n3T>7-m`^G~zaG>WZl}mj5?|CP6#tGYV
zf5JO|%ZODTSovQ|<nq&HAyxg+LS~<BINARwJe(k}Dznl5kl}6BIUfrD)s<}DGx@*i
z?N3eZy7@_Yo8(Hi@432jns~|9Js<VE4kgafi8=O6?T6#ir@bfF8XU?wEj?Eo6!Q6#
zlFnqz-W?Q?S^3`kM&h$>aQYMLypy=`MC`PVJIO0g)V6imJgCc44SSY$VuIIu!{|eH
z#VRre;fEAItH>C}A2Q@tnX|#5-hNl~y}fsCnbq9*RCjJ|?%{XSwrgGgbZFhQ@4<PT
zw>4$nojCOLc#WI3?)%;1n{@S0ZQFAj5&?Od#~!A=^9o%0^zMWWhrix==f*l+VotWC
z=sN?CCwk1T?+hKD*!^{tyP#j5eEQDQSs_*ZtA)N*23vU^kQU;T)O*;GDAZS}5Nnj^
zvF@qsUETN7V@`L=ZQCOWP64N4PIvEeza4Y^n&uf353w}4DeK*rKAn3mX7}Q(!+A&Z
zQyzbKn7_-e{9<a<uPtU@*JZCWzkKuE-IIRdnPsO{-)wkees%kP?&%U=*Bp_(C$#gl
z$HeL$ft{I>O6I<gBNxul+rIQt^_;)KjK6&*_z4-r9a4O(B4ZeK$S_%Dj=`^+clN%@
zE3^Nf`7UnjHk+$Au3h*PQrww%KI>d!#=9Q=?U&m$jwKentMLonEipyA;(6F@2Tp6A
z6L;sYTf6P4lKESYCwktl?>4$TvFmn~+u)(K%)6em`pe`E=k<3!yR`G_H-|%t-&JJ_
z{SO%)Uh1t@Ghu)D-M2}J|KdWocC)TB?%r`nQa-QjY;e!vJ9mEGSyg7J9{%B6?}qKF
zZw$1{>vx$QTGnyrq3p!nK4LqMt4#cTMy&F{w>x)V+~Jt5IrZM-TF&2l)E_oT3h`Bj
z9}>K*Dr4+>h%@-}_f@-N=bZa0o)@~?VyRX8<oa2*j}Nb1A>37HG0%E__*K2Wr$)DT
z`j&<q;+y|J^V`3Y+~8WZqIO%Q@}D73<bD@_-=94@YrBN}25+rprv*8yWu|R7ugJ+R
zGo|1JBd7bD=>=!(IMv^ry}$Zh*+$*^^{Z|jJ-({=hf4Xec4=2@k;fa1%kB2BimjQh
zT~RrG@sU_g>pxR=+l$6Lnsq{UUDutb)f2006pQ9-EAj8p5xxAhuf?`*%7*j&LVP=P
zA5MrC>f53DaE7#y-;Q~puzC~(4y*1}#Xro-kG0>n5Eps;!S`K_W9V*+`Pvng{ELoU
z7b^RqRbH>P<48li_nn3NPsIM}yz_W^Onu-(JARiuv0FRycAbgcH^u9Hq2D39bQKwc
zg};5jYsnb791?6+l`-}>#K~MgcXv3y_^q9W_A9c)?N^_Bn3tDQwc}(_q+#0Dxz_O!
zSM}=7q;4x_e#XveedpZW`RjORXe*ij?LHBE@BG&8b-XjaySy`Ye!}?v*`<3s8H>HO
z_8#};{C#Ia!GTiF_L#{9M?yJ|*XTcNdH)F%IX?eO-+@v<=BnZuv$8Y!w>vD;ICkb=
z(ev(Aw~juENwePWuI72;;oCcZU*3|d^nSvp?E22g>4{u^*PZ9Q6WMvDcfB+UJS69=
z`o=i!5aVMN8Kba6g2^f}#!-hj7lUo!IemZis<J|>?=|)%eAUIg8y0Td=>Ph0&9rKR
z-&eNUUb{UftN(<oo#@Wf@6!LLt=}JY^!6(&shd-|^H$eyTDx##^W5`gd&91tl)lY-
z_hZiW>jhiPcJl7ME%n-3N_y6%+?mIO9>)hQJ<Jk2t9q?>|Mt?C#xIwy`@W|qM{BF;
zKhF6!zs;-uuD`pY{IhUv^v!=c-~ECnXP>)p<M+v9+m3BBv#X0ZyD7u)Pv$mTpRR@b
zU+vo%y?M`8|Mau=B0CR1Yd7C=GWY%Md$(@fx$uc)w%omrWo_<quP<LpIQQ|Mw^)fp
zw)1AC>X(VEyfvFP?mKd9l~?$T!)<R;gVKI2eXje$;P1~r7xm;L)4xRI8_q8k<BrJQ
zIKfn`yW;q+yfY%*Gga?>z8ihYH&*w+m!7lzre<4A%s;*R9J~L~mw@Hw`_|>VdmDSl
z|2V$)-@F8Ebq&Lf7p(VuZK^3NHkX!`_*$^tI(h!9yB9uIbY675mDp4AuJ7A7yR*Ii
zQzfDkWRHnPO#jGwE!nB@t7K#X^Sx=Gu4c~9$x4_M^7P8=_C+f@PwM~K9QS{N_w2m&
zdl#?WxXyp+>g@cNx6_VoE?>0{G)L^7Uwr5AlyfU~-L8E7A+FK1c&66vkn1_W7(VaW
ze%&-w?qDkGwTCZ0^UHtwGNs?tZ1>mFwKCQJ;=lYl9=d1iy0wR8X21HjZ|z#$+-3h{
z>i;FOhrFEpO1@Ud_Ls}oNbAj0$~4to-xYX0(Od5NuF&m?U3`blgEyb~t&dzgK0nW^
z`_`GA&v%>unSD#^Zu;TJy0W=%Wov%g?EU98J?ni&+V8_>e=b{P_v!O<);AwYMf37=
zjIL|*dp}?ODfHmn^8eD27BMTdlH8+Xi>v3~lb!4OXTd5LS$^*?xmTC&-U#YT$5j2B
z|K->3xjXW+mtNhr&D1W7?fof(y|0eGo457+WZu2=-^?{zdDW~WBA8ct_G`J!temu)
z-FGYBd`|Jc&!=bqX60|2oAde{WmT_*%SScx$=|$?dbm5bcjxOi+gsar?t6Lil<%%f
zwX<)(_Vs?Rb9kBf?qBz&EIYez=2`u+y=Hg+l~n({p{xJzbKL(GK322t>?)hQ@YIR0
z``2z<*uD7Q$78?Lcl>*_|IJtbuH-WgKkj~xoxCt7#Hy$Mer)aIGXZ}yYFE!(`{cpn
zm(LfQ-MEmLT+S+gNXVMy)rQ~&X$&GOj^{Q0s?oa8V9Uh&(+9LBT57ZZ0?yxWxpwDl
za=t6X&hn}tV1Zsc%d5hO1$OQ%QUzOoH|OzZo``I8lM&1~<JqV-=jYnc#Qw8of;N}!
zZ>WEeS;u|l=`07^eF7`aXEw6U5z08h+2}S$IO9w>lkbmP>o%Mh+kL36^Yb^(ns$BG
zSD*Ge*yeFud0yPeCL@}0!m!azMm*z8Ig{^>q;QLb)v*s397+An(s)?4aaWAUisRdv
zzV1}G&=AYSJM;4#U*%_9CTa5=grC``hgzh}j(xb{2-9ztg@>IQcj*YNIG*%7Nl^aw
zUJvkM+)p*vy|y#`zG7;7>(aI3KH1Z3uA9CH^SQnL66=-4zPkP!zwBJq9JPCQ+2z;z
ztL<Ja^tAq)z5lfoi?!C{D_8ITmEZd>m37M&yX0p+rO&i<b!DIZe;s}6)+O(<zPvx5
zUhRMTb^VhI?q{3!uC&^AKl^|A`ubpPv$?yznt3;*{d)cItnS%sH`(_*`|``aTHxOY
zCjPj;_pASIex>{>`q!70*?AR9@~h|XDl1M%ZArV3{eRbWd+|qA=F8RJ-@bM6!tT8?
z7C*MGyXmF3Vd-Zd=eXa?_x@`XxUhVqfBKONf3`|V&0mwB`7R^dFu623|1#6ORoUL@
z9Ean6@2~ost-E3IQvdhAjvc=xb^Eq_W|pCG^F!0czRoXhN31l--}5cM>MytIu6t+B
zSAEYkDOL^6zE`7rJ?O{@_1Ck!dR}C%IDBGW+pKe6ugbMm#nf)iKAF(|Eic3H;<8uQ
zyPAEb`CRxKUc7yy)(M|x-y^-@yVZX2D_5CMvH06{<Sf(Usp~k)+NR&o<Zi#aso-ab
zm|S(r!_DDhjK7au+Et@kboprao##tVoIT_EM6umPZe#2d!}%RHiO02LySw!6-J8=V
zA=Ech>tRbKliB0LC7jlK^b@Dg6#6#ftJgZkng5QIME9FtGd0;}y45DFZK0H4nn%^r
z?9BBpQ!18+bsSDNU6;(ZQ%W%Hz-qyVn-{sc9Jugj@zidPnK6%L1dFp=j_iq6R!Tgj
zeYbnbyN)gDxj7kW*R^A-4f$g?zm(GYJ>zVR&XV1WS6(eKn94ol=FjU}os`a9;p()E
z)>e5K(<@+H`F~Sb$Kjt*ihB32aDChnpnc?d(Ao(Nr?)yet-He2xg$(l<yqC*2`$W~
zPG`IxCae``|GL%b%%&Y-9mkJFDca4>YGuh;Cn~gQX_&{6YvH@LIa)cdTIQu1_H6#z
zyxV8Cg%^FE|7lr4YL4%BEvx@83*KG5^Jat9hJ5$a%4t!d_m+71-#at?XQfkUh2EFd
z;k(NN*If9ug+Ie|vgy8a{&K4qpINhR=}wu`Kf}Lrnf^N!vwq>mg@0c@tMU_>^5Nt1
zOIlM;&p5YBPb9|rZ~FC`FSo;sWkW+_qW>z#=ver}&ZH=KdG%eWtLnP*$+cEeQFA7o
z?&{pJXvU1WU7j~orMDl+J8Dt0N@BWg*T)||hG|+$W;Dolao$`%ql3At({ja(7UxZd
zf4@BZbJyqp+<WuS>0VK8z4!EUcya&S%2~H=`y`vK{kftvXSsL(+uP?h{@D=o@nw9V
z_4m^L>m3C*zGq#Zudz${)~oqzvdxQMsU6q(9yh%t`IhODs;95RICpP-ZMyl<*O=+S
z-=5tm{pxS>`Qhu>`g3o#JU#mgbS{SO*_sbk>t2Lz`ji@QI@`rUXSLwNO<UYrj!%*j
zv@_0jVbNJ7D7fjv*E>pEwH7^odCg61&%A7P|7+z}>?7|lU$^y0%~i`Y!E;Z?T&+A4
zIQM+cRn{{>u_qo~FZPzY93*v#^+xr~&Wi8%8`GbBoZS1hRCU{ay@dAu`1Nu5B@cyO
zyS&>F_Czn+<=w`pCw7-z<qTY(C{A{f`?&2yvRao-V$&41>A68WUamRbedi(XOB1<h
z)i;}652ZCJJ)Gbx)EA-pa7Mq%oDFdrKa`*C>pR=FeQVf0=UnG)7eflp><Zsi{#nan
z?&mdI7apD!zUw>h?!?a9Or<+i1E$Yr`np3gVE%hnsSk5w4W?f;`x24rJl{N5;zJv+
zGv954r2V|feK!mqvU6{nBJq6}>sg^cUu$fmeg64zU3uE#VB5!i<@pQ;=Du651(oO9
z<cxD(d|t=P{OyLplMuOWrZ#6E`gG^!yr_8c^4hL%Wp*`7s|-x<oOvbp_HV@fZM#l&
zOuuPhxGO)~`4{_xr|U!bC;R&5?S5%y?Vd5sb5n6mT4vg@`4iI)ZGAHJ-Pz~u+qU*g
z&YC$tl4r@PO@?>xd=mNmDf~^v7Q5QL2Qu^j2=k@1eQCes`}ChrT+q!cS<9Hu)Ge(l
zyQ==T?&&%G;CtV9<;d)-+q>&S=&~c?vy46mJ+0A;*F5&z`{<E5!9IsF7jir;|LOD6
zC~|!e-~8-TPgg9n>B~Ize8(~-zYMJ>i<T+9%hGz5?yGtyc*;z>``4!&X7=6rXOU)q
z-FmH4%l9v1V$0A<S+GorEmJFP!!n^ZjZ^v`CkN@@Pn=OU<#d5><(`F_#%>u}2`$T<
z+_JPD>G~e+xfF6J!<AL_SjMTuHOpd-Wu8jjv#jQw#!P;ux-&i+T3WS|PfTAweE-Ml
zmhbw==dXTEy2(GaImS#&EulH#(xRym>m}Mtodi!UJF<IL(TsJP(=%s%nz2gLnDtu7
z5$joAGuDKhPF-6x;bqwUOH&Tto>eq+g=RnJtWT2bS2h&R;*wmwvcqszm*m=&ErDM1
zY_4grFSY-)RI{Dm<mslEV7b#KPdA4IGkQ<i^m|PhpHc16q^AoSLQgp^TOF}PMr2cv
zp`f;i@MZ@?PUE=EyE?zDHWS|$wpV}lK8JhL{uiAUoEdrR@Pt#(72MLQmif(&yR@a!
z*Rto*l+zi$l|2`yoR9FGX|{glgpaejW=xpLQ@(1Ie$T|dH8tB0-k!PkUDv7F{;MjA
zOZn{=-H5j+f6mTV|7Y<cnRgkdo~rv+-nlyE{P|g2JC?7U@OxHQ#RqrmrJ?u#ed#Vq
zQC<4i==0wY+dnx+tJi0qOWgM==2_~!-5cyS1UzcD7h|*FsaR@oAT^<|;rN0_4D%1p
zT<ZRnUH)0xt|@2Q#h&d6+uN7<wl2QDY+3P&y4fWjX_?<Y^j=!HKIHw^@{ITGMmnE2
zM7-zXJ)fggvc+_}DGTT3xXl?6Ny{A0eu`P3C(n81v3ujMKMEHbZZq-jRK3tKo2hrF
z;)RyiX1!i9!YdA&GnM{~TOfCz^UCus2WCBPlP8lLl=Zkwo<%pR#carod9=zwwk>^|
zz1Ee~84k8>TvyUN9GKa-O;RQ}D6?^yq%}AQzq_&3!|TiDWa0MsmWb)>Oka2C2h6W#
z;{6f1K(U-v>f>aGWWW7kdrt>0^Ywk1=4%yhwZyx>dDj=q1*aSS?&7(*{B+0v{Tqyb
zPg-^AQ^Dr2^;fS(UcI{i)w)pryY<eYcZ<)-%B!+#O^=imn3-`s*yd(Hwy53L)viii
zXB0S2tZ3*mI=R3|Yq3%HDVq}-pKYue_r9M{`1sB4{A-W@?JGWC@%YHiiGt?)b*3wR
zn|hj~+FMn1b@ZvFhu#&f+_*YM>W$8Hy|O9Z{P9z~<>ya7&2am4YDex<qeIt%JUQRY
zD_U8wFea-eT#xtMbZ_zJr<S&FetPNn%p%DLB|CWvSH-wlL}<M{`yyp$h((~DY2S43
z=H&W(n}1(Vt;;Ij`c$8<?^~j1--qy;@;|-%uj-4gJmk)F^+&`4w)q@WHpw<tMQ8`e
zzh;q2*z4e&BaqS3>*}@g^Kz!pA3h7DxLLCdVi)M8aa=h(o9U}z@B+p(PLm@!jczl7
zmrQwgPISc~o5rj?ssYX0SzjgXbFkGBSka%!#QUMo;U$OW1&;Tknz;wMSyp}c=iOUB
z(IcZH)Kwz!srMVzD|dejEsB2H9OPMk=26kg4NGFObabb)oj$d+`{~n5hufcCI^I<z
znGn2_XXDNow;MrPFZbP!(i7d*X>_+?!`)x2Je79u@|2L-wr$V<%=Z#^ZpOTPvxev0
zvUPI5s%u+U&&@r1cFI(Xh?)OyEELyTbE;lEu$|A0BP20-BUA5H*NhK=g1aiVbJ*4d
zwb)$~No?5aDv=Z`=oS&*P`{{lEk|awwo|XKYsQA19I-i?PW;i`7mjaJTGD*%|F?gu
z3>xP$XHHxuI^&S^x1)x|28%c}-SuMD8g4znvrJ@0_jT?who3NgHe7jt$wy~{fPef0
z9VdQ$cW&cN2SoTjWoJh6iAcyU;O;qhmeuX}Q>MkiMU599{%F0oTuntMZbGfhMeS?*
zj3WE?vMwv=h+nm_UAg(L%+4D}C$`U(`FZ1DV1vE|*GEft!EMGa-32q0t$r0ODV(Bg
z6;t@+k%haQU%`{dD(;N?wm#pmdh6kXM}O((SI<1Ol<D%0Kik`0O_EQ4e1)5LcEr~I
z##imPmRnos2DBP8UA52-Xf9?dEsR?rCuf$oMDpv#ij0YD5k_17Nw5DQ@+x5^ugEms
zw|`F-a>>*fZArXgSh!)na_f4FOFw2QR~Z&QdBor@$5-^^v4A@xU$Mv|4tK%6O&>MZ
zB(`RB?6wH9I5+VaXCIGW(UV8feKvC*oj9J^$28}m#*xTAr8&uuFGif^x>)$q#+~ba
z;gZ5e<>qdgojZ<9Y(FjY^G6+rGvht^r0-WAFkdSde=YfOL!+R)v?S*TO=U&9WR*i|
zzmHvu$P-@}dFxEaAu%_TZAM8OdIiOAOMd)dscg3|LFE9So70;Vl|w$&0&McPTp!u5
zH(X@UEZF<9N5Uuh$WiH@iW#Rm`Zar4B+hztoa}L#k-~rIDA#TdRWV`7z!?WRj#aqv
z95Z~Bq@yf%%;3@EQa6p4$t^;5ZW=c?vp-B+8hd2%nSQ}jza$p+^b4N)Cb7_`U+~l?
ziH{p62%dT;vG7cv;Hg({9DhWlihtBRn%r{9+RfyeVN$_VLGkMn9}DIR%9~4Y7EGQf
zuwA0F;I^Dg!_~5h2M)@*iM%sfRM;=r{JUpI#Q~1J*Nq-M7F1^3m#%U|)J^G62EWn~
z_p*r>4*K_K{5jKcEZL1`p24F>n#yuj|FVSTl_fYo7%3~d83{d{`s;GKkkG^A-78NV
zT>Z^;;vo$;lepv~2`0*VeH&-lzDYZBT+WT@O{&TfJ2#~o%Ps%fH_b?~+}zCH<`I+l
zal)_gQ_?$|uWkzX;UOj^fBMh^;m92kD%||psSlIq>M*UD?%Kh-sbfRn9Kl}IN30)@
z*KAraB|>b9*y*OA<j8<39d56Qsg9YObTmSzu`V^=)bXL!LYd9`DEEuARc$&V%T7Bb
z&(h(!H=(tA<)#k?u3}&MG`blkrwS%b(NXddNk4Mb=p$3r^Z5$gtsggCkWlAt-ngm2
z&`nIv?ewAJ*_${FJjE36O<|RNctrB!hL}AKeS(`)78*p0NfoCg8ib4KJv({maP+1R
zAGhf+otf_1!MdqqL%<wCdHF8!3p1a{Mr!QQ=I;HTlDNSw$NB)*#P$fyPaLb;uGkx&
zt$2UGYTXox*455ZcD}2Z&EJ@Gw7WO6D!X{=&aF{LO|?t2)^2&YtJH96X7xT+bDbO4
zY&P7w)%*Lfl<~%MjZ@P<CPW-=muz{IUtqJPD>VB5n+MCL9u^GRky^T>+p6ex*5qT?
zE?w=hmO19U`!>h!-~4&i&n(%$cLhAG6U?&FaB6OMeeozzFsLu<;InOv%vQTUmPj;z
z^!a13<e|jnyN?z;cjeaTso0n(HfjI4-nI6>OqBWV<<7Yvp}FVy#A8Z*JolcTILa<l
z8F6M}zp4yt#HqlJR2kO@yEAhAhb+wGq#m`uvC`Vwq})4IMsm-YiQUz`^XD8rak#dx
z#^!;>0p~s^n@1XlzJERbWNF7FS2H=Q-aiM{EiOLMFO&J_$i#!^Wp-Aanb@u_^Rwbq
zV1u05XExy*d!HsLDa)xDK1w!HW{gX3F-ve$lG%`-)4RK@JYyQ)E%A#gvPmwF4V2m3
zB?=3t2=X77_*gh+B7?dFXW^uY9QSWE8%Sh|FHF9s=_cZ5yr{5Euz7ROjvsA;{Ldvn
zew0;a)H4uzq@*mUceA<RV^?g8pWAfFz#WG=j)l7MtTTM{$Vyo*&*0HxHD$&;L!n1z
z%7WW&HGlZ9Dz=5sc(vrhoDM;+W{HJ=+6Be4B^LIy3wo7GER<;%^vaW1xaOE#OMhUQ
zpjCa%DGpVGo`7eEIF{=41gtyM(H`0pP<Du8scKJv-60NDrEdyMd(y-?W!@yXB&sV*
z?UpdSakit|wx{C8>5l%|9+n@ICJOwP?EEm*wnJd?Tcw4~>m>s%(%&a6JQm`n5t?-5
zsEM16Px_JLJZ?;TPI`3A>~Wbf)!s#4P4L)_*glyv+w{9co*67MoG#d0*|VdfTaZ8B
z_))T*GUGA>p(I6R!F!U|3SO<P?>}&mW1{w*wg1;UbX!Z9`-n`rd(tVnNry-6)S;s)
zkrgv^xKEX*3MMq_ILS<KZE1eglhGrhzu^0I=S=|?I^4a=n+z<pxW$jBJbbuAr)JIs
z*M`ZPI6kh`QJN!^e&m7ZN2aRHcDmfH#!0?<aiTlh1C^Gi#64kj>$W)}G0|Nj`c1~H
z!+&qg$W>E1-EfXC+vDA){Hqo}GCd}0{m}{NJ<eoWk#x}_vuA$t&)%<AeUp!U4*NaD
zWLt<f^T#WvdNzf8vVMJG-jZf<i=Z7Drh?0xr?2VJ@@CgBmhe9&`uo;9t##K<K3I1x
ztN8fl9bx%K%2L-~ub!xQDo*q0;oii(mD`SH%H>5(Rq;1^yR!bo?H{UYw=TG>`|#<)
zJ<+Weduv{M=W@0-Z@OTiz}=jm^6*i1WW^0dZu#G-j`_PcacuM#6a03~{=<fS?^-85
z>bcOi&3#R$*_OMT76iBH@RXf8bToX^f;moNQ=(2Z8Sz90EK=k?Wqw3*A+PV8i59;F
zvb!b=L<Z<7aeH~DJbW}qN5gR<>r>553rbwYrffaYG)cVBEhAYh-gk>(_Z+jdiR>0y
z*W@2{oKTkWvnzW2V!;A8X~(r|Z&|Ilw!i-DK{a=?k|upasrl0+ntT2J++MfH(`??4
z_H6C{H_{nfLu<t^L|iOqZ0`RjVSi)g5(m|Lm-+tgdoNUS<p;Z}3E#hFp(!8#+x_#Z
zc6X1Bu9f)TUbNa^9sg>>ZT#5=&-g$3-b`2-?YDL_cX>$QiikU6EqzCI9cM(`3HfMz
zEGN+0+Tqqgw&nWkH|+CYzj2*^{)THm&o7G)zpec@yMA5Cw({^*Tm52W&fRo~k@y$y
zyI=KMUe;UPwX)~`99_9}`__^Rf{%OW)oluz5w^_ao{q-V=!a*FmKv<~$vpG!r91nC
zh^tYvZfD;$waUG4@KO7Z<KaD8e<bV=sw{n*o6EUoYkArAN6QWQ|A$`Qud?dy!KXF>
zJA&4)iskQEzInINg@acgW@LG3`mS#NUj56Isnyl?Z-(~D=BRH=T-dKaVm~Ib<IvZ8
zE7zTmtWjISdU(aJ51(K5#8#_6D_Hs}d~Z<5-te<;Uw*%xveL6Ogl&s?aP$oE@*n1A
zOEiz&w*6ao-I`Hy{g$uCqh0U#RxqufW!3U>PuA2h+o{(VPFl5Gq%h{|Yumqdm%p4A
zDZd!@Ji$nrYjTc|y>p{rtEA!2P`5{So6em+oa>aHIy=C%Uox;l$X-ib@%*-Jd^u~=
zz6<TuyrW^rW&V15*wKS)Z31?L#Q*m)n_sed>lXX#ZQnord=dK5q_;o+Z~gX<3O(9l
zed_r+{`>#u{gOStwa@FbvFr}xIpJ4qIg&s9R$sd6-oo-q>6g2DpUDOlw#S{0U%1!g
z-G$3PW>(Ef`@8e>uf>o4?U|*Yq8j_JTp}<cLpW+HYmdg6_b<Q8-*gJw^i=+&pzL|c
zMXTHjmRy+JpPS&l@6Y#F(QbaC@1B<w#C>aY5S6?3o~LSc^%Qol`^O!_SHHV&b>QGV
zFWZ0{li8)DKOIO27u!;{&BDTLgPg{k6U@EJl@2?drG9u?-@eTC$RROWE+gkGbF*&b
zge{v(18f6sOk$Th{pmnLrclX>C3DYuvU)l!|7~+&$8>hK^34jF>1AP#m(I<xxiDik
zJKN_;3ucAYs;^YwG|7A3cyR5h^|Sw(@@9Rpt1&M2d>Xt-Ytkw8=Zyy!IcEGymAYcZ
zJNGf4$~NuTrLR3zJr@+{@|&!C)_8ELj)z;R-pqe00T#2_rPMzkNC>%9Aaun_HtR>l
zt%6-S(=SV1PTf6)UCRCQfd@`vD!lpUv^=ElO<|Wh{rNzG@2vuvCA{&TZ$7{5IIm`^
z)_7>9%>|3O>}<<7DP;agG+L(;P%(wQB;*Wp^G46Zo)gUe_}(h8dNet+_hrZR=Z(ic
z+89_&WA!}Dy@_j5TlllaW2<ZoZp<~C)Boeig8J-VM~ly%-TM6Y>a{oI%Rl{mV;3iU
zeLfpk_U8t^w2yzMvXv}Ph$#!bG%>QUICFu4G5?DjQ`p(&AC>iNj#|E`uV(IzH`nSW
zY?*fV7XRl14+1Zh{Jr+ive@%7_hzk0%iNzg9&52NkeF{W_uli^uCn#(g=Vk)HF?Rg
zoU8KB8;^ZSnQ?QK|LLYB50_Uu+|CS(zVzYk9f>vGQh5<yw`K;KXI3~xned4md)|0#
zhK<1w&9{?goBT-)bG-E7k<EpON$hN&FSk8zQ0sNuQ-48!*1w&v*Z=>{^zY?=#sF_-
z77+#p1`Y<-j+n6PKZRy(*~`H2_ZuSvF9QQZNPdBDeoARhs$Nk_(wp1m6<4d9?tg#&
zrS9DCFZa%SmYTipoorEf%kQ@AdD-(%-hF+y>}T5Cxi@C#>^b-D*2dtgnXeQaL;_V7
zwlOF=hzbZfEznb8abi+bWZ?*P2|a8TAG@RA{hhf#=G#=totL+f`S>E_@0Wjb?sLCv
ze|7QF5^J{8_kSI>|9RQ|r~7}MFF)u1oxK0g(fRFlAKdGIy4U~j|My6|UiZsi``^d^
z|2hBviTt0#`+5Fe%l~&Rp8xN+`TsIKYJP|>wEurJ{?DWH|6l6=`(Yl#wRG3+4eypZ
zF7umu#(T@Q_qG3S+yDJ^y#9Ijk@@m<{}$i>_m6-7A0ADkCmI2ZoO;=Gt-eSYBr%<d
z*pPI%k6B&sPQ`~uhy5EA6c4#{2q~Z2e{BD`{Rj7-+<$cc+4#rzADaIt|LObBYX8yw
z$L~M5|J?q8{geDp@*m|tZ~x){!~f^`ALoDS|H$2U{QFNv`zP*y`1YUf|9SgQ%lRLg
z_3iUN7XS0Md;a}L)Be-%KePW){4e_7^gr)^-T$)n{rW%O|5W~G_n*1`jQ$V#pX`5}
z|F!(@{6EwFX?^Czf0v&Bw*22=|5*O>{!h>Uu-2cne>nfs{*UK>Jpc3lkNltcf8zh#
z|6{M>=`n4Bl47XStd<f^Nuh0?H}n$QQ$EjpKV^fr)3O$wlkMsAbrs#6*0tDh{uC<n
zzM;I(;ZPjsZ<ROG12i5sv@{Fxd0OZ!^f)BQ>8>g>xgf@AV~Y^yQXxMNjmZIe3!OIo
zJQd=#Vp2hn)7F+?AwO>m<%MpCB2PZ^4$;0@(|gX-LjPe?ixuZrAt@CTuZ&3-bRI5h
z@fOna&X`!>>a@DWj5Azyi}wz-h0cdcIn7l{yk1PYpu5oBX?=?w=U*W<mDh%AgZ4Dd
zFAP4kphb~$y9$r@4&8@+ErOiosy$v3lMDP0)p9--lJl4`!9erj!WK==eia|@3Y~|Y
zEz5<{RBR?2Xeas|+S9_y*)23p#b){jorkS0(}m(ZD|8?Bwrm#)Q(5B`F?oYtqVpkZ
zPS2o8OP6?fPMxHt8tc_IB{yiB_f5sr<x^sVjFzT&o}4yGSvA~i-jo{6-Amt03{ps4
zKczP4o44kaB8O9sn(a$urUj`y?U=GSsL#_<Z)t>A=ae8#^(9k0HKzwDE)DXUI%RUu
zs-<%#6-Awr)HDq`=e2Tbk>9CGP4OjHJXcP?q_8y5Yx0ydP4T5MlZqm}x~FIdMJ?Up
zxl?Ot>?u=C*`RgaI~A7(drhB`r)e8hwe*YU%jrf6PnS(`)N~HY^OT%c6n#ooQ#t6J
zm*i9<wWsr@{0=&{)MkQ_VrtMSXU*UsInSBXK1G}o*7RR`#-ma#HSE-!DV3VsL1IgF
zJS+85BTsQ_>Mz|h=~L7xY0dnlYdj*SZc<B)J=Hd)JZMsi%4v^@6Sb6m-FEfxNZwA#
znZD8an4jeCl$28^CQZ~;c6VFXV<Y*~sO<C&<;4!i;v|2kyqO-T@wlO<*@*9?h0bD+
zV}g?IsWOubW860O2uUtA@;jk1IZ$u0liSiBElKs%kkb~bkGJ#~8)c=;nHs45cuvn}
zBfS$VCI{*-c63|Zqb7OQNbl4RmB*WU#3aL0ww%hCTo~%MyC>VoDkWxmp|jia9zDss
zMpY?aPQ93XQ9s$?n6G5BQCrHLNrpO)xAj;Xxux8hYN(a$bIexqduq?=3Wa2+V@rD4
zjh3a@OfuAcys<~!Xx^zA6F>SKThn7H`PoP;Mdwt+q>nMjHuZ=b#ht29eY~~D-00q^
zh>07ul6{Zu>fx1qpQ3WobJ}Dj^-#ZAb4n~Fi?*G-sh8e9XKqp1$&*titExx)_05T~
ztStKU^v$%*?tYDP_7;6Rc~gIRz&S=s_NOwFiX;3c&QUJvJ7uZ%e8-$5OVuK;r*kF*
zt1XZ5Yn|g|8Cv9bYUQ+IuXCA}=})elu+&~2cFxlB`O}yQ!RpK7{F>*uS^5^udKxpO
z*z;VfrEbx>6PZ&lt36*er_A#DladoV^`5uQ@w1FA+V%9yiI-C^t1pi~*Dz;x5!+Lq
zlRH(@BhKYomOtq^u~R!e<lKxo)kW`4OHTjnaIR&JrzQVWpOcj;=~3sBE&ZR^Of^=1
zK66f_WpUB7r#dGhr)*YvzH?5hrT&vWQ$Bm0%eKsavS;dMrS#Bqv*wgqN*CQb=@~R(
zje@J=swNZGmt007Il&uZ5Av~Ei@ph(uu{R>aa)rN>rSpuB2Tn$tWD@|Vq&cpeG|MP
z-f>|QGZ&wh#gYdbnv_`GMPxz?d=3_}9_8{9nWAN}aDk8G&L%F_sa&f>=7a>SeK4iz
zGS@kc6@dj|j%%BWxz1_tSg^p)ac>hFYcJO<5fkklD;`X0I?WX&5)*h~)dJsxp{#GY
z)@i;7D2P4C%Q}~9mq>~Bi@*!37x+8wZ(?LM7v<5C2rBSCxS{Db*E<b~z=H6DE1C>h
zzl+=nH(2+ev5Av)GMAjzjGzx52e&jG=bEQcu`0pspfT%au4f`=v?BsGtVr-YSj>8w
zD^8<g<%6kB*SYR#RIE;LJ-DjLl=U^2naG~t2}@KWJ-a4_sAhUynwp|<b6LvnNwQvL
zS~r)f1ba@Kl%s0tRW$X9=E?9)(I@3pS-rk#-CUlsf0C0b`xKdwB9D`as;*vr8kVb`
z%$W4pt83~M4b9LZC(oIaid03tw5En=TCQ9Yby8Dx`P4aqL5rWPnG~h^e9D~gB1g};
zld4pCy`-j^Xl8~L1)fw@oj!Go#?D1cLOo|sTJ3dfYE1B@g->=(lJ>HiTB7+f?9$>T
z;hys+)u}Rj<!MQV7%h1+ZBnA@_NjLQjTSvwHz`mx+3TI=&h;rVCz(}+z0{`KgcvP-
zGI3I-YX4N5z)vA3dnToOrA<AfIWv6If|S6MlO{d)nl^P$z^9;-+N$fP?g{)9cCu?y
zsA{&?wW&E8mCIE;T{m@!h_2MS6tZOXiGYp1N6SR5L*9gJ^mbj=r6YP%t0?5jsuRHz
z*C%c7ven`Wc@w(P|7e|Ps}|o1ixrP1bRE{}3Xusc3^{5jdOTEQwMJmzsz)tdMxsx(
zv_e8wtq3X%a^2b$tmU`bV(}ukqmiOpwa%@ySpTT0%S!aCmQ;wzs*IqEYaT7?^48K@
zoe@~*>bkniOf)=n%jzA=7C9d+6*UhjS@k05;@U;-uIsz(ME`2Bh1>}-T#@8)R9Ez{
zR^I9zi<4ZA#)>Z1Iu>##xG?_cjxKgBwGf|G6)Tdwjw*{@){<LYvFOptE=kezA!k<2
z2sT`w<al&Zm#XObP@UBk3m+}*3fGETU9tGl+AdMi)mqm=)~vn}@X^n8(ySCy&8(oS
zOICV@PhOZdd)C{mvK2R1rcIyaV;Y&Y>FSdeCqpMMSBpQJXIgzlWW~+ZY4d0O&EmQ$
z6Hpv*R?)Qms>n*qMbB2uO3vzAtr-@)Xj#ZvPSez^Q&&S)tPBZW`fSOpEYsyz=7bfy
z`S#A*oRxKTPH=JDSyt21tXEe}R%C`;Ua~CmtgGqtD=}f07d`8m6=s^7wQf~rc(Jc<
z`>fYlc`IIqTwb~?+P8mJoM~;=ud6&OcCJhdI6GlhbXMEdJ7LA{XFF!?&T_ljvvTM1
zXZvOqn(|-qSur!@bHLdtv)r?mU9}1O>~c2P)HrM2%9)|Y%hTe{HqCN1_0F2NIx_6D
z(^+rR^;h<UeRew=ZK|CWc6H6l$nebzpUs`6!h2FG$Ye>D$K?s@6rB&7@!mFlb74d9
zVLjfNQkzUtvQJ!`uv*dId0*RIsc#t?7dC_+w&VS6Dw1Wf;NgNcXDPmHjY|bChXZ+!
zo5)-bShLW@d1afh)G3oG*()vttX$}I*pv6FlwYRB+J`-DQoQM=SF$XYKit#CE%nOe
zN_NJD3o90SI&W_4meR}Iv1Xy`VNu?-QnyTEu3lL8a8?^1?{(8V7cQ(^=<U3{O^$c3
z)Gw1S*%B8Df)6ifQ{>%l!jrvY?Zdt{LEduHo-B#W1^$O?c^^y3Wz4u>u=3%;Hcj4s
z6QAsgH4i)6mP@6X*jzSPo#=OXPa7+5x70Kfo9i3aJZx>7E)|zqvG!qa+jglilQmfp
zmp80SbUtj&>lrm^>yix5tCN<g#(K9+%Z=KWeRE;z@@cVAMq5)dPhOj}ST)>x-n1Is
z-CN&W3|f%7ep+qRw`|QTMGmJOb=$YdTnk$Av}4-hsJ=|gbxR|>JEsNds&AQ+sd+tU
z;nE=QsnaG$t=c;0Qc=`tNnO*Zb6G2|7Wtj7)D_=yC3EHVOAD3;dQYB~rYpWR=2B6l
zclR{ysHm-5GIy?88hhGQS2k*0_RfV%gT1Fu%hR=us@nP`^W}A;1y7evbJTT?%FC3z
zRup|&R#!RdU6$lkqh(L$P5T{nY^%)$qlKwKr=4|!qvSGYUi%brT3FYA>zRzoWvOAO
z=S-{A<&F~Ds*_o{E;aHrx32!yJ(oU3otD<k-?}Cv^6I8#sj;Wqrj<ucDp7guadF}*
zWncGQeLS+aOLDGnbUyAUySpUi)rm_J*DAZauj{js{b^P9`o`kL4#(qUf0w+u9=PIh
zLtnEM-%E=%i#?7D%DR`zTrP}p-`FQ4yVT0>g~sK;b&H+cm-cDNs+WemwpjXjOP{e-
zR>_>JfvX?S>HBP@_hQB6!1aqA-52+%$)2^+d$nW9<4t{Hvf(9LUS(V^40Ye#mu+QL
z5_7%K*?oDRp6p$#s**3SUR=JoKH1^8uWYkbTgjbEhHD;g>$A3UE4g#ka8<I;aa-B%
zr9H1J79=|zU((lZwXDSElHuCN8~fC)=DnJ6@uSc2HGP({pRL47bY4YV`WSP3Q=hn1
z+^dSEkGJ-jTitsVadG3SWZ&bv`gmpEm#Dn-yf%4}dZ_=bc_p@zRoh<PT$kQHZ*EoD
z%ad0oFIA8B@0%B6TUqt#>ziwv-TfQq?XCLu^5**G0p}TQ*}uwMDvt1<I8V8%@0I1U
z=R4*l*{W7~eVub5c-it8|JHe4wxLyiuU1|w_Bx+woBrj>3(M8Z!_HgUKK~kXA$a-n
zIREB(ZnnNvv%bb$DfT>{YO7nd?nUO+%gdgxnpb9f{Y%M<o$H>r&GWO3t=jeV%Zry+
zFE3vnf4*Vf>?*deJTG@HO^-OAYg_)M=f%#|=^^K5%&V??_geD$XNU7G^E_?&zxuqa
zT#_DjKH1j)i_KNz#m{HXi?l7SdiGW4MdX#uOP=qXmujp3WzUt*UgxuI^S|u5x_ME0
z==oXmN^Pa9?!ELhnvkP#)L~Vl3G+)fBc2@N4R;UlF<bM#F`AI6aN1#8qYU#-wog1y
zq;KRV^fxjwSM$Cx-f-VxVIwmepOi()gAI*J%<eogrUhpX6fz%W^WvEzWs$hxjKj`G
zF6OCht9a&^1mr%L(s-HeoWu&lf@=<I8;jY_N$*HlaL!?GBO7xs+bkXv=^YslCN-XB
zi{gnfypXlv?150`w`}VqUl<hJJ;2L6mu(kMiS!G@3)u_KJM3>{WH#sJk&-YfIDKG4
z<88Kg5)y_5*AJ{{G-UqHbI05u??GcDC-Y=BIjI>&A5I+D(s-P0o<v1f!m$I!%$wPs
z@tl#4FxZfhaPmMg^J%s?iHghzQyZ_d-IK8UaQXTC50{^8XL)t|kI66n!~XeolJ;xs
zPx*Vxe+<4=UFY>r%s!R7;*<!-*5C;L=7xp3>RLj6UwBo19dKUG!arB!m$7opvz-Dn
zQyEP@H|3<U-Z$YoRjCw_9wl(TgJGqXqF3zwrnNDN{<cl+-?)=^DehV6d?R=_!%DNn
zAa~ZHsoWo|6hEwXakx>~m^78?MA1IxD|y^4Qo;(Q@h7f`xqjv9-KFf1<v8Ptc}RA|
zfw0~UYi$ype>U}g<F@}bqjwYQ-<sy$tQN+(Vsat6-Y-I@7A#tPsOMCR{8TP)EwObW
zzvW71wZ`Rc^qZ`(q`PT?RIAL@`V>!Brv6{8>|eReUWxf#(LD2F*N4T~hbC#YB%ZD9
za@<}g%63=y!e{M|OJCUT%Q+#m{AvAGnX~mO?pHs>>$y+9-xzs2g_D2P6m<oC&uIbs
zc@-P7otVR0c=ic;{Ro=%V88RH^*{B!ITAK)SZlJdMbqi>EtXxef(+BY-mRGO|FpsD
zO>czHocf_{__}G%cD68{HBu4A8}bqkAFyWjbeq(>L}LG=<spyPKU;31{L;;+C&zfx
z-4lGu*1d0xCS|Ie_SiO2Cb?;TQSTGUljfUlpO8~#b^9iDGd*ShL?>nTp8xYdU3Pr@
z|NW1b6F!E2zMQD+>eeS=ne}AG#K&%3y;CGKO^XhB%$!)HEb6A!8zO0$x#ZRfP37gi
za}0x$pRAb}rTn~yGt?&kkEoQz>K~#Gl?P`uEMYq6R@pS6h(&#^rqH@AsX?#1S{zh4
zyS(bx`&qX*=L)M$UFIVZw#le5OuDPZ_HCG&$LlQ#%lLCb^mJY>DOl>2=%d=S!tTM9
z#KfSZPW4t(Ip6#+oBk%a?x<>N)6zcf!&*X4B_09S#gezynEPGjnq&3<uEkfksSV5D
zPg*JMv~1VC(^qOkotE{j-ZyEbchfO0d(lPt5@(%Ln<i`ujbC`x{K<=b50;yR9$K=k
zKr43Yhc&vpv_e%wUNigc4XV4`yv)9JxlO6lS-TFOX%kk8D(ZJTHAS&X^m074RCw@`
zd1kI;<SBQZ(ht@VS>B1eSZ+R9Ej(+pLdx@{4f9eP?Yx^N?_xD8<!XE-pm0^EV3lt~
zXm`PCZ>NdRT4m01xmKw(yj*x-87HIv<5exZdxb-`=-tQ&oocWkr<7>}8%x^Pl|oJ?
ziVF(dW**ob66*B*TFaNU8p6}Auk&)a#MkI`o5f%$caE3n2a^@QP6<zMmDA(Ml?hi{
zk{R`L>cp=c%D*Fyd$k_*;as*;W63hd7w4B)HLA7FV!8b0SKCyMYcB<rf>-`@DX{xC
zOGwd3KH>>;he(FdK4W!`m2q<ux&s;A4mYh^b(ORA=4#J~(D@AO+nxHRvGC}w+W%;(
zOrovl{9R|*?^mwz`*CjCU7kJDH}P|A(=vIrYQria#)5X1?V%a_n3kQEzZmpt`L$w~
z$s3kuJDsv>iMT8DZ)^D6faTj?waC;eY}RhNy6wS&$d2gkA9m}St`1P&@Nyf&R>8*9
z<1L#^xh7RF|F<mhDu>t|<r(X}CfpYboXY(^ySl%&z4dSHgd@7Pdlq@lIV{e}Dj{rE
z80rzc`oWU3#s4A`#gq@}=VkA|)W|RJA>{MPpa1o*E|P0hJNtfR_WXCV8$R*=saoWD
z;xxPG$?K|1-Yj}Hd&wuq<3=U%)z>^3g;@`omc7_lHi7$AOL4CF2g6mK3MW>5%l=li
z-1oroWZx!_AeQMWFZXTRVD7Y=kMr({Yqf{s?jPv8K8t1X9N{%#noAzfnb61oYns}d
z#a<RCG!vD|O*wnB1pb7mPkFT`VP%wKNN>|>Kki+(7W+n=^jnyA+dgR3rSD?flo($0
zept{S`)1XGEzO6{akVafE4(aB;f1biMD)CZ?J|i4k%u04ZM~manQs~&9k=$K_PK2F
zPdlQgPgtqCFofG_%`X=BZybx~2*3I2v*7Bq++4$_n;6#I`+mo4iRXdfWev-tXRZIb
zHfHvQb6Sm6qVqr9^mMFKZ{eA?=lYi8Oef?rg*~dA8#3F~4rX0uy4ANzc*3qFo*yn`
zH~R7(>Uq^787r<6sxPxDupwk}!ZPbalYX(7edTD}rD^i2t6^2X##J%D*t-0n&%0V!
zY(<K`#7q~M?-|=vr&hxG@utAKDWB%GJY&)A<!I5mqHgkP<A&vu4}-aPv8eC8tXh%L
zZ}%|3`U~e?uS@DCuh!`$dfxuRc{}Q|>Yt3z6IaS=o3^)=a3-I+uD&BHcE?rQSf}a7
zT073Z67t#_9T2jsVY#7W!s1;m(R0O~lzgzYoXXLqC9G2#-*8$xQSW41%dI6+LQTes
zHW@w#E_6&-khsvPnW;01HGC@9UaM9AT@o+xa;iv)^KESnXm91pRSeneu%e$et9!l?
z52w>Akx8NY39CIKZd5YHnI78ztfe)STYR<9gHT10H)|5s6d&4ltHr06YrB+C-c^N^
zEZ_MP7F5<UwZ**<{<YcPB5;|aW1wdnORTKOt}U(}>@4a_If|^5SYBPIE}bRxtkgFm
zdZFUOu<l<hT6;y$l}4_(w&}vU$b-@Cyqw==iJaJ~myxsHV2zuj{qh#ESP>;-&7Ni6
z31_EWDd9RBDG>J6Nh5S>z^d@3X<00;rkozTlnhq87x*t_Sp1u5^E^)bTay+DbH4Lj
zaNaX9E_zXjPS4A2AC^TkZC+*Xw3JiP_c7D*Z4E2^B*NN1tSM`Z^k?xt%kg}bXpNc5
zpVyNPtVuhV{<Z1MIWG6zngMI#H(Y0J_FMh)-gEn(clCu?Qm@V0rdR*-D8Ie9ec-aI
ztB&rk`M>#nZS6;OdA)yMxc7!>>-~H1z3$)Bbou%ZKl5w8dhY*xR6Zzu$vuzeiEhfi
zZnMnKPw9y<D!S=$`q|7?6T=?g|NZK+`THr`&Q1<fe(SbQ@})u1-4ndZbKQ3Jlt{lc
zyp+A<yvP2DjLPP{JW`TIMW;_}n0VXmorI)eQTgX3S5Mq{7CC9+Z@0<U6!QN47528d
z!Y}`4<Fy}u<^P_We*b^sqvOx_{keC3U&5Ue%*w)UYP~ilMyXFGPJDSbI7ZpO$Hvqs
z`N_<Qk;=tx&w6zvB26}>JlQ!hRaw7hkIAP~C$g3Ed-j-aN}6L-TcmIQ_alFV>87-l
zyC>Qvmb*>zQJL*wI5A7<tjn%W9^u<QIp!M=AMq33?UOR=gwe!YrQ<H^I&Fl1s+G;Y
zk-X@@kvQSsK5xteGafZ`HmmW?w8&X>;)tNIyRVFK;T@NaokGG()%<2?7zgGpI^?pn
zQ%hLgH)OU&>Z2{4#%ftUb4&xXAI<6ftfn_(g>hj1qJu7rJJp2Gs_D(zk@9F$r<icK
z&z4yk#)Vg1c6Vm0S^3167an$5-l->iSFOtD%d8j17xR-29Pt%yR%`RQV`P}~Xj`YX
znw!rZQ^TyJGe>NNzx(#gu1H8abYw|qyV^1z8zaNqM;klU)#lBbVfgXPku{x`!k^W|
zd~{|-7=65RWK*ZOTHLIP)JI!8&DHMBiZI-mm2~#Vu1;Rz_dY6)MoS#DmN;6a95B1V
zXPuz_rpf0-Q_hLTm=n!4H+ZWTFnl{?cZ0vRfPwFjq6Lp@0ZZQ@Lkqs60ZdB^m`*K_
z(OMw1>VZngVNDC(^aX6^oOI_je=cBrwLng4f#9qKl6r?!ckt*IutqK9UFT#S)6BPn
z&wPRKt_9Ln3&ejdkY{_K#&byEPE+Cz-tq*NcZW234%+VEfBb<#EkQ``kcdrFW(AM`
z1I;rBjAyi3&S+<iXi=@;JN<zv?vQo`Z~g<_H3ziT9JI<gVC`WvIY;fN&#IXw#xK*1
z&g2+xzI%qx*!t`nqsf_Sr+v1~lri3!_UX)%**A03`e!m3SD$@jy!pP*!kNrze6uW5
zo^6<^WbA%M#<cj%nL^{EX<lch%(6^ecE)GtOfKW8X{*l6F$vCnHf84Jv~x378Wvyk
zSv#{h?cD603Cqs;?48MG+?zJ*jLGbs8P6uoJe?MGCdTk`*0Qr_LXF?1t(*DMp!n_?
zUgNoGyUvu%erb3)d)axP{WBSj&Cl}8k~AtleP+YV+iCA+NE#MjKeJ+{q4D=Kcg&6R
zo;A+oG@hI$H*2QR=M!hP%siepZ$@QS+Oadn#+%cgojEf*(qMB&+Q~D;#;4QbW>jWA
zn>zD)+PxW-*=a}5teR<R{5s9-%pT*(DT+6px>`fHGsQ0HrbOIGOWfTmD^?bDBUSOT
z)3nwcZcDKu-6xSJwr{w7NRFFT>|4~0^u+zGPTcG|GFu8x97^PN73+(z$a*-V^|4r&
z?vx0Ptp$giX0{e_i;8LKhD2IqF1&R}lY6=DoQ(m=57)FtaX;6Yv%TP;)7;i7ZeB4d
zU6aU+Z3Pz(sd7)(-4d}QY2j6;*{!R^Zt2EszL5BESF5y`m2OGoi)|N@7hZRo-&)7b
zES47~vBe<e;k4F7?(MpFHX0;7T-O@Noh<e)az}pRokPsr!eVN=Hd_o*A5Lt|<nGtC
z+4$kgp`O-su{7N?ku$b$NJzYRXj1EQv1z({Hhj2rNSk}T?w*Ywt{v)X4du=jyQZ5H
zQIW2A(reRH5$%;>m)0zaKDlAj*;8fO)@$Bu*>u`#*;F0vn_)$3o<yD8JSjhQ`&8R7
zt~GDAZaROePP;XXFTyh8>4d3=!@AbUY%IER%250GT9IhYjX_yYTc#RmKMm7b6B4y@
zQ_&@_ty6=;{Gu(BmmWJ6sl7GqT%=|G)269b+F!$@)|f<PZn~87bkS7rFumx^jYUVj
zR!=q44qv+^dS}|w!>3BM&DWGfz1(yucj<Aj^;7M%|Aw)xxwFM6BlW~7UG2kRdC@zQ
zQ;(dA)m|KSY|Wj`MfXqbn93few#Fx_G9&fWDP`@;VRF%xNl#Z!mDE1J=1kPg%|`jD
z2Tv`Us;Yf{txj}h;?t#5!^7gDE0dqDohqumI_%n-HPJUWd^+bfsW(Mh(<~@=$xV;#
z6BCnX_r5hNyKy5kd3vvpbfnp)+$T3qY@L{{eE(RUbajr%jT_n3dVf=%?*DP~yfC-5
z_O?v7H&^VUlXh-9R#;sB{kGlB4yWj(d3-nQZq7YxXuIQQ=C<|Xdt7+J*3DR$W9V6&
zBqQIUD=jp+D)>zHeu>M6w;lPGdoFU~+sWIx<e&XM@vQf@McU&Xy-CulW?s2-HUy?E
zzT?)~>m?m(=67qww!%}#GNsdVuH3N5UVQDCrS$XMm<@sHi|@HL_qs{@n$60M*;07&
zSgN$H*}5AUTQ8<PUe#MBeLbh-#*VzlZM}Zdv1Yq+JJ*Nz_r^)rn*GY<xv?WN`NFXY
zz0qcExp&0Y|Cg0kHhXtVVyo=L?9kcy0rtP&oIWqHx$yk4TIt7TayMpdFwA_suy^Iz
z@Vm#h^d2{xccUUJ`PeaI>CI-(a?ae2*sw7pIrH<sT%Fq$iI1N?%T9az^_lpQW6{#u
zW?{K&Zboe1nD}^ZuZs1_vY<ChZh3B=oTq;HoSF6Qw{JFVzI;y4dS=<CHz~JIZk(L0
ze%^22+`DDpZfI`UeEpoA_3yVLw=5H$FPQ6G#&=tDQ}K~=f!4?0$ZQYJS$@QC<y_&i
zQ*Wl+Ub!JSbNQ)rp4L~({BBz2KJS?;Wu5-^$}P+E=X>UIm%Vy(<#y(V%Nfg0`fZ-u
zU8Z+)XU_7Y=R~d7mfd<2v-NV`^I3EGtgpYlv*B{)^3#6X=gL{{E&KK6%WcUG#h1@5
zn5$^L{SD9Uow?8Z<_cPuzwNmtxw-iKxmxSTWpX!WZZOV#zHqLlb^jZm+m$)bJLfJh
zOM7Fp**H7>+_^n-S*^RvroFM*zB%W4>)h#OaW^Y-pZCt)UKaLd&8^7IoAc5SpR>01
z<eMbB#KNO=!ZU@tj&04keA}#VJWN>L9Lr}Un_{`o&cW{gSH@4TnV;NdKXG(D--ILY
z8B(oJ6i#@paNcoW^Ig7g78(T`t{=2x|1B$GW%1y_f@WtvK5LDlf+Gh5*^kS}ln1<7
zaKv$CvoPN&nJLyQ3Ibj(ICapI{VJcIrN!F^J<U?=>9SX>ES^8u)6C8HO6H1nM!|&_
z3r;$2ZtmvOv)u7!!O?@F>}&aM$;6aic=updGavhP**gUnUM@K8xV>49eJ|fHnJ?B7
z1qGK6E@)O{-!8*rz2ohJzGgx8a@ig$iQ<Cu2W#0M^T}DvC@^^WU}3W+d%uj2b;X+p
zoz2Vn(qwFk4PGalJGiHrmA#v9nv6~PhBpsdo2T=|SysG#(A&J7FHB~QRYdWIcL|3N
zTC;olO`5yJ!n1VJGnKoZZIg5TwprhNn6i9wte?@`6w8xklOC&F_nbGmMt%3(H-$kD
zQr1td_4{V6SyFW1q@#NK9GSA9Cr>&iFZS!Rw0yVZhG*yGAa(UQQ!F*hgB~uq<T-Wn
zWWQB&=M)v)Iw`4c>UYj+Wogm5la=b?bFNsfEWh+%$wkk}lhf42=f)Hj-Sq69tnC*y
zcZ=oDS4-}mG*y@NTW7uV;h$e0e*U@Mettdw{Q8@Rm%DEMIq&1<`87ZH?%)0M*vD%7
z-$|b}UX@&Wy5zQJ|KvFJTEAa&c`SCmOu2A!!sKYbwz+r8ijJS`n7rH1ZEla{&gW0|
zO)gaDpW|aOv*go-lT#+U`z@PmQ}*e|$zXM3zj>B3OO2kV+&kGc*;U=!Z=Q8z*{4G%
zz17#x*;DrE*vV*hZNIR&Yb+znH$8kZce0B3Nxh($CA&O|C%#iUeAG<*cI=yijhBz=
ziO<yA6qB<1MB&8OO6Ohob>G$dwnL*}<MpF<;=f}>c3C`lw4mErk8ig|QQ?uJf#Szw
zWXc2IEIQ)4vRhd1RLqp!D+&T%E;@D8Q~au)-%g9Sk9xYL#M5K1?6P?NXiqn{-m91^
zyE6(dzF2h9b#r&Op5D$KZx$UrDk{EK?^aAq>BV=CW_9z4Uyr?0aPj4$)2`dQ<;3^u
z{fharTcV)w^3es|isIX2cy{l2`>3y5P`o_0XO~2A;rXMr;*a&@cFZU+eEDc$x2AZ1
zjL+_hH;+2Im+Pg)*c2PSPC9pVPdBT0x8AfEoAQls9<_E)*NfX(@%B+~_jbLom^Hg1
ziZ{MXI(*bx+%s>|-6cCbOD8{5yX)IFJ2!9J?wb$Omd}pOGrF6y^JLlN$7<Jo=gqD$
z-+lK@Veo^r^|Nd9zU|g5DL!!4(Y*bROj+=gXC1Q_=k@Khe7Ed|Z|CeFbM-q@c50Rf
zKU{Xnck1lPd8_WuDJs5oR?^%w@7%7HrN!sYR+@|7xw3O*`Q-=8F8WTMon|h6H>Rlg
zrf>Ib?YyYFTXybzwe0R$Q*+t8b-Q;yTz1)a`s_S&+q|l~Uv|DMH-7MJ*=$F1=e)d~
zl4ZrW&&rxB=e^q{S!(?3*}U1m^N!uMDKLJRcIm9Md2pWG&Y5MOZ=4l2_rH5)N9D7$
zYiH-ot~BS)6T7Rkv+`Zq&9mI*`givfeZF;8+C2a6njMj)o1dlKJ=-?BJa3YXO0~zw
z39l5-I`3-d;lFK@^L@kN!+!j`ZBnXEe46l9@woH4b{qbma%I&w9xps_IFA3f&71E5
zFCI3uH_P!=TD)0!;;<mUyRFRUf;-L|+lBa-%K24jd=7ZG@R0M;b}fE&+mLFDrw_NZ
z8_Q+c%=sGd`r(}R&vJSdD?SIjUwF`Yal0D-SvkF`9Zw!^Y8T@Vx7kva@wwot^X~R+
zIV+o(?*)gQm$&Qj-<7Mf`BL@b^M&_`2M+u4H_Nrz-1%hi=Ha$>YdJTYotyf(zWwAk
z|Nm%Rdmw+Z+`Gyh?-TDFX66@`Q?s@CV(|3g#P&@7ep{Q5AFdqkX-}6+vprKe<NJmO
zi5Cw~YJV;_&34a+50?&W^RKtv^YO#A!(Hv6{MmBXY;!6qo-3a8-ZWiAe`VaIJxi)j
ze%N&ObeX>Oo;P1Mo%UWfT}S_BT+yB<RVP1BdY`&|x@{cSo;P1Noj+Zt-x|kPVfo_e
zgz1Oly7tI?EV^>qQ2+Q|k!sD4L9d>+OgGYh8mF}<q-y1-qD$Uerw7ORRa-t@dhB$h
z{?@p2m6q?HHchwE{~9N?$D}Ir)1^007ftt$)2q(>Saj5T^>j1+@V#5AcRpKs_;jhh
z`JR%hm!B@ZU3%Pm{d7D1zj17P?tC$Nk$U2^uKwY;yy~5gQ;+;Uxvb{n=K1+~r_#<F
zyR0xb-Z%5z*@peXw=e2%OW1r{K5M^L)Bi_*t#aNSip@{baogKdx%2tcebWo|`S<u#
z%>1IZ?eW6tn)>~Fe5xzoJnfvm{N51(o0|RZ(n(9?x+SYr)L!nKv7FN|bT;=U1-JA7
zpBpn~EIiA4M`p^|qc@{w=4=#+Ofo9#dV4}pd6MQemGCoO^KML!P0HBia%}zH{2##^
zmCaoqS|5?wQhz+Cq}%akR^990xmx0ngOuBrh4lDsl~|x<=q8%9^VAWK++$^4Q}#r>
zxo)^I)&0n>+--Xuo|JF*_?R|f_ECqF?tt{JjQnS{?I(VuoiSftu=$mDlC0Q~Af8Uv
zpR3s)-TOWFR?ffjw^t5qFUu-+-zN6zu*Mrv>rWrA<*d7U?OKfJ?nrI3Ev|1~s%~7{
ze`L$qu2OBm>`%R#KX=??zjxm2=kKp81zr~iFWDELvOnC%Vf&0`KSSQLNx^PMUerYN
zsu>#cCh@&G@@0;=afr6sO1CqYzviC}yIKDJ;<HU>DulH^^q$FkcUtn|le3rBb;ZsQ
zl>Qj?=4jfq_zu=ey=y6fI;TYiZ!;MRyiC#wI$EI8z3AU5rucs=4tU;=eBiPod!MQB
z?3MM~e$IWJdhYa|>8)q;L-oDm=2h4KG|{ORjyC>S=WM^`RrC9ZOXByAh~#xd-jO*z
zJua@Y^6k^!>D%x5Ps=!ZdewAO{nz)7{L9OUyXZZsKSf^CE~s|NPmk{tA12T4e`{Cv
zV@p)2QiA=jhy3*h%kFmFd|&r(v;B`(yr2B!ch{u+I`L`ZTjk^K>-ufvf7+G(zVUeR
zf#Y%VziZxn4}9^sp}*OV@2AC^#V3vn%DdOfd@j7>zOi3OeyN?`4~@@(?-n0&U)rxF
zuU;GS+v4ftE&axJSv7OM2EKkgr~k8^-j5ZZ1K%$`=)SmLP5!K%-me``9&hRwlMk=i
z@+;$W;Z^tD{n>U_H8I}{54$h#*OR|%S5@=n*Ne{=-zOh9?knGH*H&}qli{1k+xo5T
z+-mN8HGGwP=D4l=_u8J{6%UdR9beMlZnvz)=9A&u#~b_A?dJWO@$uuC<7@gY<v-hr
z)#&_+`1JA4@lE~Wc5%Ndo<82%Z*F(*SH#DSuaeIm-__46|Gq}$r{}lHkJPXF&zfIi
zFIl(k=goKN?epi>mHj;VW%5(?+x~s?W9%#IKK*_3ZS!&e#`$~ezWu!Ue))y-jP~q*
zWj+<(@SiwexvuY*<+JBI<|o;!)_MJ%^C9@z@;m;m^S$gt>->JL{8oJGe5QT+pDRBs
zUoXFQ-qQa0-<S`<&zIlxZ=UaF?^`$PZ_JnCljl?Ib?ess$ozWw+4EKN%j~cJDfzMU
z-Sf8je)h3-yZ(Op@$&2C=gaS(Z<s&3j_ohc&z(=xZ=BDyFaOi?W9RGiE9Ym-udaLd
zTk`wo1Ls@jd)o8=_4!%(B>mR;WPATVHeZb&Kc6{2(!RLv*<YO>kzY1HdA@Ugs=fZ7
zJzqYbI-hNy|7XwF&5zQro}V?p)Ly#o-cL`i2{H<*4p|Lb7+x|OG39V?uszVnV9or7
zYl5VLwnJIN9EP2YpO~Jo-;ho4Z)jquW`4uH!QLUUftity%|hfsLBk>jcP1I$0-XaN
z8ICe~F->8!5L}?+P}$JMFqLr?(;S`v*#{vFml@Botl%s#bI5HdW<1BfLtufPLv2GF
zLoeejCKL7@5)XnJPBTU^#c*DbTA+L2D#KgGb*wKq3TzMbGR$S%#Z<!ng7bp(0)2=2
zhDHW+W*#;Pt^(}?1r4_u-?2z=7MLH%XxPZ`o#_t0f!qVfhE9gbjB;!<xISncC}}v(
zIFF@5DnaeQW`@m-&zR1zM{sPANYFg+nc*~J97~1dgV2WSjQ3b7q!UyRWHoGMc+F_W
zw1<0wh>E3$*MutynU0s5Q&?__rBqLlbu44MDXL=Z5jNqCf~8|o^ApyS{F|&#%u`@>
z{Kj@uJf(iZAqDm(8J;4I6Au+!9s5`;rJh7gc<k8KJcUJ*w@Aq&a>6GCQAe%j5LQdc
zB~~X^DlBiF!x<#}Bxk}ch38Fk_=^-hVki7k;B}O0Het==D>6K>RAGAa7M7htOH4hY
zC#-h7)f~fpN$^S41ZhXB<`UMIe3yj(ELj(wFIwIFh4m%Bk-(F*2?rIN9rIWv`HHMh
z%vDf!e8(oqYb5q0Zo+TJW6d@kMuI6uCk`tFJIb-n<ojfCVzPpN^BI;(u@titF&|d%
zs#}qI-2GrqRs8iZ!5e0Q=T<M;yWGXe{$2W9j`xxmpJz>cz1A+@v@+;PiT3Qv@})(_
zn&qw`?>i3gb>HCY{39oL_NLB_w8_RUUoN>Y1iO6P<+}8@OIo!{-E-H3bl048mx}ov
zXX>nLXNUd|*xwW%y1(uF(cf<Gr0$Eqzf%5Wo!6Ff<CjwxU7dY!k9yPpm^H^1Y1HrI
zC|%c4wvFS*wOKjG>Q}!#`Tq7kOUCy{WY%<-MhJRuR0w-KHDW?&;AYW_y8;6bZCA=z
z?|LV$>w<6Hth4#$MP64*okYI=+<wbiW0sA=uRH2dch$V^>8-k_wP=?HleK=5wRX{6
z<)HhzO7}IJ_RswL`F`NGOa7<J98Er4aR2#t_3l~PMn7U>Z8cuq)m4hr=h~_E>EFWV
zYZpz{T{LsLi@mw}0#6p>;4QVAKS(F>Z9BE!`^f)QC%#HvJg+zLvdqP+uNQ5+?lR%D
zOZ9ITt>dm{?>p!8b*|&<UUW~i^sV^bx3%);GbdiwoOsQ1;?3iWPBt$(&#bh>c+m>(
zMeB+eZJE9I?~Zm!rOx**e%D>2!d=tucRkrB_On(qXwM(JZL<si3Ea+~V&;0K^k403
zF_)X$F3r*x+9i4>D)8u9o4l!Ih9@pP(`Aq3`=oT@w8HwPJ$#?kPDBS@Ih(VtBV-+0
zB=;t{6laz4?JM5#3VtqEn&GK9$$3eO2k%5NuM{&|7q^bwh3l>M*dFN<uy%gKHBnMY
zdrRcw`<JtX3je+ozyJGU{zO?Nb(g%3Jpw-!%i3=UFH$&iPvE!H8~#9vM-Cm$ihQjW
zGK(~hOcZc;mf<e6aVhMWB(QX$yxPCr57p!U{aL>Me5XLF;wk5lmK8jKqK{HKUI{FB
zn!{J9=HlD2Sux9b4tJs5k=C=vr54$_IKRlx*?aKb9?_2nJ{@h&<hdxasPgl~e7l1J
z)19|;{I>mfHTJ*n+JAqi?)$U%{{Kh+|BBWJum1b0%l_ZZ??UH)dUaeA$W>g|mcd`B
z>*C(=S~0KX1<ys%U$6ewKT0?GE@8g@&t?66e=hddf7z^Wzu4NvzvG@jt>Q0do|YYw
zNd`v(I-(WZobT`zsvq&_*sbX1+|#;4{83%UM*)5(pOzUs9}SL#bhs-nbGG68sB+}8
zfU)Ad))~Bp;z@Q#oH~vQcq`6pkKp^LbmX+adZ#^nAJvZB7SL7<b6(RL!M{=PQEbN&
zgOiCthnBQ?a!;01Q$DlJ;P&A+9Gi{LtTULIxam+z`$^8p(rWrXbu;cJerwU>*ld1g
zpTY0LB5jre&k|-hC-SvxauutbxoB|wkPLsY%rX_9%o)Oorw&bNU&#?HxlHTKNrS72
zeyx_W&wOUgGDtssrOi_OS<MXY#8-!|v}bZ$mRP3gQ#_+PQLlBU%rez8Qw`Q8-Z~V+
zds*&T)QmoZ>xb`fT$Ws>?NdHup26P4Ux&W5OL7z&pGlap&|v!^p7x!x&-`XgG$=pZ
z(<aGXtbgXO!Q(`^mYE#JlFt%ntTgC9<kMa$^UQO`^2D@5Hr&S2X?kaBX0#e~Cr&$L
z!@pVPnd^+{iE*u!vd?^HY)=e3w5BbRd$U}c@|oQRo`RE<m$-QJP7qVHb#iOT72M{2
zLohMDC05W#ImPuv-vnVrbEmkLKODQ2-*g5DB<8o&3Vw6f=qXS*bdaN6Nv1DA<e^8)
zV!=LF3%P|BPM$57IMkJ<xN7tV2re{o3T>GzxJr3WSAo@`nH;8q=iFBG7U&)N$sw+E
z#dSsh1%ZWzPQfkDIK-7>x(Y0vyj!#dqm;L}?vPq&duS_%tl&EL9fAvuox)q*ao7r0
zDSvT&(QhE|Fs<bvhqGXwt3+Rc^`W^O%7X9QBzg_R9>%r&7CfeG(_tW(Xmsc>N3fur
z>x{k+7KbKt_$!}rsSryvI~3FMlY?7OOj*aZLN3wrP&bFZ@}8~_R)=PD<SVaniRj%R
zmS}s(t)*OW(jpai&(29ws=8iPQ+hORFUslPq<rd}#_mNaZYR4Y$*QV*<xSb6@iVZ@
z{ig6zg;V!5elL2{A0+YAVM=o#pR1+JQjJp+HQX1=bQjrp6;7F?u{6-nMYB6dZmE)2
z>XcO)>Wf3%Ek&P}Ofe43S~RCONcw5al+S^BE-Sl(<d-UXB~MwVaW+uTZKuf7qAAlf
z!WV6E%j_;P^{Sqd9cZ;EroTwpD}Bm3jk|$Wi@vzM?7k$Qs&MM8Msr}>qB~tiGEd8<
zSO>Z-y3=bUm8x@Uug3SqJ?@nPsY<6(rnCnxTV&H^B>S{*ihAHYx0#)vbWY_=*{Sh4
zP;8NoTV&TKn^Q$o!~^5pDn*}`PB9O>=N8$yNh($MRMnJTjrWUGj(YS>6jC;Ii|Y9z
zA!)en=nc7K_nx_iWk*l+OcYhNcJu4GBT;GiDfvy`Ms+vGp1p?Oj^2=8Y;demf<0NL
ztI)zNut(Xj@0f+y<BFa~5~_w?$#Xga#TMJRx%Qlr2sQLOwxX|4>)1<)^rS0CETk8k
z9os4KJUON#P<*kSn{&@G317om$uT{Jn#Z0>=o+p&lF@rn>~U7lH;L;>B}aD1J$CCk
zClPD7EBVWj7rht77uz3m=$UQEmdtZ>hiJ0Jv9}WCNj*n)NGF>di|DB~e0N-;|D(b&
zm!6Xn{K-B?D@2m5jy;y}PqOJX6n-4pb5o+&@L96Xk%*p+B9AM3o=WH^?dkcbb?mi7
ze$t-ajY7$$$D(?^N=O^tJL=IjSw>yeFKf;gi<gB)k8-*<+n(#QuzvieYqF%ewqMzt
zITkw$KRtSK{HAQW|C}a^>c?-oH{1Iq&S5U(J7y{JykO2E3-?Ddy~R4`K3W|8=pXj+
zbNu>8>%%`@U;X2C=%24z|Efg)hsL>{eYW%CGmX4?>95Sr@7b<b`}5|Gux!7Y2@lSm
z-}mQDdi{hSw)S3+rW~^rT(09+Ij75FYT>F!b9#bhpNGu3TzKxt%FbdlzuY;+h3Ag%
z6j-k3S39T8qPK9?Ba`DhC7uV(Ib9g_D5mqW)N<W(S1sNat~>g&quBObuf^QLU5`qR
zzwEp$y<Fd~eomu>`D31Al3m5x=L+WBE_`=Hva{IyT*jP@7T+J;={J^p?l`B@VsfF}
zv6)?;HO`gHIbJyLNTpP|+PTdZn+u;kI&(a-W3xoM=0E)#)tBe5x#bdXtajJ)y_eNa
zzg?G~ANI4FJEv}b<-F&W?`%_-|0@2=ZaZgQdOBmFScpKNh$CC7fGdl-TA`b3NP&w;
zNI>Dd-*M)Tx)1N(J?XOL?p5DDFVvd4UE{*>t##i^*JjU5dL};a|Do>qil5x;>mGj9
z-}AF|`~DB_#P9t-)E@ui2lxEChoALzLN<CFO%nAE(Fxt?bF@fQJ49rKMo8e2q@`U+
zqN_vJgl%*?8YTKVWKH-+$D?kds#;#5IYApEkBW(=YMlzr2@PDBG`q`7^mgc*fWW0m
z%e!K=R)xL^3tYS??5Lb*sn)AdlNA{u8^e#<iTY~I3f;0IBXncD>+CLOExuJ5;e{Tq
z?OlhptU^mxS}c0BqAOXeZ?(n3MbWPPU2&qdTE9Z)gcvS(G^OjZ*0~iHs~^qj`mCk5
zLL#Iv@TjWj^iZCaI~FBH91Rt{9ugC7xF{*)D6gn_=$)X#=%cct<)J+*cPvkeIa({q
zAL6rOM##s2qspTFp*|~Tgne{58Z3G~<jjhSb&p!RrfbEmtXTV~w`;rBy_FT~A2oON
zYuT;*u_kHZEY~ct)sc(RR?bSznzlM};W7u`g|n1QwXce-j$E3yb(U$?wN;VJ)Ar8d
z%`#i185q1OZSt(MS=&}<1_!T8TRn@*^!AmIRX5kAwa?NrwZ8f$D0pq!_E}P<TeH5c
zSQ#9=ewm~1;#qsMzOBp*y1ZtYtMBSrf3t2~0j+uW-95`W%j$|u*yWYWyw3`nx?hzE
zE{-|NX?i!S>dKc@FM}_yf3{>6BXrIC*+|ppSLTElJDy!It2;|?<<2!}9%n^O4`;1g
zwR73CU9$vD7iZ<I*tzoAv{|>a-mTcVI?d;-t?A>ecdIKGq&b~kGOImHZe`_~G_SK;
zW~pb*TUEI%&FyTlss5EcA)md@W}D_;*%SKN?`*ZH{FR!J&z@(~O}R~VE^UZ7EX3<=
zs&jcm%waBGRw*u1k<5sd3nLC|@vb&qb8$o1VJqI(ra2cj1Uh%N1@X={$+@<{)p=%H
z5pT3<NXCt23xf`q@miaNWZqbrIK3^4cem-4j1^ZmxI52ntK#LAk}`dBb%Ve2<hC?k
zU8yM3H`gyLd)Uy{EOpCN=F)|Q4>z>=@y1H^Wmv3wIHT>clwZb+YZn$T40oR2R>!Mu
z%9CNS^x>8^W2tknCGTveF;@ya52x}vORdY0xK<E)*p&CWX-|g4)dJ_kzP#H_?p!Ny
zKOD=OEcGr^;(9^+VP@aU`+pTC)Squ@^W^0>wYl^m;_#HVOkRHzo2we-N&EMhS3i}q
zxvXJqwD{r9HgT!Atcs-%x3-x}-OHL{y(QA`pq(Z6^(SvDBkye%e=7Ue?{IZ*(f;n=
zzna_nrR*|)tO<PgrS(>PxNFYz8|EA5Khld^63Ujoahht>w5-TwsXM2MMsaNs$(s5$
zN;iC+$7!#%Mzu$y!ZN2uMz8%_cP-7`N9Tsr+9H{f6NjB-B(t}!xvaI^bFYo^wd|=A
z^Cvg&cRcN;s~Y9C_0)$vq5F}40zS^&dg@2~ME1sr-$iRTosoaC!T)sfqltG43h#7G
zeLZpc8P3W_9mVOZr*W+{`uFI9p!W{n)j5kJPI&3w-g>H{i}UWnrCz7~ba!tF$-cRE
zshjuO9~xUu9lMsfQha~H+9IdU;#GmC<JJ~cyuEyB$<oN0-f3YU*KXO8X}RF(f@x*C
z?ORGZ<}U@WnpckM%d%YdbjLK|s9jsXWW2n3Nqrw^MLydW(2D#&BD(2Y=Ugs|JI$)w
z9HrOQ?{PX+*EwokN59kQP~GcWVy+u4N)0*9t82dX&L!|l`|_<lnLC%K#+<Iz<=^6y
zG4sl&fYZt!12bk`GFtnzbK3H#d6_dWeu_DbvaEj3<xg>^**|7>+w<wp->P$EqsQ?i
zS?>~^s~dfe7s+auh`i9a61XIJX<w4;>XJ3rHo6^;l6_sW=K4m*<8HF5R$iq!mo`Qo
z7n4o3I#rr;HE?0_>^?8q+of+V1TIZp-WO}Ns`SmZz{QKhj?2lGTD>YYd698tWB749
zSzoJJrCVNPT-_M&KD&?Eitkm%^+FH#_P)bbR;48`Efzgq(U)x1_u69N;%N8&zBt)h
zt6!yat{5(OJf-il)wvfIuod|dR|*4<tIAF<<$1YdQF6rbP}%DxG1m<jC5Ig6l{GKD
zbEz=;xU6h>Y0t|Y%adb{*UIvj_`H~L<zv8cW!e5xpO-VPeRMe<EPKA>%!`V3k6Zhu
zTgAPsSo^rQZ@bmKmlf+DH}~~h*}eR+CVAmJ*DA5sk&Dt-&P%PD_BwLmatHr~^OS70
zzlyw$T$;Xho@v#!SCPxp_s-+3GJB<YF?dz_<auYSw!P529K0@l^*k=y+h0OnffwX!
z*;;>nb18Uj`u2HJwp**dy;ylUc>Qum|Hbn_3-U8BU0$=?)qnN8zg4%s$XqB6@ZUYp
zxytH`%(cramwTTVv~~X~bGbO?Jg4p5s;VzvUcJ10dHwSx^B8THf0=Ww*zJ6z?ei~l
zt`|F=Uofw`O7G>)HR&GbMQsmPt$Vd|+4EiV1Z@{r<-ORs^7*uRx2xX0*tt60=e(`$
z<EnSBD;K0YonJDqy-Mz7<(hP_^IPVrSIv7>xh&o7d@<_k`p<snt8L}K)Li-Oc|P5i
zn^(tZ!;J$%%-*~@#vAS&;9_QF<Kh*OjL2MY<A4_PYTh-58?GI&Vt&n=W3b_(LuX?U
z^K70RvkgZbW;PZvNAreA+(=t+=|CB?HBX4-jm(7UjakgQd9O&UFx_x`!JM#Cwx>5%
znO{g)aPdGK^KTv#$qcg#NeiwXsAJA$dwRk;;lTn&%dbZ`Z{$6g@bL7<>xmCGH2N{e
zvh{VWH!1L`nfW817rL_E|Bpy>QN}zIgM<LT+reyp(o+`yd_A-J*nvpq=R9-FHPk<`
zHWzX6OF>uaxADXn8st1!)tJq;PI^jk>5&glJ!DM_4j=GsF6yhdeU~q}Blkhy!`a3E
z*Ew4LI?i+IV*U98I~sqp$w|yGFvxtc&@r(`s8h0^$HvScHR09);lt}2K3-X1aLkz5
zn61@eo^(axgQbn(Y;n>R$q&{xmb2ZH7P0u5@L+MHKbxI&L`KTQiAUY0NknF)%$)et
zElfhwASh$Wi4$JRN8Q3CBXj>8GRW>(W4h_wi7I93o*a{)q$O8Qs436x%`ps0TXN?_
zn6jwbHp!JD|DBbsdqN~{W~NM^n5DeC_lm?y(@n=c=1#0q=5>?mePg=myvO8;Y0A28
zQN3@>FQq+cnAq%gtCy=<#^_SwlMNI7lw%RA%Kao>nq5j>a@}M8#5!g5ULFa{)F)de
z8oQm7n*Hx<P14Wx{~yXP5t?UQbngVKa<iMB)XtPAn<gqMhxhPE@5~DM@nma@u%nt&
zJ<A*pj|$C&PiF-(&W@Dcl^3Gp`D4lh_JfM8lZxGX;@70_lb<{Bn4w93`aRD{lBPw6
zS9qM#Im2PHD>EeE`IIkf7&A?3g=|(WDdTL~l^UY+X4^z-w|7#K!t*ChEL8s9Yhz%P
zm~!cavvPlrjhRtu%B>T^AG6IqojK9-W36Om;*+Hl!`<SfE0dqBomlS1I_KHqbN4K@
z|D3yZYX8&K-`(saer7zGJn_7n*sO?@q>Y`b%k)dOTv_tttI^~~N1e7$pDp4e#H6Q?
zHEjxu`&xs)Ez?gumsobdWuYhI5+z}6Uy<1nsX=$XO}+B`r;MsV_STo-eXFMG*?-jy
zDD|2ipZtp{aPB3g>$5}_+i1Prlr0y`$t=3#s@mKwp}$^+JH)2{Vp{Rqw=0&b?^Z(6
z;!dH-PLWI1%w~%4%uZc9`BUJ5w8@L+EPrC$vi`zVb;ozs$8IMrI^(jlbM2$+nMt!d
zy@YQcH8%-NO<LX=tG3GbjagvwqOd<M!ljRzO)n-ax_Bh+<ILF^Mi+Ay9bIz4dnwDL
zq?5*L)xOOZanYQzMyX@z*&~d??7mxOTcj*Hd?aylQ_y0e$!URayOwAkRBu|wGV_eb
zg;yq;7fk=?dU0A+DgS)CFU7#oD^{&<hDBBoLuPl&QeUAtUMeoK#)Wr|a3ZZ_e6(ao
znh%%xJR{8`8ZTaN@mzSsSnb>_k)AyY6F<%A{QRij;g9ggR5iVsJ93gv91;DPIa{R4
zMqBX97L`k@)mWKct2D<NYbI3QU2@@BZs+vnDv8&;<bHSMDyz92y*^_{W)Q=h2`w9&
zh0d_di=CcPb^1u|<fdGvd+$2(v%za6AFI8aEfN>2<e9&HiCtqQlOyZ<z0(7B#VS2r
zEkC0ygD>0OOGvE6hI_)=Ng=H2_6C|3S`OPEMi=N#+HgzNacO+fp%zcZ*@B!B*Hv<O
zygx`x5-`$wnK5mao=3zjwUwE>m#kzLvOK0*=QFD!BWS}aAE6U7R3=+b3exjT+Ns$1
zb{WfwK+eh6RU_i2PN?)(N}cGko2j#s=^M*#y{SLU6<>brR5_{XIkjIUk?WjD%@2o3
z&v!hzYUsJ7TxG7@v>Rn{pRPupj9RW*&g;P@J;mml_RTfXMYjr1<}}@5>Erq&^5>_|
zrWfufm%dkBEca9LhwkJXY7L(!dM-SBU+L0uwPil>3${c!z1*_H{m9*vM>9JmCo@eu
zuJY@j$RyTEJ9Et^KMx)87G8hk!pw@~M{9TF`R);atTye!5q07DzB(qGPn=0I_CBLy
zy7|nRB4h0{A~Q5if>Y9#&P+01eP)f>=3{4~j9;HwW4`&|88>6qG_SKcMw@S*5i?Fr
zJ9Re4G&nJAPSWg|UdFf2zA*?+O<O)QHf`0}H)h%iJL8HTM4fC|ttv0(9X4la0Astp
zYQecf&z(Kzeco8?^{H%e%KNI5535xsU-z&RpQ6|CWn;^2rq1c9Li*<3w{#{xvHW3t
z_T{|gmbLe@&fU!4>zOg7;dbn#Z~L9&4<2snVpYFBLo}yOukhDQm+ZzPO()ZBS6@3L
zXIz^0>a59(Oq0#m&)6CJrp-FLWk#my=KDUg!HYmM&5KX?v_~eMKAhItXLYt@re)Hz
z6*H3`8JlY#iPltH<-|1gz$)Ri)&(p{rL$ieYG2X1vGuyo{F!ye>Swu}&AevhOwd?u
z^WRY0rS{aCfNNE}%g@F;eD9gLIqlp`(GxcL&zfdBZay@JU!~~0$E$sim7=X@wN%r&
zs@6>C-}XwSmCH-ssQBoa4UrGy8nenxxi-g|YOC1%?sz#XGI3j&XGzoRb+bheOg31?
zu=zk5<1ytlx3hN)w9hZgo3%6b*|x}sd;K`;VugObn<2`#C;Ok=yyIu?7#rt>?TFg&
zTB>tm(`445d*+`Hta(sAH6`3}LsK$q7x%Kc2HFMInPETvES%|{)_Rq7qrI7Shh1vg
ztu-@_3LE>MwK3Mdu|HO5O+dfoW~UA3k50`GJMv^{<i<(iX|5V^-Q7plbaZ~`+n#oB
zW@UcZos?_Vzm{ICG0^7ue{s!$Z|cVL&vvCw@tAf`VQ18ZtpWX8CuOqU(c7XiW%r3g
zN!;E#UHu&Cky(LLQf9V36$^{d*btDh@WdzAptQi43EMWvIsQ;reak&RDl_oJe*aY$
zL|3}T%SVYtiv(8bPxabyK<o5+xh=OAX><w*D{|OMxmLCqt=YKY+950M*Sa|yHeCF)
zx_)Ye#?}od52bNO>r9E<ClR@`b*-3|PR?eHq@YajQrM$Q_%cNNS8m#HdC`pS57VDt
zdQcYW_V1N@(*M1mj^zJfZ;_iK5}O<NqjI}zrAkEd!fT&cXFs>E5ZP1i6xRH+XZvx`
zO4shDN~X2aI`-;&_X^dfAKdZ9yzAJt{Q0(ZzFM0n+{p;sQM=xCBilp1-#YuPS}(M#
zbuZ#SD|S>TO6Pv~)cZ;|_-3xiQhiaU`ZkRF_tE!<4l#b5z3D<;;Ef*>TKPVzCoR0}
zG=0Yw9U0O3FVplt)ZF=`ZFAnPrAGPmMQzWE>N;GLxtZQ?(-5%Z-ncyV;jGph2k$FH
zG@i8;YyDHL`z7+l_JW{4J0mPoA8u(i7CRRuk}nijaPCvD_?wAY1#vNN#n^OXwiKK^
zl=`t-WWPA~;YZVT?KHTr>-I!QY%Mr^$d`M&&Yf)q#}CDVm*7f>U*FKmE~cjA6IGG%
zaANCmv1K|w(G^J#SN?F`XpsDHXRG)lr7a)4VwQ^Ci>SzcIJfotqpnRK0`E9Jnx2)o
zaH?yVSaf7k>dL99Vbh``6PF(FS~yinTYIfYbYyDk)~Tjp*P<fRQ}<5g4Ks@Zt*T9(
zJoRkYwg}D5L3ydGr*diEUK0{^GcUD$s+P9(+Bchma#OcYmD1iC_AO%N=Aitg2fY?g
z-5d5T612Q_DQH#g->_S2WHuCC@Y+4qIm~K}%(hFJOHZE?)OKGhv$^QbDNgOXVO49s
zM7`X6DgWt`sf^mo*UZ^g1X{8Ce9fHgMF&qUnA#nt7r8Sh^~5Pr?ZaW~qIRY|-8EHE
zdvRD^#Lmp8)27}Idl#`YJN3*dTkXeT@1iRcQV*S4GPONSF0wKw_0*{?Q`N)fMOCJy
z9$O`ob$;!h4WBNZ($-$TcF)F7*G^fl-gNB!+L{fYF0PWP_bu9GA30UnWsdUvwWlmM
zT{xyB-JPqmapRR^M$)Hq*K7z(SbX8z(-Rt-G?yRqnq73Ot5m2uG$(ngujR8ND-ElE
zbP2DGSv;q3b?zxi^XihW$mrzcwY{ZgsS~c>o}w=F=)~=W<i)*0(o4<EZr;dA?)HuJ
zGi*yWOLaY+o3mB(a|CFyub1@g+&3G}>R<W)V{1V8P4K$ktl357=i6R3&fog-rckVY
z`Gci*TDptmzn#{!_$!_GYemjw_b0ix?ZlV)*WF%rJ7xB~-p9s8>}9v7IIh?jn4R3;
zYju15!kzcNshZSJx@>5le%|dl+w7q8@~5lx*j8OU7AO5X$K+<lwu?!@+g69x1eo1A
zQZE*tv-s{YMQK^H)UvMUrjLI5OYalQGL)@;_VneVeD2cDJAZOFIo8L%nSbroy`8H6
zH@2P6tA3pS<fZdxuCMoYI{i(a_im2%mCv8Q9FynTDsg-MvzOe(tyNFNRaf4hrq6$8
z%6ra`Ra3;-)}8*&ek{c6{(RoQ{VDU-1!y1L>3;3@bbIY9rJpywe-d;0-v{Syafab5
zo_~LF^mEmhoSkvT>tpf*w5R?#?zA_$>>=aLj^C;7_pH{3)xW(Wow{6a`rN9zC3!Qo
zZ>`;AT7J^+Z*1qgsZV!>ZTs4qwRCg+q_eeAU$f8syS3^3sU3fk=gCd_SQR1mDtnXH
z{i%k(w((pxPhBE6@$9cvK9|0y&fBMB{Z&$OS^b+6@1Jk_dglD5uQ{9J*8Qwp7`HTV
z)%Ska-`P9o#jn_Y&elqAde3%8v)7SNc7NM!6RRft;s5)IRS)`KTv=KlvwWX6^Ox&R
zw$jVmQ}_RiT7CRTzu4)_#iz~o2b|~4*%$DfyA+l9IzMmWzvJ(@zAosw63_iLRB~l|
z>hd~O!PIF!|4g{Qp0STynty)vrSH#HeG!y)&)vW6WwKe{?SHY{UpW^(+@>E|RTXD)
zUi?-4bf>$YoagCHwyj$J=8CP^(tztHJYr4P2b|Bp9G!A5&+KA$@T4sd=J;Kn?zGoy
z(wUsi>wcI8y`Rs0xygB5?d@ghtG{HQ4A)o5KHHXgFfjgb$-_Nnx;rxJH0{!UU0V6v
z{gt;`>w;fCTwa_DUQKP?b$iK#dpVo!Y{Jj*&zd4+JA2jsZ7&zAEsfkhd!I?R<BY#X
zS@ZvFi#)&nSd+o~zd9!Ur}x!(vps#SrkWCOtjYN!`>xoTZ+@Si$40g+S1q=i_UC87
zrWY+wE^RMv+3CLMZUf83+iI*9>E7Qvj@&Z5c`$#52-BX-pc_ToQ;tS*$V_srp1Z`i
zalNaMd$j6wz3Dw#9$#O{NOPBn%+OT`Huzj~?vIy<^Ca6<&paM)YMCP|r1H*FZ`Opn
zm6w*N?ELx0M6EJ8rO$lZ{|k%NRaf+QJrG>+EjqMnTjc*OUgwuRzt449*huNWi4V8Q
z+o=b>B~P`}k$m&#{Hia4o_Xwb%0Ao<xsyx2C(n?Z^5om`Cok9MR-O8yJ+ZF$O1{bg
z`RRB5UH16<=D)VT{`B4*2mZ{SxGT!-RsXX4Jy)X7dTG?>{W|NRyJeA6*qixouk2fG
zYX5EbO*aer{^v}|Gxt}m#g$cJU+a6W_@=Q=Wo7tp67+tu?XJLWFRL~Ot$v-dAx{53
zW5%?~U9MuU$|6@-r_8g{XfADa?VNo(<y^I<@?D+%Yq!*R&b<6gKey!6pQXL;rZoB&
z+%4;7Dm^OJ`r7QYyMNQ`Y0)BEgk!e*nYlQY+fJH4|Kt;`6F(P6K73W@d5CHG{nHbw
zLLPm&uI{0q8oqX#i~5edR@ap`Hd}t(J#AN*(__xh(`9Tli!0YhX1++B^qu9_S+yVQ
zpZ?7*oA0{Mbdu%qR3p84?XyBZRbElNxs3G_?`%<rS{wHht99cQDg&N<)$=^PJk?9W
zK>Kej!&}kKLgLGw2UJd*{5!RHe@fV|-#t0+Ht*SWX3>!sN~hP~_;1Q$Z&>enU^CZ;
zLu;PA?B3+a?R!Ii>Oxn$Yr;Z`8Q-SVTwYLoFPi1|ljOaxH^u93tXcWtZSmi~4NLCa
z+*E(|PxjgTD}SOs=bygL88>@sna+~rUZ2C^OWI^E)V$PjT99VC-2c|(4YPU0p4zf3
z3^~3u=<3;@Qzop``{{MKCGz89x!y~C+Nt_tF?+qmo)>j53Upew?Zlm!tiU^~(%$`T
z-~H)mgW={!`d=@leml`VvwX?pI|kZYJuCvZm(0)lY4G{AHADXyuc%!r^KJROGIuG+
zd-Tnk%C+A^>}RVO>zjV*=i;v{lm73$G<UV`e#w>DI$Z1L&8{}s@x9$cw<3R=`~Mp&
z)45Bh>Rj1fuN-v!&xh?F(&X9d&Pqnt{Wx9zS#f6t-`)LUubx#-+~v6~Dp5e!D5!pV
z2+Or^H(zWoy!0*GdfxfH%-iB0f71DWZrba}FSe<_&pOrKIwm>$(6RK~Ihzab9b=Vl
zel-8aj+DondKG6kt!z5ETQ^?ePs`Ka9=Z$m9{*I_A^K#`d-f0ieq9Nva9sS<d!gO`
z%^rVa7s^fCSy`tew^?K3kKUZi+f$ayZPhsYeV5OT@2QjG^kx_RjNypO{X1#7{Q0jh
z)*J3Rv8v@wXxP$>`rhlCTYt6r++*D&{(ghj#_BtZOr9pqT(w{OBCnD2`Yl?vf7kUq
zb2eIYUhLKCY5J|Z!qi@Bt-f@8xz7ENmxn4ntG2mMKdG?q*srBa*3ZzsGCg(PyZ_3c
zO6L1qx_|V=W25Nz^QW&pe|pWIf^|CflT0hU*j^NG3OIlMnzK>*dA8Sukt^g+x7@Y4
zzCJo-?Z2pv>d%F~?ak-jdgF}vquIA5wiRCeR=Zqm_pT$~mL^?4&wY7wXw^br&TFj2
ziBUaQgJv7;-yB-Cl;`qMqloi-%OVy!-JbsBZ|uT(^Ov_j=lW{BI%tLW|C1iNek_Jl
zHyhS&3+U!uGw1acBOmRpRg)*J%Kq1V|8M)J|Iemoo2|PovC%N=aa-?fv%H%-av%5g
z?tU~~x2~(VQ2KkW%?887<V(k#rTcSiwi%`--#R8N?VoG2*)aL>&R+3Hi#LBfbu8OZ
z#O&U!iuA{Of1JJbL&Hv0dj8Slsp%8v9xa=8BQh&}=G>=cVK+241ZONian8&7Xj$0J
z$lUbaxm(Mw-HgmnZ=Ty*=JjUEt(z(7o9F6+cdp#bT7Kx9nf27NZMSbGE<bh7&wBTp
zklQzNmml+6JJ%Mzb0vNK+}g5PZ%l4yZoHh8zJIQnHTxTxEtm72PngSR-Tt=Z)=R|B
znBqf7TUabJ(+`}}v|j#p&c@<v=Pa$Czm3^oobi0oT<<cy+mf4#kDl8wcXipin>+K;
zkDOaESGp|k*3Q)D+vZxAy@PCX*)jJwVw;P5ncVG}n~Zb8+g#?|oVoGyopapQ`fvAa
z`h4r0v~~X5J)1w@JI8J<|F&k+=bPu8*t=zP$~GK17{q>BMyGtkA;-pMCw5o9Fe{C+
z4W|xfv1iMyDcx}HU=_QxOioF_qXkzEs<F?O%_$6cw&2deFm_SCZI&xaHrzeP#~#X8
zW_9D~f?Egk*iHGeWZx79JYR6nvANley;}B7@r5S~ZaQ{1hq3>b-C_ye0aM1_E>mJ*
z@n*qg$LY<=e0^3H&mQb(7UtU}`^Dl#>4oPD?jQ7IXXb<MfZ5D<&eG!jgQjLjc5&I5
zqJo<TCp2sGwaLbm7Mwj;%C5}kCVL08zeSgQyX>99g6juoG*|N-lf6^^;lRO`W>0p0
zS(~B{Hx5o|&SdwOu_^s<=3r0rbG|r>ikA<jHectvXHoI`!QAHWe0CN;UObrGe4bCt
zD)LFn#>uLF)2t$&rR<z6>c=%l#7eVh(<RT1lSBQ&tRo+%tesrycg_0dgOtUSh18e&
znOWX^lhQreNd2kbHmj8do6dSJo&46X%wlEHrpqVw)UW!LS+6V%dY#fg*-HJZpVS-^
ztDCP==1*2rKkFAYXN%R%_e;*6WK?ILyT#h_$&$k-6V>PX?V2M~Sajv2q5AQ;a|$lK
zU2@!W{bW1!zkYsJk_APlPG+j7&zS?;0K;Ro^Tm@%lTZ7#&50>Adh=w}<ZQon){=!r
zub#9`p6!=sx%2IlzRA1&j?KAKZ1nEQzRB!<YIA(7DqlRAIQh8WvN=B1m5-jRoSg2L
zHpiwMb(hNfIeW@K9X#o-K7US4*`^CemBhPabqY6LIcg++I(AJ#;DbdMTqkx<)$58~
zQ?&8cQ7Q54*fqr)?;T|mmyVsXL!)%#$)joF(J@nYYLsuh>e||!tEUy4Q@-)A>(uVK
zdSyFTlx@82+S?r^ULA8~=Zf-+4;EcK8Ylic#$;zk*~LeTt{$xu&(-7GVew+o-J^=)
zvU+^GUzA*Y`lzFOv0mR!i+7J&y7R<s^{QgO?0ivPc<E?Ecd(w{Zi~l{)^r!^o!h<R
zLDGezuHw^UV#*AkJnHIB6c3M$DK5Nww4*yv+&tz^N#W_Ex#Hz9cS;SPJ(|~DDE>Xx
zroixF(xs!$;{7o;Wrk0aZXFdC_m8zHHhlbOXScXs+^&kJkG6K3>)qQ`@%+)=Zhk$x
zT|b^Y+T7imC$=;4O<L#drFqkKM!rjHoy}z4n%8w_O-b;Zw5hYN=3Uzn`8sXx?5}xd
zJ8r&McEoq(Y~MVy-8UaCJL9`^_S!tHJ2}O{FVl9<md#sr=S|7x)4p40-_6Uqb7j}f
z$IH(7?w!qM-kbMr*Ujh4PWo=1EoQzp@7wOoV(>nfw|RU!UltVK@LfJz&)ofvOlk3%
zvxVmBcS?4@EWG@B*?HgnvnS`V-I)W~=aPPRPI2+Qv#jRLd3w8cK6$ojwxW6X9iH7g
zUp<>O`*oh%ot|AgA3j?)J323K_s+-9*3C9F|9+=u_s;jv8fSBwPtKFuRr%!E#@U+Y
zm-FWBsC@No=4?sx^LO_Ye7<y6+kE}qJ%yjIowYW9f48RK^To63=JW6BeA#f~a1y__
zjn3B%XAT$fYukuaXnYBHlDM=ziGQ`tnr|D99ggCEZL{Y4hJ%OQ_*Lb+Y;!(sxOrHN
zKUMCOZO+$#hl#V>z4&k2zWEUFG;w)*tlTQwH{SvtFT8eGj=xmym90rd#+MD(58Ltk
z%FVLfQjzg>!+q!3?aXp~RT<w4PB^!>AC|MSEvdA4^l(LcvRq%a#lwZSo%`G4_-o~U
z+0OZ5@ZjN;_RDhTDlA~zTqM2}Ts*AGKi!t6a>t{@8;3*ruiM0YH+YnI<uEV5x$T`#
z1-B2&@|WB8RPK15c;|2}KfjGn#f&c>E*w_o@3-}-obm0$k;B3K=WWhZRJ?oG+CE(_
zuCn6o!`}Aoa`!4L-al+^@0YWy{P8An;dIwHvFgZ2sVk?a#!ah^e7N+0_rmE)`r3O%
zsw1DKZk=u#cdaV&dFtNjym4k#plv9rlc%4J+g73ZIp|&L>ginixA%lp-F%nYK3z-S
zdheT0L2pyHPnXi)8uzVY<>#RHOAmT4p1wEkTP0{e%F?6WtEc~syR}E=L(v8A-P4`p
ztoF!!yYzDD>C=Mx?t5iE7u`9{sed=FYR{Ldm!B`af4XEkqyF+ebG{XUwzxdsGv|BJ
z!P5(-cgN{f?tGJa;<Tv#;kb2GJD)w>HC<4Daa>--&X-T8O}`!Yu43ow)HA1T^&iK*
ztFC;Idg%0$>FsfHm6dN&Po3T}T|I7IRpqnPW2cMt_4n-g^6Av+Z2kN_d%k`;ce+|%
zeoxJpPbW{O>vPxYeA;;9xRAVet<L9-caC$(v)Xahiu{atx%kF$E&0{8Yd&tgcHBz-
zb#2avjThZJ`-9|X*W`TLc+`Dnf02B2ZOD%s&lX=gUM6o{6Y}%M%jD_(S@OGUul!i?
zb>ngOx&2l0ymnHxZ@z9k?>@OdO<vb7s`kzIi_gIOA#T;me7gAX@rHgs`B=NY9~Q43
z&**<_=lA2qw~LP#Uw5D1Unj3#%ku-eAL86Ei|3E`^mE&>)y8}&Jb64--q~*54~cJu
zSC5;@U$5=?A@Q~F@Nr-H?KOA46&^nxE1zul?x)1}!u!XW<%R9kYHhxJJaIf(KG<$q
zt<A@eSB@LY8{5tMUGebo(*AI}xZf3zAFu5%x4ZZI$Aia<`~B_gen-4WpE&<$-LxN(
zuhM7Ee_9vzL-RxMi{&TId)XhY3;P-QHobTL*1BsyBj2Yt&+o1C`ZML%%_r%b=j+y;
z`ZMMC%~#70oj0?eTDR@@&4<fRo%gff{U_x2&9}>s`LCUCTlebEmEV~kF26`$Kfkta
z)*qAKnIA8|O5Z=<%%1&^%$LjWo==$1XW#y}<k!nj#YfHu+8_TT^S$_x|MvNE_IvAo
z{rU3S@@4vg^P2X{|IYbX4BHN2{Nnkd`QCMUza>8vA3eWe{_47QKX<-MKXQJ>eCfKp
zUpt>Z-!|X6?%glR&&B7@@0kC)PVUFd55_N_FP!gQC--~iC*!xzJLfO2oA-0($Io}p
zbKC3x-Sg@5t@G0M`G5C({(SE|yS@D1nopl^o<GFU&7{M(LFK?DhSN+s{2P=U92*WX
zxH5*ZY4B~(I`E1in`sU22E7Bn7^InUcmjkLm>gKfFq=7tGeB&C&4FtSqKw;ESMY#$
zLxeJxvE2||V0GXfgDGPc^Bc|p@db7c&JD-FyCE)!EU<L&Zn(zqn|TYXg}{S^hHnh*
zOeHK9G7F3y!W)zs``9eR9#k|4Gwx#k!t#Rmg7^aa11A}n8U0u-WFPo6Y-T*iY9ar?
zso@}lICBhFf#rdK25rVR<`~`r-2-14lo{Qa?{E|tA6Uz<o%s%Df%$=mhHA!R%y;-d
zC>(HUILW}zY{T`z;y_5lO9p=?8{Q8(2YecyGooy5co5t0ozafvhs1;6hVzVKY>^@<
zg%eaAr?EwfrBqH3b>wOiVbkQ=WaLpeA=EL9JyJL&cS5P-HTIhVDajKiDJ*p~W4$Sp
z;yq!L!c)g>Y%4i7>3XD2c<WfkvXX0)@riW`R~^gPS8@hPr}$6UrSR2Js>y`yrgTdD
zgk=h69iy7Iu-%kjqI;rIfxUSP`*S&wKgs_b9bxF;{5#D}&Ij#}va`w_{L|co2kn2r
z&Z=7fclUpbzrX8$INJ#S-M!!Y-&uJb8NN%BOSDf+RB&&W;V!Z{(W!9Pv8w3{+e_|C
z@=sDGG%74_n!{J5cH$;<lf{XI3Ehr*tUF~=G)_!aIPAENZKv3istFSn7CYv#?38>G
zHsQA8I}T9}@V1GM3f~diCi<If_>4qTtianQY`BetpHxl|cZ_4J6n#=U!QAm4Tc!Au
z+6nxQc5FXIo)k}LRTOKDkV*3FSgJU!H9{`QwWCR(Rk6!y4Nss<QfS9j#cM4Q(n+x$
zUlq+-Zb&RramnoPRWxhAA+$)xrLtqKqLxz*cc5fab%(6tDyKI*8?{|ZJMJoGIbCVH
zA-qV>rM9C@pjYu*+YRwWnl8m1(*)KkerwO*E>t*jP~fd1U+W8wLJODlj&%a=PBOfO
zI!8VVs5_Okzu>$my-44szGJc?o6{VwLaQS)1=69b?FE_@_1bobJSyr~C=l+%)4oIM
zQB=okMK`COwjF|x(mJ9Q^V)X^Kg#RaDDd5>r+tU~Bgc+Tfys(;Z51Mq3OiN`TvnXd
zQX%yyvSX&edFMSGAB~Qz7Fh4Rhx4P^k=+9CoohHg8Xj3LFyC2+XS2qcM+V-9ba*%G
zocUy+eMqE5lP6dtEp^5tgVl%D@NHH*bIaiMp*8%Q70(<qP)+nYoWr%*^2{`Y)WlPV
zb9jRV)1qgb0<V(~7EMc^5u3Q`@Eg8h;bmrL<{6YGzB+8ulF0*JC-0j$>+qJAOy14*
zKG8Fn6ZzUQ`HMAt+-Dq4v^reUYAN(AV@7gfU%RE?GHW0I8TSlo6Mr3^!(%M)EM&&z
z#B(i{($8XMd`{GBk>n{hJhRkb`eB~dokD3AXRaDtKNQ1nER<$)rq{sy@ExvV>oao=
z$`ALn?i5e6IrG<m|Bz41OrFmMXBHduANFaT$@f|1%w>b~ht9NA%AqcLzt>tR|IB$t
zf1+LMPnopD7FR(r_XwfH%$8KaY3>n%3l*FaTNZI>D~q^Ch$fb{m<nEVix5w&ZQ&I(
zbJOSykV*`0IV-r$MWZ`FE-|~Mi{rLZh}#XhME90e9M;Njx&mYq%Ufn~Y!&?GvZ6ac
zexagMa?4)9Z>||#7i1QyI%T)~6}+V+(@|jHRNdk%Xr(06cR_NY_MwR!?#eRV1vZB|
zIqnKpDSdH!(S1SwVM<FQ$8x1PeFbWVZgM<Vn$usPcqpNzTTst+hfJczp{X2)1=qRl
z5PMkFGLd7kV4lkk$%kPrw*}w1?2t~>IkcDKvEV!R3V}qWLn$rof^x1EGKpG;N?Ozf
z=ebpgC8{0z%%QKer{{y#q1PPwN_%=g=pFjaA+J=^^Fi~_a}MssI$fJAPEFGAUaZr-
z$>vm-25TVKViDI!$)y&jR%xtWyry%L*{NL`uNUWZY%=unoN`HH_M)7=O{!jzQ$A@#
zFAj0JDYn$;)He<5MIo*?B~!zvywcdc_=?NQ-c9OWu~U9&@CHgPe$%^2-z#{^GY#Fq
zsKsykFNuMd&EHxq({)MkX~C3p8nJ<WE|yYHBc?nK^mBRHcS(4uxmWy@e;VqGd0e2&
z=FhoVia)KH!X3!AIHspa^VCxf=fHI?l6^&{r?zTbU)<v&*;}N1>a520MR)p&)KA^j
zNDh4GD%oFTf2vtSI8be|P0uHdQ;#)*1D7qf>HK7JYO{uM;5_$A!KbNH!UN;nD}|rt
zPALz(=l)aRY4Q~RKs)zHiR8eZqlVLtL`Wq^_B=HVJEGAMD6v@M*eQvlhG9n|WRrb+
zwi;eL8X=$T+|z65l{Dqp4Uy#H9$mvzNmGvBkXo#CY@5VX!)?cJ2rkw-c1~h<QpoWe
zvWwN+a(iqIUnN~Rp3!kpB00aO)^Jvm$?=TNi&Dw;J=-MMlVo}>$~_L~>62(rE;;t1
zt5D_GMTz4{GW~^0Zsk4mB=#EqO8Ro#LNZz5*h-1z$#Xgj&7iC14J95Y^>`cV9hc}T
zR6SPEv)XXo(H(NhD#tQ<qz&_q?GSxj)?;n>?wCY(q5iRop5KOYM`m;wN<L2PaW|AZ
zKBLP}_OWNra>IE?XLNqFIo2(qpS-8*qt&t568Xt{x<A?-YnPBuuIc({dF+ry_amLY
z%_`?ES)6{P)4y5C&vDKn3)jN1W14-Nwa&e=$bPh@ceCEPUl!7ja(aS=mYbYgW-<G5
zPG_*#a+`D4EJO>p9bMT2UNj$CSa$5D=yI!b?<`CUvmU?c3>IH*=jS}<7<keAWs&8U
ze%^DgS^R#y<*22=^MpCyEZQHH9I=#HZtNF6N4c=?n5EeBiaElCyB>cz^0N1`_;UMm
zCoPx@{f=77KKGfkx$xXkOZn$ca}HXFKaS}twmcUwN4v1?aZGQq?zyiP%7t!^?{pL!
zpId9O{qY^ps`iLE)rH3%-|7FXaL#4UNelkRHeH`B&V|f*Y2p9KruVbXIiESt3*(Md
zN<I&rbG`81kxJ?3v2(r`+8y~R@jQ6W`9d+Zh$#t$O{$#J)FP%OR5ponatVp3X?Sfg
zax82L<qT7gn4FN?RLXfx{l<iZ<fch1OF7L{Z_G*XZra51lyjTf3XcuCj;T#=Im=X5
zcx^B~xQ^v2XPNp6&w$wp{!P1BzH&+lnW){Eoe<x&jO8q6l+YHn8}k?F9&BV`7v7?7
zF=c`B!G|n!Id=)kcovu(+{kiVc#g+~xeL@C^PBdu{N?mhlkh0eI{1<$U1*MPf!@KN
zEaE~uYCC2;2x>ab*(Ma@X)xzOR#P_TI&}$8gIN#Unr3t6sqUEjz^`dH=P{u>-Ujm?
z)HShlstNh1Rm^x0*mRt8nUIfq#iR$BP3fFzLN@*%ln$O|SueE5?}OUG+br*e_V|BL
zJb0XCzEF+d27{A}RJteYcy2N|xk=^p<TV~a6P6fw22Psl*)@5M*CwlzvsAJtukqew
zcd|`Idh!$%P2WwLC!eWAPnx2t>A%U;({)m=r`F^g|4quCp_Ar%mZ`4v+hpzOJL#56
z^`tAREB!A`SYmkcp33h@CaRf!mnJPSJ^4>1*OO1ha>f$dlM7X3J^9pM`dpg&#ADK8
z&puVlc~4v>y;HIEteX5q^`(E2(Mg9%!JdBVmXn|4Oe*#~r@nJSiowaFD$^&$_!&)k
z;x*}^O8Dd$?;_ii9+NJrm`}RnQ>1<JtxEZ%JHAHKp2SW1sPcWXjfc_16r+=eRr)8{
z_!&)2u{t?f#ecGmx6$M$m6OCh<J2mrJ}I4K?s-qGa{80nN&KF6YCoquDW24-DYi6X
zPLgNWQq5^gBjzQ!b~TB#YIX&!@d=!h6xwxF^V*V#*-5coUp38^+?cUQ#Wl0bSJQ0y
zjY*4iTr0cQYH9`Lcn8i*s_v52Tov@jXQQ@jY1duNte`8)ZcJXJ=UUs<Ceo|<ZP|_K
zi!@z}yQYb()%><R!@E%7=s}UUntV%NcobT=rf1!b|NqYZx7+D|AGY5-BL7cq=C9g&
z=Reg=`@VV4{HK3)-ltdEpZ*<*B=qL~^7*0vzP|tWwZHCL|IHFL{ftV-)7(*U7d|yj
z6W_9D!BbXUB%yEbLoe*BUw`q}^R=l?hgv3=F0H$|NqOa-X-~JliC;HO*7t8<RGH4y
z>zhC#I_py_bx&tU#-08YHSPMQdN<wQ|Mt4Qjz3Y|i|!0$mwkKwEdBoe>lUxm>lxph
zK0~n|)k(MJ&t6dbKW_0`eaF)+lV$yDFK#;eY5nZE9#6OGtWW(fv~|tz{>z)@VR6fz
zX@85eZhn7ndh6e!d)a4Df&|$u)4p%Em$(1@Z||{4``_J_>kW~@0@W>1aTos8u)O{K
zUFvPUVwBpPHRfMkPyc#y-vS%}|5&pk|I05=RsReM33La2JHImZ{{HJ3|Glf%8)C*0
z!a@Ih{=E79T`K+W8~vAikD*5nvV(pb3vOxq|Dt^V<fd=m>wj;r|6k6t#A0gDoSd+E
ze#`fXFZ0U`KXl{V5{uctLa&@#D)Q2D{v)TZgCgR=F<yn1M+3UFHQRz?d^P>b;y2YV
z-qO4Hl6}+?El$myYWozHMqKys5?pg}%A+{*pgTT=+DG3`S)}6>^KOZVqhMT-`O%23
zYRzN8U6yzKKPnt`={h+jDbp!WZkb59u+TS+qmM;`HJ1h32-+(hHU4-u*v9{(($Ui*
z>yP|*soD5rr{=w-74siCclB%9ac=b9XmECsN%v(P&&?)hH<_Hiyv8GV!ZHKjz*$o>
zyDqQs+H7@pmPz*IHQt-;&bFCIU!Jl=(|5Dx*=Huvm!>S$^xtgi>pCkpQ|oe$|7K<1
z&{=ac%a*S6+idOYJL{H7^`$FISNdO`u*~r6J(J&;OqOQ)U7obe^z1*A+)TbDmNS;w
zo?U1no5{EQrO)N5&pc)=&g@%iIq#Xvtam21nN^p+EPd%;Y;@LPR&b`@a?8oja%L50
zo?E_iLYl$ZqbAcY#rPRddFD0ip-K4V81G`+vmUc9nwVd@<5R4C_N__zr8~aH)1Jl6
z`e^d~vW<uF#5AL`hfVq~+4vbxO|v>X*~I^{jkodSXO*+WGvk(3PJLE7%RKYmvdZbt
zYG?6h+AaGz<yrBpR!Onch&hR#ZA&GmrAEw4bZu+mX_f3UT5~2~PGV@=Rmp295wjCx
z+rCPgrQDdYP{ld3%~#Sa{l=t)I*aE-Ju=WduaSN_?BjdMry9cUuebGV+Qj;aW7Qdr
z^y}YxibUR@&~V>k(R*}TXzGobfo1FB^6u4DR(Jl+32%GFv)lNT(3O-ap)1a6Z2w_Y
zdA$2~+?>yU@6QW7{OO3m^JDXRiW=U`D8JGXyh3mB9G>{^aXw$KJ1@3doKrmW&dak!
zCyws?SbD<x$<J#O78)MD*HaWy)@6I*!jy%U&faa;czzpiNwt^|nD#iqZRREKqI0*O
z2y9EYm=b8VReAB5=N&PZ4@(-ZR;~ZK`~Q>cTYqfbTAuboNIs}-v1DJW#k_|uZFwIb
zroK3PVfsS*!zX!|B~xRk^qwrRTHMnv(RuUlj48hr#a!Q<68stBq0_q~oB#Cf@_=`z
zT4b6FcM7K)%{g13clc+I5nKM#V#$qG;zm4aJ7zo#a+}FwsF-AMgso$xfN^m=-&BdI
z@5MZHx^B$gU6g6{q<O|^$<(4F6FP2IXryOqD9cBm(3P3&{q3va<QYrXCu>f7(>$lQ
zzsU02iNpw%{73#pQP1w5I{jqbm6Nyk2HpGU{cNrG#q({BZ%#$;Oj%lF*|k4bc4J&?
z@!`+Wla<Z<&)f*!*=k(GeYBxdSH$^i(7Fe2WaiC@Zu(v%dhTSah4S@BQ)2p*i(G#_
zS@h*ZY35Y#VxM*A=E|ErezbjdVQQZ7tfvNlDunI-zEzZ*?p*Xf-Py=0fBO-xe<fzu
zPUZ?QYkqsYVa^%nB0K(5nz@rV-%@H1J9DXOr(u%Ek*0HbC#UipE{;~3=K1Dw`p!`K
zr+IrP8>=0Avua1OQOtRRNr@qMJSFqecBrmf%~Ni4=d6L+x)nT+L4k3v!%^t4^0F09
zht@@CZuEIPY2p6c3#U&KIV#ujQ_wqD$KB)1rR%b*X5CiYnDx2bqi4bljg6H_uh{C6
z+tVg)e`sFzqOOfyGBvGEX5o61%J@fL%ayk{_r))knfmSK+lk7z)UKE8kC`QA8JINT
zNRsTV*-K9sRj0B0_*{418I-j8NXgm4xR>#N-`W4oo0cYTSTDPjai{&?qV6L~rKd7q
zO*1Zy@w@iseRkR0wC5|r?b__L%U=f7P5-p}i~2TiVM(?9cbER``K+HiJ+1SRf6ny0
zKkWN|ho90*{r~R!sr{4w&*(Zf`49h}=zrD!tp7#-ll~Vjrk`+;NlfoS{iFJ4^-t;_
z*FXRN;Qy2VNjI6M=_Q<G`X~NR{$IXaTE&!yg>5T&E=$hqcx`ZaGmo+4yz~mi3#Nxx
z^Q<@CbMk}PVQa^&Or@+{X+Ko#$_i~$BB!JlPE(DXmKHfJwQ`zhB-bX9G|f|+jJyk{
zg+_*@M@~-7omLuoE&b+%)Z}TCbe2Y%rQV#A>OF0f&eO<kX)8}`()CWA_BOICW#y?&
z#;4cmT#YPCUwJZUcB=ohT{>SQr8b$Q-JG2oKW&-L*~q9(TheaMU#fe$QHOo=mUPQ0
zOO;PQ)R`N(Ym>~$B9qe_b&hYIbK=t6rRv`K)As57jr2>CJW-@|`lU|#ra5Pe^iKcO
z5#Pj<wsXeQplPQg+cw3VG@A1?Yg%^Xx^&5tMzfx}O`9E=m%4NAQ@?4uBadymbJ}R$
z)4FNwk!qWK(kf>>4V-p7a@i)I^vX$3GpD6Trfsr0|4HfeX`S_(_MH2qb~^gwOr3<^
zOj_a_su@MOSHwBoW-8NJki}>%z9E~j{`~!*%?<U3>rd*h_;J4T^1g;<Zi@{K*I2jc
zEU0Ak<-W1KVJ~+^jKg83SK=G07^B5Eq%&^iKJrvG^Uv(lulef_@78(0x#q+t!_&)k
z=5N+Hvr*&tBN^`^owFNtj(?KTE)sd7aVBs|a%$frnbk#W&TUjXeoN+c(VFuc6^|d2
zQML3c&N;Qw^7u5FRLfJvIcEbWCP(+3lDS>{=0xDs<n+E+%T>j1&IL|hY<7H}OsVCo
zVv{EsXEvH2-zVd1IjeZflZ>+)?cJmMm@WC9Wt=b6aCh%JY-v?o^3-C|<BYy!%f9DR
zyq8AB1^)liYObKar~cO}?a9-9_wQ+`diwN=uKwD`pNy=v)}K`VbnEq$>xu1q)NVih
zTImqC`caqd#KqR`{(bjkYAt^i&pBf_;c-acWy^C<EM`BB>HBP{_eA1Mq2ckRGSiEB
zp6-~GY;pXm%=My}^M;d>O^)}<m>1tURcL*Du1tAx&(j^#lWmUwmEkY)c{1b7M}y;w
zW%`SKp3XS;QRVn$ne#;~oq`AY`Ss=Q?BEboa}rU{nzY1YvZ8n2|E~YjW39PY?YjT@
zZ`{_)cW=IZ_werAV!uhdW1i@Rzg)K@XyqKMllS)SKL2?QX!~$n$WpCxP0=Yg84D5w
zBt--!1f~QDh`2hq%n>~r84@BOz&KT>E@$4`&GXa0znnAq&Rpx56aTkg`~Ls$KD+z-
zHC+3oM59b<e#~oqANgnA()T}q*q*-s`DJz3zWARvzXfgnWt)Bf^UvyiHRrFtkNiLH
ztx%cA4Nb*Zr?!?@p)&6iQzob?MmzPj#Bf#$eNufhaYOhaJI>##A|4u30#p!}Fh)3a
zwghpe3iWwtOfLv=YHji23>8|X3ci)Gw<U_RRLIX`#q<jb3j+^%a{3C*Qr+T_G4+Dl
z!q`KaoU%fG-Y=$HP+b`9)ZY@vnXWQt>IL<M@rNuqnJ4wS-F!16c~Nzi%l5fHcfQLM
z-g`+o>h1E}E4#0{%`V#7UAIasHd@tct5Zqt&KD1iE=Fu!r2L=Zpd;sV)tCteDi1+7
zI<~3COfyhQ3^_ETMO)~YYRpsv#fP(6JUOqc-kDGkd`OpbyXu{Z1>uKmIlrs=cvdJp
zT-cJy*{@<V?SspqV9xU@Hq$>i9rEThhTY8=$(gUZXYz-*LvvaxIptM!JR+uSP<gnx
zC6#l&igZdT$EGk9>9Vz65tBbe9unt_S6$<MLoc;`%G{t!OH({<s-|wA;-eWEv}x%R
zkCRg;sjJ4HlGChS`eyQ`_+RU{{e5db_qoT{d1pRu)?fAi?6YV4H-5_hD=*v|_WgDJ
z-kZA~Jw4mr)EoYPcW(W^_w~wCE$Y_(vriH6(3}#a^0Z+}a}b}WrOw@LZ>K-f|Ks-S
zwfO!UzoM2wZ+uJ*>hsW?UKHZhI>k#fG-%aQnaM>lUcFPIG)sg0JXV4)dOYQ+=^Hd_
z=@yU7sh8B2#-7sDlnwIpemUim>e6Vh{wZ;q=}YEJy`;W0{*<LAa}e9oIn#?APfeK8
z9i#`k=rQtC$CN<L<e+sPlGBSqPqj?R4pLhhGrh?9l&|LYC3mJ3xu1&F{J!MQbR*TL
zjZ@l#mMyiJ1ikvvX7Z<)Q{0;RpgSN{pKhIE9+b9p&(u$Tr>ZrJgPtv|nXpMAHSpA=
zDg8mymex$#G>J=AGdsx6`=`>=)l*6}Z>PSQurb&TbP43{lsD5RYASoXZR?Sd+-dYF
z#d!aXZMmz@t$cH8dhVX}nIGl8pSYoY`-cqw5qHnM-)lGBub=X3tyliEo!^d6y|<=t
zwnpXj6(#K&-?mSc6EJ-zt|~7QdVkHA4H}<a13#^{4}T-Q=-A{%_k{14xXgSpF=V+&
z{#Li6*JLY{x@@((_C`JnU-Zg2dTQLCWtZmfTlpnz=KOB2^M7|-x<Ab_^y}P3XI@56
zJtuTj%=Df{*|s<Ob>T1SFKEbK%KyLM-|XCa-G3kY_y2uspYeZh)bk(m^`B1N|NC@X
zeD1zackQ)g?0HVvXZEh#C=&lqLv7o>|8pN*FR%N!d*yGxk9Y5Hwl}RX;z|`cX`%3V
zL65T$-)W6$fl7-*+-CMD8=Xp(nHs3LILK{kPnP8I6q)IPlW)yTxpLA%adDhmbB~*(
zdaB9EjA<8@7Kggc?kSU8o>FqcLU(bn+w`71Nn4|;)HxFi!`$Zg)JZ;1;W;5Or7-Ro
ztE4md=F8-WW2utLMsBG+CwC|%#~cfl6gFCSa>kUx*kipR>8Ez6g08t-xot|J|FK%h
zU?aH`6&lG_S5F$rovu)Nys{_VXx`}x#m7r~Bqi6U?3wn_?bw>0?MC-bR_G`D9oy5x
zZzKk}@G|h&rXGKzu#`2YA|`*dEM1>kGj*fhZ~N_kXKLiEVt?{x!scMVU2}LWcRzVE
zZL+4ix8JroGL}1wK0SGI`lfRF`Z={l-%e{z2-aBcagGso$>qj5LY7O5PCb#C7_9ev
z#T+insYR=vgq&V{`-aVxkJ+u)7G8dJ<<Tq4M@w`HU*#40?6WBPyuqpPMqQypr^RBA
zIom4>R_-X7X|XuzaZj)EY4g7Ozgn{TPFm_Mck^32$I4Ru$(7TY6E15!UouC{@@!Gm
zlP#w*CtuckK4lJ{<?^Q`r(RCFth?OZZ~YwOqI0KSPQI-Fe9jz3%jTkUr*|r(dz^E%
zoL={%<yF32;${ow(o6jBA5XDZ<~rxPR-dJA-y5OyFI}JOELKL(*;sjlweZ8W#QneS
z7UV3s8K3|AwEVt%GuEtq|FVAVw4C1g|Kx*jevRJt_v*17f9vA@e`wvW{qN|u{r?U>
zZ~8yU{;~g0;eXt92jf5F|G54~``?`0Ka=)9S^p#ZLH>{Ef7*3N>(AC7u0OqD&gmkz
zCo5G8L6>rB7ae;VGu2q}`K&pfme-%&nNS>jPS<k#(>oK3!_V1Teg|FCsqlQ^oJ`C9
zCo5G$=0#blu6+7=_Z6XE{wq_zY+t$bOTE|HHT_Rl%6`4SwCw7C%dMOL>94%|wchvb
zhX2u7vul-}ul&>AzWBf0*A+{=Y)w}NOJ`@zd15pDv(q_mOXH%rla;#9d*^_TBi}Rm
zbKJQ(b1E(6pFr;bT|6hX^1Z*;;(ycaCjY;`(*5KA?8h^0zNXBpvirZ#VWsX1`@K%3
z|2I9~7qT<!Xz<g*j|q`_az@&9e{z0aK2@4yQ!r2L{Ph2xM`!<iy3PKsMx54?Cu>eb
zPTj2beDfUrqJ5_#r*Do0UmLna`$WJ7?}K@)Gr2a2q-dWAoUmHK-*I1)E!Q`#8_N^+
zH!-oca&?Kw1QY}uRAg-z717oR3|O_m=b#|#Q?5R(6#)S&7kD{tZA#|q(_Rr6uzG>t
zK~C1MTv8$?S{5r8csg!w5@TJ<bxUN6w#Cu~%AssXw}U?DY1+*7OXQ2T#qtD)gA1BI
zbLnYF1Qi4xRAoKPrKc?sXs{~5=b$L-W3F{tGXe^H4=!nX&6TIIW95TsO`v;3cdULe
zujx0}G7+1A4*>_aG`VxhX;&<Iu(BzgYo2z+!Us#6Bw5#s><Rnec5qG8cCLGx73&lH
z4(@5<=Modq(TWKA5O{D?lRsCO$QrGP;17`p#aZJ;*J$5Zm(o6IuGb~dC8A4Hwomd=
zjr7_y^@+yG&`HZx;!nz{R!@BsyeZzZagvj&s~4Y!<%%a0CLM-e85*?gNynrjRrjeO
z8Y@GBmM)1pX{dU9>J^QZp+U=^v_NkPofC3t$&yIV?nz;)xn8%X&I!7-@X3}*eyXuv
zyQY58co|ycb}~}6*6Y{QIl)E?QUXp^s)|qH(cHP>$)rh%s?(?TXh?<{Eql^6sZce1
zs*lFbWhp@?OI6LM+zBc2KAEdpKIKkmk^jkB)nG3<jmi}%Q74sQcZV9Qo`+l&+A}HK
zD^9y|aZ1?9Xw~;q_JkWPPl-FpuFCBtHdROS=Zciblj^G4USU($X#QODq<hkO&~2jO
z8=a5(iN4e_3V9Q>F&h2)(EhHyTHjXQSii`@bzzs1D0_%ZNTJ8kMA4&KULjLfX#^L#
zxbEy)tfd9MH8gPTqZwU~wX#C4tXdIV=;XS$i%qmw%MW~6=px7+p>MVPR=x-*jB;Jx
zr6+n<t19HnDvQOB)`0H_J-1RKz;MN*Jzd;dZ6Prsg`P(@be-063+Y)U5nSkcbVt`}
zEwzxCV8fM<c6CW><*nMW^wG91Ypr*yb}WCiuS-*uKg1@)aP6asUB|WNt*BV_XlB=Q
zt+*8xE0f%gYKyK9-4kfI`qA31@>ROISN4P(E>DU($}Y;SB^Ii)^2ds#$fN34&uedC
z40#o;buFYO?4#?^aM9OV+g9IPn6`XYiK%2(*~*)1)q;Je&B`&g%qqJ2WaY{5{1u-z
z|9BI)IsB}h>F=u|D>Orbmpp5j)ttq*(sIqR2;a_GL8hr$eJeD>^L=9a7c7WA@%g{D
zMew05{}n9W*PWX)ziG0PYP7*5y^a$?F)3W3TrCEgxj`DDoW=XNy05i(Yad!9Z*%gd
zkvi+SWeJ)ccAPtcxMV|v7cL9h+vw9ZOUv}?%BB8)t#kWUuMEt$2w1($Z*QXOsa|2|
zZKU}c6E8hjGE2?$Y*y42>7FgC%tf+-^9?SoySG89^_ZrqY?j|@#D%0^&vO*3l}?yt
zXZm;L>XniK#w+eENP8rmVs>_>$P6376L$m;*@&FjBe2Itn8la7J4j$|cz%YlK7*%W
zk>b~9!ScFa*{<-c-nk~t<?M=ChNj_HdY0eYHmmc>&MdX7G2z9|XMIh#Ujg578f*If
z%6?;Y=Y&c6AvcvLXx=bdUckL5hB0uNLAvlv-^4CfYjze#`>V@b8!l^|xjE&@j18&5
z^Msk^^Bp`b|D*WNf9u?LtN$Oql@m1CDBbHUvnl^opVj71DwjOl_^bTck^_~Co~^8E
zo|T?8Z*}FwUCOVfn5FK&@ooEk&ALzLU;eMm6`LJ@|9t3k`}}kNAN^W7FLmjC&APwe
z>(BSh3Sapx__N#DHM6#_yywk2XO^Yu=PbJwkpY`mq<NloH$9zYw=yzt^Qtu8v+1Vs
zS875x&zn`nYi;u83iw`CJ>K1>DOo2jO<1ew?!4|<{rzvN&pOM_djI7AmcqA@g(s>D
zpFP`peVg9S*qG$cOgkT~@_zNl{{FY+K_B(aE2Tb}zPSjyc{M}hO2CqbpkrwGGA-6D
zi~yf(lPcAhp>e$+#JRQ2i#Jqim8s0-f*9xCwkY0GDZh*r*Dows7<kx|*H>zm>6VO)
zs~46nj6JN$D=X!f{o=}nr3<5-``hAp(@o}Fy|8>?{9#L8W+^t)IoAsu4^L<V-OVa-
ztswGnM_V9oGU()*>jj~QTiUXv)J$Wp7dRuIT=U)J&UJ&O4;$OsrItaCs}bh)H?_I^
zA?7eQufFM-j0(v4G-;-Lu72=4T+Lf7^~|*9!iEKjhM{h}@}@f3Kb9oA9$wXE$~)h*
z=HiFY!@JtvMwMmUT&W7Wg*7&+Ec@h@NlR6uz5Axc=vGF3+WO|=rts5ty1%!IWN2Oq
zTJp4ET5}X%rsW#wovW!)eHoh9i$c6xr+MjyMy=W^bGazSyLVcYZfTTX2IQ*M)1JD%
zQM0yg$;iBVY1z`))0(=nQGVGkuUuNXG}^m=TAXhBmN{21Engac+ESM}if!wh>qU;I
zCrs;((#w>*Rup;KgUd-bIci;o<n^M^(=F4oqtv#>TrYAy?W?<e%bjaQ?x$mQzi+v7
z-Dv64#%b+Q%eLBFf?mFAa~XOl%$bbJrBAm`GmlE!y65UAzth#a#Zk|;)?C=MAT{vx
zq-p(8)3(-J+O#M&^z^K0=cD#z{9Kh9d%8^4y5!B3iR+Y|kL$_qE=9Y4_1lXZtCQ#V
z{k7sM5qYI?snFrLqilPL%(cKZi(TAT_8G~#mrQwWu?l+a>Q$@0mlo?5yScCJvyxRW
zx$-*W!o?Mjmw<0ujVb|MvU+jd<0*Z7vdc?LUcI<<aqVJv_w{|oR_DN%tUjL8$0*xu
zb?)_!1<4-AU1g`2@Vwr!CfViqiatZx@RFX_J60t-9XFMoY_;z7j)jkx^+j9dz233-
z@w&cht9P$wT>9v6+*$UrmE6mUHIF;{mRrqx2|W=etz^%ok5R{^Wj9;hdsVUg@m}za
ztadLVE^J(p?0MW>_OzAVOXx+c>9X-9HCH#TOWr+CwyNxv=k>`8)2Gk7TV?b$<>kq1
zlNYOp`_G$KQ~P{=&TTb|TVHhi8%jJ2g4AbZ3RUDh3Q>vB5|fe@<eSRD?{@S^IftK_
z;!EMWoJSLl6imC{A6>KmN7|J8ot*dP+$uHvBAMh<e55C>v+}Iy=HDJ?zIQ1saW46|
zDPx!D#Rk))s#QlV_&P4W6i+S*>j~K_am2_l#WzXG`>23<_rupB%{P?PGJSaNbVyt%
zGhDNF(b?Ut0{KTBY(x&1xSu&PNurh2uu1+1!`aTlpGt0tac3SanQ@xaaB=mQH&-|N
zpRcoJt>XGB^3rm_^9A#qfA#v=uUQ`9-&wc#qxV<o+F0FNKUchX?R9Zm>aSk6JfXk0
z^=|EP-*SNWqKm(4^x96bXt7))&8YY5UL4Q682@?E!h2m}-e05kz32;hzx-Ef%$JH)
zoklMGYr6BizMh^T(PU>R*1YJi{wupbMYrQ~&HBDwS9_ap`s)54jSHvh=Oot)B(*N8
zT-W8dT0HmL@kKcyE;qL;Zi`=%+W2DQeBO;`YkuDp*sY`VZd%6mDPra>alTz|W(f$I
z>lx+xu-rXi&iO6w%X9CEQT;AWxo$UWCx&}RTbe2F`gL1v|99Uhx*bQV^?uo0{k-y+
z-`3h)9V=Z0Gge;lDy>*HqbcP1`p%SSLCxu1TV*C@&gT3UdB(5PV^xx1aJ$R%^<586
z2^$BgTw3Fxa@+3Wx2Z~Nmbtpj5tv!v?GiSXW6RkMSt2h3b&sub>^UDSIO+bf;I$H4
z(v|Gzcc@gV7=5_iVzX6Lq53FGt#m{FKF$Mzh9&kcH>aFf6gaW^{;}(<hHspc820-f
zI=f+(@aL_P@v%u;%!NyhcV9b_^Dn1t?SBi)=leM~&R2_A#<4MHVwAngjkKE7zcEds
z-9M~U7QMO6vRdeIO^i=T>x_?5f=OSKZkT^w8{CuFKI75k=;V7En^wE_)UOv<5P2kO
zx5u{K(S~1h9eg`K?pJ^IeOt%wXeH-Ot|Cj8S&ItlZ+FW4^t>oDcE*Rz2X|<E`jDQS
z<#yy{non=H#2*!=SM3|To~HD9Ea90LxN^@fO%5;1X<f^vgv_2eRX-_9Tj+V+&bRCL
z8>T<LZe{QE{Di#w@`CK*doIuC3#LEm@4l=kuv5W7Qe?**;R|0lOU`jLRI#|l9$NM*
zf5*@CHIjF4t*(FY?5vo^>=%F5SoE`+e`hhT-=K0n&vCQDotwH&U)VE(el1z>Q|m~i
z_{?9rCbM^c<Ws!z?9d*`OE;sht?3H9FOq(FmQdo^XIy(V&oLi7rDnd=X_~#ma;F8G
zPx>A<e{_XAvhI}c%nQ2l3qF65F8O!AX!FX${4f5v7f)_V$n~C+zjo)R<7+)Xe!Lv}
zH@dK{Ece|1kB9!qAN2hzW<L8u&Z1(MHR7SscjgFOGs)ZexsZGAHr*t%oi~>(cQ?4A
zm-2I^1%In@u+yAs`;BR_J>R`Ic9-N98vji$4AT2!{OHfdqZPq(5*yF$DER!j|N7(E
zNo#LzdA4ptTCu%<;|$F^JO3HK_~V*oeeciLkL5*g@8;gFQw=_`|FYJR{7stIi$kDy
zHm?dcy;>aO-#ahLwzSIc#meiK7c37v?+L!DdCL+r3)|ePTVLl~y1elDmU(`*v6|n$
ze0lNGcWrXjImpRUbLKJHHdmc{y>mgj$N8fnjbC_P?_87aay}!=@p7^1)_pH`u1a@0
zZ)!WaYTa_X(5_J1?LqRP=jUEIey!O5e64M8mE4QU73opum2LaK+Fbk`a^BeX{MS7m
zcNJ}oR|>tTT>HFtUZidQ*FBd%$DN-uuhLfjiw@*Y=f(3<ZRdYk^CI%<=4H<}&(mKS
zJ#W|jl||Aw5)+m;mM}}Ql}X;nRk-Xhtucq$lC6mMiR20M4aX0F&WL(r9+0r$g2P0_
z1<py#s%&1oQzR{t7Th@y$SlgH#Tz2I!VG+)vng8^?-fal#0B>pnj77i)p=n@OgYSM
zEMs2IQzBuJyWq0J^u|19Ted3RIiM?^=Qq|dKj-0*kT5B@cYu}InN3e>M@qtt1F6i)
zY;L?gk~@+T?i>hZ7G_%~Il}~e#j`o@9ixKV2V|McdG8n(+&?g-ksWk_v)P9e2a=in
zd2CESoH<a;tk1K@#2^`ZU-Jyp57!P@Gr#9OBRRwT!@&bhjjqhzY<5x+W*<%-=xPjQ
z&StZd{*m-xbz>>>?cO&An=X6on#iNPyXTGBB<StI$~)aY^*oWjnVhnIVy)XZY3LzR
zjLPi2GDbx=JT^`gQeNtIsz=5!DDTONiCoH4-B$I4NL!{o*)dT{d8^y2o;e0V`AZIZ
zES@;oZB~zobf)2@tR-hXc25j;v+9{+b}4hoX^-s_<&^ijos+PH-1}JU#@53lWtsn^
zX`-UCcyEl6QO=W169ttQyB+I^F*M41vT7oy^7S4cshxRG+9u9+%ah!h`=oE;Znt-m
zJM&XconThx@AZ+cOnI_#qPp8Wsmin`J12^}#YxRHgkJA>MyfLV$=r$G-JbQFk^Y&G
za`42WiK@!-y*koAQ&NteST)g9d46w=;is!7c1?V%RyN~CrqW%Pw$50!ve_q0CZ;Og
zcIoSk5w2AG<om{O<MktU!oPh*W@wlMraWqZ-Sc?ErL!|gI9080hK70J6_?gdFX2$N
zRlYLDg?C(fJEMe4)%<3xFb5y0<SFc{Hp_R*j11F@X^ZY2(G-?d^PBy`<YMZg+b;c`
zal+|7b4)L$FS>ukQkYqd&3BG@;lU#lI=j{MW=fb9-aOLL87Q2rwr+-mdEwO~EuGnF
zYQCVGAdmP8Z}+)lR(SkKtnhcAJLZO|j~YSuJo?%geY|mHckb=`HO{La%a`7-|6VRF
z_s=loH0!GHR=?2tBUcydMlJu}u{73xV@ciVOCtMco7Yah+?Ky${vYujyL4h?Mb|BJ
zx%*~9;Y6l%7Xcm4=i)5O-)}GREj`z>pfvD>t#*d*&RMUv&&!YTHH_AL^NVpWSK{4<
z1FPZ>Y<>AW(lKMU!CLnp*HqV7>)x@}6vz`*G2`Z+%~IQY=#}r@;?@<F_Q!TQ?x+fp
zh}PaS_Xp=WYu1LtOotCM9XpvXa(7B`OoWx1P~vos*0U}*dU|RaUrk{6Hp!#0NRVAp
zi7%*ZX^ziIQ(YCGpWBowV#MV1IHiM+En85b{l`ahR@1ww1z(pMn5pJ^O;V5c6XW<E
z6t%46uDZ&e|H;>m)hT)2Jzr_MK{d3M;q9D;jGzTmoT{BXt}3085vrTUt!uvW&KE6r
zi?VQue~}gYv~Kuw&Q~|^Z}sqcZ><zIuPa7EL@13zDA-_G(Ubn2u^I<t#0x(Js9c%S
zvE;Z?r`ct#;0Jd$h>G2R6}x%qclisoE-gpp8eb_N%;dT{h5xdBnJH`j#s^6u7bbps
z+ZbT-G$reAglLN%XLazdW!L&G9p6bmEbw|<=9s!np=A04lh_xt4i)b<SR48yO#j7d
z;V3zgA9n;~e7R<+u>`lXOl4=;%-VFPw&}j@p^a&Z-?qERi>)xrZT!X@AJ6*rFw+gU
z#@yb59Z@r4G;8!kkJWX5Q$Co}FYvW9Q#sG7<(NO~A?Bvb`*<bHBi@M=E&EfG_UNa1
z%QAtwiN7Kzzx$rO^3Cr08!N7@>R90KH1}*#oxs7beiNpxdfL+&t`;}DB01^Wk!a!f
zK6}g!)06HUVHf6JcK=V@livSd_iKKVF5G+lQPTP&yxw=WU3pr+<I;p32Y&Z*FFEx1
z{=a{7Gey0`e0662$Vj?*L|s^0EzEb#%pW<AyjM+9yB7TYBKS7WCA0sx-{0SS#sB|1
zS)H!=5u(#?YW{oo>zM!lo7?OszFu;v<oLFDt@_V*^N-feOH7+S^KP2a*_4?l%_b+S
zUH6$cv&Ojk%p23q=g-s`v!-#K6`5(7@NB_M=QO_Anr6XC%dYs$oT;34>a2`uaN@E{
zK2v9A86Q6*V;-Em?3&NqnZnTHpNcQ}OrDu$teX~fcFW96^WsxJ?K3Z@S)DDJ`O@ri
z^0Mn^B#qP0ewq2wy!hOihMA7W&(Fpf7^gg2G}Ak+?QD#haZ=iqGc#stryV;RV``lE
zY}QOq<LhVd7!+SVqiekV>>b16>t}3@zn}G)S()%`;ml0q{xddapO2ggHa>sG#{Bc4
zGv3C=X>l_vbD#Cjj5N+ayT|zRy)$!WRvOEn(U}oxvN`41;+d(&^UtiA5ox+P?b+s;
z`f2-?y=$5IR;(=IMyBFjr?%Euv9jnBTPCC`-gfG1jp42o`=tA3<A&>p?6`mHibQB^
z2}pU^(Aq4<7ip2R@P<=oYY=y;SYL$3_JS);t*u_%p<=6aWi}Vwaq4Z2;w}~Qi&(M!
zLc+p}hdjA`#b)VliOAS`A#LH^Lz>*OVt&ytwp>VEc-yJJHI6%7XU^6O=?m{4vgBqK
zW77rQ^Lc1OYqywQq{Oy@n}<4DLDzJyi;&n}aP?42Yqpr0Zp?PnBZR)|+}UoB`mnLJ
zU2K`I&881G4heJn>)LGoaOV&=x4!O~h>FyQTU*V=(scK1{c!G3HFvStGu@gE&^tZ*
z#ir@jY}$~Nc=gb%*7IWfB7S5g-aS;NZN28rmPvW4hfnEg?_Qe{b#l|BT-D=V>!#Xh
z{|x&UaWgx0{?xx=Tx&$4G&dC;IOV9_zD8zSP|ngLUMr^>X}hnP5^b5a^pMxmsao1s
z!}=mE^Ohd-T07NBTYb%y=*$h5GM+A(s-}H5ENab`sLaim@}5qa%BQ`2Ez$*@#$o3m
zCkV}%%BbBOb}o8nLh6ZAuG-Vr@I>#-Nj-9E#Z*J>@HIWrJF`*`oif#)9JVfcXX4Xk
zQ=`N3qIV`gT{pEl>|ONCO`i^&at7Vq8CjY0v~%k6uz8V{c~4uXP7h04vuD$%Tc@P8
zH;3Jes!V^ncdDiK=P<j7$PJq^Qcs?8*FGI)7a6&6Q&#HPQ|a3AYihP`%1hndD{EGE
z3w)2~^xnH>M!6|BPi&i*tbE;VUT=+bb<Ufu8_yrBlV&yJ$`!e3k??pyud^B7ZH;Y#
zNsF(z&Fob+JC!T5H864UCAX=)S<=UIWVQz;FTUnBw^!KgRj$d6j4g$i+$Q&?N$Z+L
z<!-r|vAyt=TYK+iGppQ^n=iIqOkRBbn51-i?ibJr5a*6H^g2p|F6cB&dAz9C+pH}&
zW}9JB@|9yVdbQ1t<;H9^Onf}6*Hij>?wt*VmyhX6Z_mB6vGDpaTj}q)J~t~89xv?8
z1fN3i@yM}Y>GL@@+dm#U<}Gb(7I(8E_i=A;q;!7np3NWc9h=izDJ`F)b0cEQ#+1j4
zdsC(7=d8IAv2|nG<ITPLX8UeMY~OhI9G|uI+a<S8ZrFVKTps#8o3>@&Zrw~z-#?eh
zy0xt9jm(DP3+EKA+uw@Z*4!AJwfxLELF=bweYaL_09~)?w{>oES>NrI8-uf#pF78C
z{k2T$jma&`Oz^FmV&Ka(x7@Z&U4HmnruE#iU2jTmzub5^`+3jY&EU&4Ez{EvoLeyW
zbD7=^$xX!<&#77;1|31L(Ksvp%sEl($7Sno&D>CY_S}-Wugmgo?96;VZSL)|cQ<xs
zKc6@EciFNxHXA-)IJadk=m>(!q~|N=rkBmTU77fN>0C+c^>6lU`+V%&nz`G{?%k}+
z2j9HOUncfO=T_vV&lk^an(Gg}aP#xcbK=(VZ`a(u`7WWoc`n~2*%XT#PZPE``>;py
zZIXRraiVm>bA|f{<-n&3Y`70SuYk|O;>Cjr&4>BAWT#kYlm<L|(9vAP?k*c*v7#gZ
zbSQx#`*GPT7Ar~vo<C@5u43oqlaifN0zZ@>m+zMBoT3X4A8cv%V~>R%K~Qk)U?h7j
z-!IuY#Rd-&E*z|67nk9&-0|YUq~=8S>9Rc*5~T*u9&|MqvWLt1SnPO~aOq$vySdDr
zl7iC*bJ@#f?v#S>)ePp7v#5BHaO<Eld%vtr;fE^+joHu3?kV_i>0nQDIA5G~#p8r)
z2cy~F%j_vPc%E?YAUiuZpO~zU<&PH$HxH__Yx9N4uCe^_=0SJ!dcJG2Ipv!UpY&6I
z>1Q<OP0^;?p35fdsNeJ}n)Af!Wbvf;DgBf8`hBy!`F_a(&xMnf)Y<3AloXvfnW%o$
z&uh*UE6w7fBc3}aFZR=#6JoWpDCq5z8IvFTWzD%_wX(SAkmugXZ0fy!epZ=9m)<Nn
z>bZKdnfhBlKg*W|MYlYcPu5ev>sK}Bi<RZ$Cu_im5S+7=EHHZUWY1)7zqUCsB}FGs
zZkT-9&uvbRm1J?z(UUtSul7@$6H{#T^2x5r(tdeXJD)z;Hrd+mofY`fOigwEIW{Fm
zZ=ZlpADCxR`Rd8c$<O`bEGl2796PD4zJBhWLZjDD)=n<>yJtPK*y#O}=E<z;-G0;N
z)Rb&`^JMa5QT5e+*XGoeeL8wFT>Z7*w%s=#CN1wS5tq~}+j--y(q-3a-8tfxdPT8M
zcAhBTc>E~nK!G>q(4z{N_4syKJbARCJ4sws&ntGyPK!s2?i>vi7uC~>4cWP(tnkdy
zLUB{Qtk^3%Egmkq=i1!uCaxX}JCDG1c6XWh@|cnx7H=0_cAXAAePB*u;WgL!-F4#6
zV|aE*loZ}O$|~-xr?+dzlcXC*Q^l3_++urn?s$}R=V++7u->|zGfE2Y9_1A`kG)e=
zc>AcVczNud;==n!r*yOH$?cj^_VL8gWO4r(o6?VGjuwmS$LuLFeEeu?_jSE{J7$!A
zymr)D{C(`1oioZm9z5FA?JDlAXSXY&?BmI!UEQJL*?M-ne>{4$y1P{T_T4uHn=kwB
zn$2Ur`_7xP$uHGT`)->pW4<%*)14=~Z$5_ImRS(|V%dqajOOfjWr~V#_->pnWWF@-
z)E$|^;CIhf%;qwmnz!ms$ZpGL&vwj~GT)l_>du^k;P=Z8`YxV5Id9e-liitxmtQSA
z>$`h)aGuqjIc1k$E<5eJeYTwW-n?@=EFtF)6z8$s;n`*R{#ny(MRW1HF-692o^6^f
zXude_*qxX{<9E+i&E_<}e#d9m&Ueq+X3x&c+qv`Yv%cB8^WN>;`9AH`S!Q$oyFR<Y
z2M?&{&D&M^?AgxQ;(2kqW)^-vbvD~P|IV3Rm9L-8o&7!U*_|`He?CY%cy`fjRde~f
zI=g>9NjrLW)ofGq`FCpyKVLn&YxY~YvWgoo74JH?wa3bpRiF4W;i=+n=f3tB{z|z|
zwr@Uy&l31;3pu3#ab@NW=g#&Z{#3cX3XSgtSDahhz4$}rRzdF2>}`+YFO~DFSn>VB
zgM}9ld%}+*xbSS@-NTyvvT}aaFTPxO3O#rr-Db|$3(ptcKWxd*EXQU$=X=4y!xP%O
z<@72gz7^a&+|drY5OZCH#P@=$hg;gS<<x9JmuepN<=<{|=Uc(?!?FC|ZSH(Gc>1uh
zy<KjZt<9$oHx3K)``g-l{&43oH^08^nTm?154X0P%ca@w`TF79;cEV3xo5UDAE38q
z_RCGPt@*U!QR3CZv)a$g?W_3lD)H`N$Q_xJ-l-lwt*5_xZ%Wn4Pm|uN9`{~1-A4as
z+_#FGuT$qw{~O1(N2E&gQ_+Fbj{5C;WWEKxS$f2K<#Z!`_dQdpEnh7?<h^vdmj2ba
zzDmn?OOJW4oo=PCzUNAH=7&o!o-UcLrhhgrYR{Ic%+HtJJ)JV0Pk;H|lB$=mt1yk@
z&OweIm@}PGzd7z)_09*WCr-QSPv65+z4J}#k<%-t8|sJe>8alND)rE5Q~k+t>#BD?
ze7bCUH2BiYr|YIy$Gxkb`RUVv)6V*r<K!wKH($ohtE_zYv~~LQxU@ZcK7G1%T3UZ|
z+`X#G=TG-ex77a}XIByVVbhD$lc(MFPsiC+Mt<D%D)sE?bp7}}HD5QqOWoZsYghIQ
zeBt8s{=0TYwJASOe4F@K`MUeO{u=q}8qhHU$LpX66j(fXyrAFNj_<d|x4=h>uei_b
zSGGG<EAut*;o?i~Q~R^zkJre24}84%n)}>-VY^qgCO<O16kdX#La^m$#`nTg?(O}T
z?W}4`enQS1I4&ulUi;<ei|>W!jyLo>%0I7-`C$0u@uGfjySCbxZ-$SOuN<GzuWfg%
zHs-70!^gAwJ>{?0-uX~?`M9q9_S!oi3$KH(RrL8;@!;{o{!ID)8k=t)j~ow{KVM_>
z{o|qI-txwFaX%~GKJM+0l+Ul-^ZDbw<8%5e<>hO1enfoP_~h~8{#5z-HEVuEeBJo$
z@#cPgyM4bRzHhvHp3mO;?~>mqKWsjIKF@w;-KIY&zfXRg{966I|GxRQb>Dv7e4f64
zK9hZGUDqF(55*VGE84gJ75T0CG5FQ;Gv@{EpVsyLTKOUP<?>VhTjwX&_5EJ?G5GcJ
zbLTnjzt&0pG5KZra`{RB&GW_V*Vf(ov*owt)8&WHXWGxL+x4g9_sfr$UqA1ezZr7s
z!1MG2=NHWXT&MR#@>B7}^Q!iT>-2t0el&iSe&)QW{o}fIzh-_YK6`%2{MU7PKX$%+
zK5hQ(x_3WzzJ5M${_nbFe{4Q{zHolaeD^xJ-<6M^ubiJ=H}7}l!{<xqOWLphv*+9A
zW9Qe*-(Gj`XXX3!bLaQW=dTm{qw_2B)8~ulH_i923;VO?SLEl<H_waP$NydP`=(ri
zd&6ADOUx-OH$)T48_qC9GHzmi!g7Llg1CbHfqCE)1UA@1&nDnwv5<HW&~TWsi+Ku*
z25*4a1CNGJ4DQS!EGu{dKnD<PWH`=zg=GbAfcOKKhF=W4j8e>VcrJ)Q4<N{8yv00+
z>w@5el7@2(vC!iN3e*nVWT<8Q#XN`GKp?^3z)uEoCLUJsjf)Q%rZe}jNbnknJ@9Jy
z$Pmu#!?Hsx!RWwO26Lu6JO$bZ-ZGRk-Qg|JKk%0!m{E?ULL$NHz+#4eW*g2ACI>b%
zoM+y{@xkbTPeV9k9D9Xug4u!F4DXrt@EeFH*d1tR;ARwK)?xi2kzjdXIfFK181ov|
zA2JWT8`d*kW6t5<q<rF>!b?Y^rZ-%htUb~utWmh>Sk&}{?Iiak`4s;NdmX>A-jrXW
z;E_0C5#mzCqmEupQ`j`Qi&Q)+CoFc<Y6@Xn$rU8~1a!+{R?`)>mE1*29<>wN6nY)~
z*fO~;$t+Rz$eyrG;jN<|>r0LzE06RE>!61NSc0xy+^6u@@f@ophmpjSnhD&FZA~#e
zMVcoHCY*M3YwBT><StS@Q88h)qgqo8w~-|H+QmG!ouW_5CRjVZW7{eIq;A4W1^y-*
z9wXT&ffJ59&SR;RdJ;L|xnmqlrDTfQiPZ}0oA+=UNk7S*Q0{n-eI~b&{1fL1tqR?a
z)0%2{Hpx5*o-kEmwd1v>8op1eC$1~JcHGu}Log}5<BNc#Vp;1AStVnau#PtZmWoBr
zPg+m#Z&W`5y<$&bk%3EK2eTqyn}x`uf{sT5s)}CDQ(7&A7TFxRC?Kk+<s8zwg0B#?
z=igK@%lS&Hg&^n}y^do7>dq#u8GILo7MZ$4cYG6A?o`rZA-l-fCA{OEfURPc^Bm4X
zGne>|e*({)cv>WQKzHy7I4kP4?GQ<_IPz3LSrL5uolug^k*fm2itAcu@D$n}=@l?{
zzQa{$ePpgcx$_<FLi-~j9qfv7Z8P{jY8-hi;O}I^`%&k}X90buJv@fOk3u`HE8c6F
z!TS+%D!`f68T=m=p;zVEwMFoK)I8$VaaAB&(XRc6(4*{*uL6(@@qDUg^cd_u^oDP;
zq?)!**^D^`I}<-0deVMVI4yrhZQ{3fO^#rRWg2H14cHIMa1~ql6wa7rur%@1AsNnK
zxn~(Ox(uc!t~wObZYlPxV#X|kt%<J=&EW`^U#94jJY#a=tV1U4nVgrUmg)Lb&j?Pm
zIy8q5>E63@Etb;D^nL1Q6eqGB;%T##f95n}p@I0}7%pR(XGJq68Z1scb|{9^SngTY
zj822=hkV+0$~|+NF*`A@btmYCyxocKT6fB)X`N{{;6LosUMcddaE5x~ytYcQXO%O=
z6XV)ua(>o2^V%T)(3!SM>1VMsz9&9Abf*2MK$_y2q!~*M<PYn#{}f46J(D$KtHJ!k
zHJqPK&s5ELD_G`oLsAiRJzlI}nfr;J38ISDPJS(SI4T7{DZlC5V18&H$8Tj37mc0(
zk%tZ~&4PTc7BbMw@KOc)Tr~O%Oq^U>PH}_^t^#lOck*qy#ZfBg=K{F{@6bsOU%^?*
zTU;`FFNiI)J+zWTR?yG=Mb8D%h1O2~E%!LmmFDzb5MOA2XeS4=Ae%DyK!AW2(18FF
zeFc_>JX$VtBnz%{k?1clJ>=4oEvTj((_f%`=q$%}r8|8E>WA)fd{?^DZy@^6v87#b
znX*mS2gt2>Hr*d=4s~<rgRaLDeOTIJE|{jg2XY`lvEVc1nvM+uiH3)QTKWa2Dc5vu
z5K1&X6xDKGaG%Q$sYKgDpgsO?dM3%KDxX@Xv3oJv#dzObZc3-dPx%|jwMfKGv#Utq
z)Ip8*MKXOsGD}swGN)|Pa9=dV-BJpAAKul#K37Y*rD|TeQ+8>nFS_EM*>OqYY04DP
zHF!~rK)2srl6x95rB7q|;u5!)U6*8+s(a;6F%CTE_Oknu{L`2zjT+5?=iGM+q-vZx
zsxf^LkNZxURFzX1Q#NXZFY0mMDV3^pYOBWNz;*6B1)ru(i4M$j-zoewZ%TFGJNKDg
zpA=3V*0>xf=UOTA)N{)6z<I8fa!*~SOb<+3w5RKn)v4JUn*;B;Rf<2YodUWl&(0;X
zW0ORx=BeWvrvvR=p*Q3`*N9(K)4NG7wYo>vu<V#e|3ty$@SeMdM#(8hPxMU`RyKEw
z>-i&5o%E)6qyDjf60C+?$s$KB1Rf{!I2-aE*XRorT5RGL*`sWDDp{sCP;jx4TWHTK
z3D8deK;gw^Zm~VWhOd%Mj%4%{8o34cJd@Bhj7r{eG^4*z%gw##vY}OS$<Y^m7ljv_
zADbzWp8VzLi~d5rV-7tBC7vh8bQp?&&jM&mj_ETLN(Nnkr)_vFIi}Z8@NrbnNr~&p
zcRC7<kFAy1o_wdX(EQk5iSNliM=Jy#C-%IQ=ufig`>1m4vc&l$oBoeV$4*Na8^#^2
zkbUgia}#zJKuph13Hc-)$ZdJaJx?X(C#^XW(YsOXadD5n;l5)L{Tm^7;w?FTvSYLM
zxpx*b3pYJVIexNpvb4IsU)>zr!f(fJil^7lX|iZ7?0O{AQEYH-p+);+k>i@3!BWe0
z&P}v<TG)4NWk;~&axK5oImv~6$5(a+OE1?u*J<&!Q0kG%F-ytintsJ|rdg~ly!B|y
zaZAzV%I97p-ChSi0N~f7FUKv#(-qDo%=uiXcSN$Q*znv^i^GL_$0a+BrP6iIO|^Jj
zxbE1@j$++&DRW*I<{jB7`8*7K&)rVx=W%m>7cP5b)A8BhT*(~wLb>CWLeDejq!-RR
zUMcuIb<RwS^^f-SeO5b{GiQ6@y`z=#>3ZjC=I|GaJ<>TA+4b4*T+tl=!mvkcjzxBV
zwmdi8BL4B3<2UCexHrw^yd<2Wa${;jdD9t|NX|{dPgG9$PMEG>e{dd4weTD74fc+X
zO@~-qIr&s9W;_UJI?UN6JViyrH(=TWkETy7?!qA|D|`Z`F0cY`^1q_8!Z%?01DB>>
zEWDgj!gG8sOj%&*=-qUUC71J-@EorT6Cac`onwjR+$H=)<%Ms7+QFMFwVc0%=Xe`T
zNH91EJ_bN_2k01phb+^DdsHNR4W>QtYWm0$F6^VSV_JgI!LKalLU()$v=6>zDHpoq
zTcCgNFH10|oJz%v1gnFKS^9--JU^Hm+{|)bc#p>iqk}$8;hb^m6_XRppvM3hOi!>o
z*v`VuDJHC=`eR0d<-z4F+MHp+YgB*CdEni&p7WY8XlMV)b1E-AjV8VE+GOpSHffE@
zP0yl9Pt;C&Pnw_NKWVS$H`SZ-mne89PFkeGK1s%>NaN%~m7|_slcuO?dKam9R!&;%
zsWmA?ZKYSx+$Rx}9(!g@x}vtyyGY5ic2b*4ucx0{rq`u8OH@6xCv8)C>*=TZ(xb@A
zGkwxJmAjr*lfI~d_x|rw`RjR3Rno&~#*>;!+@5WdVtk4;PZmr%?ddkDM@`bZNcCjJ
zq}85klVZG$W<IH!B<-1}wsY!}vPssS@6>iqe^NJTr3(Kf8y};&PXZ?$_nfCvIqON}
zr01S-DwQ)+)K0EeSwDG?r_t;uxs%F0@2Su9Hk$v$c~YxNx97A;H9ni>JPDpORb{p3
zwMjL8pHxp?S9$HZZTUv!-np4emQS2`Y@JEj@`*Fu!lZ6yK3Otxwp*Ok&&)TT$@x;h
zFWs2$<|x&gDYCRsp|?3x#_Mr`)X~f-O9E%PMM}9}((r$rAtjm_vMg|_TdCCXOBVCo
ze5JN#URheG)ceas>QaW!;}j|1Oq1moC%I)y<z{YKUZ~aEXX3Z~;!L-2sk@n9mRy{D
zY^KS%Wf!Nr)k{6UB;k>4(EB+v#v@syw>vY&E7_v=bY{;I!&%27q{1)#oB!?HmzAq@
zZ6Ci3d46qg+0xIaLp=XA{b5~sYI==lxZM+HyCtXjSIWKLU+^s|d;QjQL6PTztb(G2
z4lXS2bDj&b%N*o%ba8NWU~v&S8TUITcm1uo)xVeRvYxu`)7rBWAK$Eqc>j6c*DIfG
zx=&lqDvmi>XnK6B%=O|sCkl<-z5A}oY%jWVu2B8>U77DiTb}Nizu4B@y^r0}s@Uet
z#i@@2``*deT2>X?ocpNa9^dy*#=n^7iNqPhDUT!j9?G0A?s+0{)^OTm*S?Q3`o%s^
zDyBXz?K>%xU*z+2#>0RI2A3CJSa>3F+Ton`q;^ZbV%cYwXUZcUY`Bm(@o-YRDxbIP
zG)tXwjlzhB8!j!pvhYmeROg+Cv)Z}ZP5H8AuUUpzttnqobmPH>i;0sDr?qFb>++fM
zMayQ%ZnL~%nNz-^Jfq;o!wr`crytI1x8<vrm6H8t`KH|BLBWNG6AmY~EAzR__F2l5
zTRbed^l-}I%ywhGblG#3bILP{c04G!_;AwU)b_M?ZN6~Xb(S&ZJ02EXUU+oj)rZp#
z=eAq(mCL@f++umBJfr+YLB)d$FF#yZc>3W)=k3n@&g%~+x2yBj^8MxWmt~V-lWmik
zXUSu2Q!Y^`Q7Tbf@zCJihf9fv9!@=+-R{|L&KJyQ%);6<v&qSEV#2h9Z3`j{+zrAF
z{0-taIBW>m;IScMgUg1H4L%!U0+b>YBQ!Ncr*MXGo?>0iD%xb)w6&?U$?IU1<J5%a
zgvAMy6E-i1+Ta?Xd_!x6XpZm|&TZVUSb3Xdo8~sfHr;KqZQ9%9cF^u%-9g5Kjt3PF
zCLR<#7<ka|VBtZ|gPsR94`v>eJQ#V<@?fRo<OfX;7Co5sVAF!g0@s4j0^fqz0_TF@
z3rZQHcZBYU-4VPadPjH*=R59Otg=n)O@0TR9j8B-xFGt1@(WQLF&n`zoWEH8S(%$0
z4^DV6Ga=$bjDeDbXb)!`$1zrYR^g`brt3|f2c-{ANoZT*U=$prp*iWyq%+e*yq|eI
z^Lpm_%v;Pu%uCEu%zK*0G_Pr%)4aV@v{kfKmrgP|spYvdW$O~BO>UdQHu(i<M(VGe
zlrte`O3tL5X(8U*JhD`xRku#con&>g%yaJ&$4$<gf;V|@ir(bDDg2VgP4%1lmI{^{
zmMWGymP(ddmTH!ImWq~|ma3Mzmdcjemg<)JnUi8B#7v2q6f@1ld!5HRuXUd5yz@Nr
zyrNXrPKus%dy@5}-IJ;(nVqcl+`Yv4lfxy=N{yG3Y$la>SE=lsbbONfBs<UjPgbTl
z7->jOvYE`|J<r=sW$`4#liVkFJXxCJ^vUZ}l#yno^2|wR0z@>@w75iFyCS=8b|oE^
zIT~}+=4j1PrlU?rm5wGI6*?Mp)aYoDYiCkx($YmCfy*M6YJ{%|3(>fyaZT%*rk3by
z(a<j5uHG)+uCrZPN5x!wlU6SZ+vpp({KmQ!;U*eo8f98#nq}JGG`?v`iT)P(Ey^y!
zF4`{AF6u7gE_z(#xTv~_y6AF|<)Y~#>7vg?o{Rc+ad&lhd3T-eN;@igH1w$H(bA*5
zM}1wp7ljsj7e*Jl7ls%5UtG3heMWeRhMb0#D8FcImwQ*9YyYC~j}aeTE-rr&ZWGF*
z!6xe5mEM(jwD@R8Qi$QQiiHy4dqR9P;xyy5)kO0}g1aR3SoMr!xnjFw(srIH(=40$
z*ywudywf$)S@pPM#dhkHMLybeC3WWMqUl1@MfJ2}y<$(rgzcQNdrjHOg2>04uBFcP
zUV6G}y4G}FJ?U7pojGMI%Wggjdc5iC(rc-+z2}}Tn_e}YZMv+Ub!^$rH)S^;Z@Rwp
zV(R?Ub<>&k*kk#2%9L3?D!TG?#_7W8!g}hlemm!sSw1eh_H@qa%IRv;x%I?j^>)UT
zWtQ!HRCM*}tkb2_rS;5Xqhg|B^LE}T+xfWY`qO#dvrpGfFPqLcon3FP9)Ikv7`dG#
zyT9!CvdgBd^3kQ|m)?K6l6oNZLh6a9Gf!_gT|8ZIdf;@!>Ee2i_4H%aV&Zo0DVteR
z`Pk^Gk#q2ZnJYD~h-9W^re$}T7Mm2Cem41R%5B1J+HKNp>TTj}dfMc)sp>4zSyN{P
z`OZw6x-4dMRPe&c#gVHcm#@6C=6Xn`S%z7bS*BU`D${5a(^+e0MV&SCotw6MS={9X
zHy7Sqd2{K_wKo^vT%CF4&E+@OWiH5Ek+~#uP3EG^Rhi2&*XLZAb7jt@IoIY~oO5-~
z<vG_)GW9a_vh*_bvS*own}nNQH@R+VZenh#J1gw0?%CY4vS(w@+WO9Zwr^SN<%N|C
zUS6@eQj#f`xy#gcR@~X-v%<dfm&Jb$F<u~fg(q`fW}E4GQ{`EKXJ<TXO^f*)WW2C)
zY316PSN2@=$xM^r;xXoJZBsg|b2!I&V`5+8y2N>j`w|-y7bZ?j+?d#zxH55O;?9Lf
zHXPb;DIiP3c#Y8%=`d+6o@}0M-q$=++rGAG9cDZ1c3ACjn)BAg?uExTTn)&+k+#A(
z$NY-46puBJHScbo-MrO2)x5oJwQYafnA@7$oZAk!DYq?dOKyAICfqi;Ex7G+n{nIb
zw&J$WZEA;E54#>#J)G*i`C-??RS#!9-1V^S;j)ECFJ$dV-I2Q^c}Mn+^bF%W=3At#
zc=&ndwzaqE9aeVUzVP^k?24R<q!-3tq~}So@iez79yUI_;o;82BL-O##(NBUq~oO2
zc-Hf*=bhYU-lln&r=O{Rrd+F>tK4Rrv}%#+GaqNXHawra?>JL`t6aB@Shdc_h*ulW
zB=2<ZJkHfWRc@(Vs@!UuQ?_B%Ar)&rM!ep5Zt<n$z3x+wv-S7N&9*VC4yn%hxZ>lD
zSAnlLo?Uz{dAIvs_vYh#{d47Z+muzm`FP{?#TOgTC+|Pb*xxMIZo^kC^U>l};hD!f
zj&t@;mRoM)S3T#W#p}XzkN3DwKF-?TEjQgpuiB(K=Hrf6g=Zh{I?mfaTTb`h#P|Nc
zXZy7L&uzN@uW|qL_dgix&&Ge8ejxsXbChjf<(+Rk9v5DJJkNdh@!I~fe!hNoxw&%u
zw!3WPDod)rRD7wj`Bw4h;`58|KVC^bkbEKe#N(OAHykhS7wix0H|!Uedn~7Kt7a2d
zx#!!AFBOjspBf%sejt6B|3d$X=XK`av^#27T=VSLna?xdZ$6N|@VwIeqjugk(|+lE
zj(ivVX!D`;rT#1ZXP(!ZUo`)!ov2-Q&9z@^KCk=|`9ApR=7Z^r&#TQpYj@Qyx+be;
z+pjCXaz3y8eDhuK`^yhDA5LF>UT^+gyXu;6zf69;`F!(z@qy<H&MVG8Z0BCn_e<up
z<-6iT&zGFnoPXIaz2@AnIiD@xUw%@2@cE+is`F3VowW<ES@$dE^Uin0ho3L=@AhAP
zUU&X&yYiZMzwUg_{Jiu1<#(4Kem=1L^7DoM)6Xl<&zt}9kMjHZlRmBgBmDo*yE#*~
zFJJPf<NujMpX*8k&hJl8|NiIn?ag6Uc6;mo9naXq&sWjK!TI6k$CqC#-+Vr@{QUC!
z&sUyrIKSZhg!9Jp6X!p-Q?^@dmu#nBbF4P*m(PzqpDN!Pzx;eG{ZzV}gX4iS4Tl;Q
zHAFIQX6|Bs#&U*thPZ)!g5!Zh4X%vc%+pwOcq7C%*ep;<a6NFU;Znn<hET?*jH{Wo
zn69y`;f)XvklbLmKr6xdz_A8j#@WoPn76T9VaefL!Fxk2KzxJk0=or@3GN5ZHN-OR
zX8y+VhBt%fhWG~i2Mz}gHaIi3GxxE`@LGr!*gSAKaIztoaXIrjmN~o@;stgKG#@w}
zINIRNIGuSG^E#Fo-W_5Ewh!D6oNbuaaIGPlaXa%nmOH#V#4m^y*e|eMp!~qmA^pJN
zhII|@jCUDr8TT^wGtXoB!uo~XhF5|^f=7a@Ld-zsgH3`;f=PnT2F|wbO$G=5X!_6I
zpYF`IJ*BZ(H1beFu9!>2^j0CW6v<ZW&3g{X*OnG}J^tP9aP>KV+pQT-1;bKrwoJYM
z``{M+O{{6U&aU;pr>35;ni9v-!@h@S21kYH2df052fhbF4)jcDy1->Fo}zSaredq2
ztKw#-wDzzyJJo|D#M;kr&XhLN-!kj;&xN8@_fB3pV7q_Iq&tUv=0$&4I`vgpYSy_^
zJ~wxo)js|5_44y}9ll7RO(rRkCq7M>G(l8R+u6(c)TQdyDeY_cR&qoNZ!$}X^+-MO
zYr?7tyo%D!X017VEBS5;1qp95U1F9J?Gbz8+k{^e+9t><T3?noN+~}vZ^B;1Ud7)|
z-`Zt3EG3FGo)nyzIAO8kai_laIUJUfQNEv7PPnX??sTqg4!5QJC6OY<CrKxkPB^W2
zRx#Wu``J#ZBHbrdCweE$UTAvTB(-n)yEA_;O|?w)s`v8FIBTyuQ*ebrv4H5GE4w<E
z)j4IKSUcgiV)>=$_B-5}+&kqj$z2lsq_D*JNuo#iiNzD%O_(>~-h|_d{!YJ~emT!;
z<7we(<7u_wmgK9HGZHeAG7|fww599$#|yi9vW$fTebY2nonCTg>&!Jf)h;_vuRVGC
zg4UAo6tAa?XJ-8@Se77n>d7tUnI*gJFW30D?BS}EHIn?KmZFv7=HhtdOvj;)MIDiX
zo0YqipShgrogr>$pX7MtP=~8vxAHU>o!$tsjW&x^l3Y_{HILeFem0-~kBxjq?5A&2
zV`tVr4N2QmdEdi$+RGXIsrQ<hXYxP%;9fVC|BcLh8L8iOiLt8k60UXy>`!^^i;e#N
z{pPb{zwYHD+f#o1xIA;izbDoA8rsfCf7o~DfAV$5xGDdCJ-?O_IQOT9m65%3aazlc
zDKnMy{v5J$xR;VQ;ko_ha})NRQQf4uIV<OcjofLY{l_Pr`MuHjGuxUTjb4rJ2+=^f
zjaG}4l6;Tc>L?YQD)?G?m6DlDPR|XIjh2h7lDu7fk6i1x)sZV$DtKG@m9mslnai7=
zHLrGAU!Go`8uj^{&yqS*;WhXFU&=ZdUw3ld39ZfL?r%D8NMDrLsGn4Sq_Lw}uw9AI
zU8d7QDl+@Nwf-Y^yS*pRF#b4aSfTT47Uu_M`M`TpAs>ogXGDD!?sK2hVIf(l^{6Dp
z^{xKCKU2luF<0MapLcB1`rX>6>kiHA*gWy>+PU3tw&~vGd{VCbTzQs~o=Z&64v|93
zMV61ej$G}?7QBA>f9x+~_bo14-0pPkkS$c-WZk}7@VnA2rFZUI+)G?+I$rctNL&>C
zsIka;k@_O@M}<cwckJu<*Rg!!-M`z?te<$_oVQbNv5M7HRo1j!(|jwY8FDvEp1v?a
zQ1!O)xuj^fCX>{Z<2!qP?3fW)=PUWQTx0R8D5GiVTFEtP#^2IQk6l@FDq?m}>dxh1
znU#AN^=y$kd1CRy9uKKW)9$`FJ)`fq<ZDBL$Zy5&ZZ6l-c+z~2rG;^`DYq%jbLDZL
zCR8hDC}b#QDE3k5Z>sB_o*5k#q93i2j2`(O2}#jBx~?Zq=d{PARXql4Mb30+cFdFv
zmIxLx)=n$)DLgZ0#!kb}Nzab!bVN#Q*4U=<=FtpQL+|8iM|Ju&J0mCltM$qBi9EAv
z#-|xq4Mh#Jldc_G)4j4MQa)I8vtnBEnPoH18eTPwPRdH!cI?WrobHv~H|2umFAHo|
zPD?+t?#3lX`8SuIXP(x1a<XYv|F=u;w;kV|{O!n_-prnx;+yTCIh;8-!`ZMsx$lTf
zuccVA%|EH;0;OjuXI9R*Y?z*O?%14eOZm$p#fs08&McjA+VHGlc+$FKG2J`mij|+G
z`FQ(epIJNOwqbeFyJL5{GrM=nUzWQp_*r3@@v}sq@H2~Nyqhs^#=RNG4gHgTCH+dC
zcZ}x<&oQ2(Hr<kam2$>H#!|*&pOw;Vo~52~IkS4kb;HSq`AN%?(o{rL&iH8fXn4(-
zYGA$4BGK>Aot8?@&%)lEx*icTHfSUk9-7p$l=HOEH1#PeYdj)m2FwbWwn1y5O=78&
zYf}0Cmu5x2hi)Bt_x)U2^?u=-Z3VGO+mGAcl)LfHN%)$2h-!|<jTsv>6N{Zn4^3;C
z)v}gzE9Y&YS3=v=uc(`-yz#g(bAxtb`Js6&dpUbKe+zw6m+`QeQK0d#;LyaD#hpuS
z`c!57EhZKiJq$VYvc;G)UD&(pspqcZlh;?Q{@l6rjF-`=HJU%WKA(DjKwl-sN5|HD
z&PTiV!IqWJ-UTJi+<p4w^%Fau9TDnCo~8Zr_sjy_jYk~YA66aeZJFKq)HF&cN;psT
zj^B>S1?GG6ouUu@eX{y(d9{=KCvCo`j!BX;&$YC3#&Y%xdDmZ=S;DNpy!PAUni-|#
zPX3>I53M<V|5;>xyTR)}zV?!7w)6IW%jemg_b2Jr{J%fGOQqeNt#{_jU9T73FZ?TJ
z8B8#kVK7B+QOm=Y=bXx%i#d}y^@WZJ$En@dJ5#0fFcf55yt<E?S^0b4Lm?nu`jX$*
zFK*Ag#`>~(W~S(}&?#Yd(&b^lu7>=&?X7<_^Q>p~y4ph}&z6cyi_VG@Te8()ZB5Pn
zrhMVty&8YhyDvvgx^`*Hw53~Z)=t_Iwq&c(+DltbU5c6-RvESW@+yyP->B@%QPaax
zqh4QL^}p)Ry?6IR@05mCz30u$^~ny7Dqp_Ya_<)3<yL#Q{5SmaEBD3MX<y#1@O*vX
z|NR@UyYJ68(`8=wUZUD|;z9ra(I+I&O;F=E){MGQCVM79k+r2f*8aom;`O=gxBa%s
z+S=d0uel>aW5b4m_rWi(Z&SXUx5+na_SeS@Iky*Wv(4>ZJ~!R^O4+KnRkz=M-5<)g
z?XAY`<Zbua{`0#f-hO)j_x@?Eu^*3ak$)C``MoxG^^fak4*aS3^MuDo{KMv)O-r+P
z{JNc<xcu|m>#2#)k0<1ByL3PP$9_fb+v~n;5q}f2`SV%7qZ|L6@D|_umd*Ovvh=wL
z?Tg>CS?j#b@VR~L+s)gIKcZEVZ-;F-e2#Btjo(_?+@A}gtY75B-)#I*cHm~-u9^9k
zw`<G(-BkN+p?=%%RDbiPw=#bV?!Eh$_Hpa`rtHs?FZ69M|G8DZZ-2Suwr%0|l1aD!
z96$5MU-ibl-&JYb=1$X<XTACD&%@TVXrJF22h3czebQg{_h|KtFV_BN>*gFhTft_V
zEXmWGGQnid!OH<`q0EvwU(+?VeYH*a#k->9VerbEl`l>nzO26SWo%X%mydjn)PD=p
z+lwy!o{;i<OYP+JlowOZpZg~;>682cT_xXV6HhY**WHV*IJNkaiyZ5x2UFUYe)4b4
zi2hR9?IX5ShpDzBKy0Rt(_U9KZuj>KC%Z1|SKg{?6v^`|WyQCAMxRQSN_PMIf;0Zj
zShx4}?xoq$8XI;@bzk<){zc7O`TeJ_tNj)E`mWJ-edVn!=Qp?8|LTAFQh53P-}lll
z@5}Em@Y|oOzyHtn|Fi!6+;aXCyJh{i%G(EzU9|sy`TYMUo6ob~`V{~4f6cd_S3-XM
z*v}Z?&CDXgz`(%4;Mx%rmN3;{Rf?H`Axn>eftP`SAtb-RH$SB`CsnUJCGBqH#k@NT
z0yXciOI&+%>yPgv<Dht{l~aHHof{diA39|P@1o<0v3^#!Pru=5OI5J{{@#AyzM9hy
zyH}~+{ph(X_5aRUFIG(t$=SBTu{>tA*q?nF8zj$nz02IpSuV8b-%j6a9SJIDgg%=*
ze82A|tGM9&&}TZHTiDsJO4<a83BR0jU+o$Hp(nAQ+@Fbi?)_B#zr6ByTR`7lxt-UO
zzdf~koVPh;bBoSqoxh)s>tvt*(^T00=(6trGrN-iep0`7_kzB?+y6_?|Hgk1teJcy
zM8HgXN6E|1qSef?VGO}vnoU+V?oc<Y*QyR?51PDxFZ;^Gi`QC1j51z0XGv};R@%xF
zRXK6ipSO2Be7{YrTcTDU?H+V~!Bq8?=@(8-y<(j4)ccj>mLj#UJW-XCrP%(To_nPr
z^R>U0#GX=(ia9bGLLA!ZMG_(Y?^ny-KPz)frC!Y<*CS-l=btSfrIX67v=n^yOEQ|p
zF~nH-am?uCe#W@UjAyQTrPuk4@R*eaiJ@tsHNpk@{7a8mSAU$)vhSI-Z0z}03h5V>
zuQVK6+;2SJkms|2Gsk-!AA=o6mkwn}$FR<hYYP`FXcl?7v_0(60pn{kJT&xX|9EPg
zo)hb?u^@83xXJun*%-mU0$N+xMH$v*$W-)}X!~9{@yfw~@fgRCEbjI&5sp_bmoKtt
zUYj#dex7B+wAGPi(rfn=UtxW7gRNeB&Fwk%k2b9CH#2)Y^UQ@)HYN5~c^<X?e)BMV
zag(mo&*1*>+&uf~7t^OyZM`5|p~zO)Up39VS*?Bh>|@s!c7OW#V)5%|w`|^2Wj)Xg
z3(I-@OX}~I=PX-)=VzTaK7B=d{%cL1q6$l!Haq_H@9uuL*rm05?&@E&gBR~A`RW_P
z`sd^gDNm8@;sM-z(#NkoKCoV0^!b+{?o39xYFCrTS4;cfdrIu-S$n}_wiNsP*fq@z
z>9Ue_&og8)k5+{7ameT9{7KX2RE%L~ICi5vU26S@*|MKJe)U;>oVe<G=v!IW??37n
ze9TnPHF0_MH|loKG|hKLC#BTCt(4z)XX4q5iR=si9L&7-_W#k}-X{}h2AlL6{$WDR
zM1jXm7Y8#iF!V7nFz}&dqRhPHBJPuC&Ytn^FZa~(>?uF3-}U{Bx1QHo-?Q4DX9`cB
z)YtdcHM(MKZWN^9r+doh%*i!gIw#MZ-@M67$Lrh)9q&*BlW>Co1Lh=!Lu|c)6%v;+
zCU7&LT0bvvT8uUW1A`R<0|P&b^(ko;DM^nX+ZLz2wGA|5V`JlFV`Wq0b2IDPy?cB8
zQ`XJ*?zV3H_rHB(S^a&sG)6RYB|;Y}3qs9AH8(jY8)R<n_dFzX(R8otx%7gSgMlGU
zje$WJ*;VnWiA6b;dKD?jOQUWVJ@OE$eZT(1i<|7#OvxXXrcbM#)S96!#;n+xXRW3t
z(i-Bhwbd(FHF2}-=l7E%nQA)so_SWXBUfPQslzKzO;+b#v*z-t7hbcf*bAR5UtA!w
z<Y9_Q>-(EQqD2M!u1QzMNhXGt?GySjqpmc3lg;*BhBLQh{*MWL9{F*G-OBo<d#AhK
zeQv}2IPGQG`H#>4?+$+2Y?m?F+n8fd#vcYV=LMCkyrTKFzUpxAudrF3o-j{4_|cQ*
z{b%jiCnrk$UCFWkuzqB6kIrk8>0xV6#oOPwUK24nal_KK_g~jdYL4W1x3}iMELZ5M
zgOT!2ikJG@&hSxZjMzA}xxi?)dcu+u?12w>|A)7vSjjFwtiZLO<?t2x|HgAG${l(B
zI_5W=Kjb<8Ur*k$&nXQjUj8pyINQp0U+=*OZzE)GTJ!i8ru?_(&t1ON`gL2#sz2tJ
zm;e1<zLVj7UX{4U+r#?WHPdJ6aB=60y{g%K(ro34?RkR#t?zEMpR1_--Fg4gz4tfO
zsq9_)%YW0k&XR*&U!1Mk_uR~!^I`g)4|4*-_XHG7n%lH~_1wVKrM%*M@0~AbtN%5<
zV12=(=X@=x*I3tU9N^t(F@I{B_GdlzXQupW>Q~g`G(4`I+SRFWIaRk{`sL=OrwV5X
zv33}-HziG3(|VIp(NH>2JGLm{-;tJ;)APeS5)=!1;!dxbW@(~&O7G0>jn%!(Z`0LG
zLazyJog?A6Z`0#<N*%l6l(nP+MLISgWMmJK>8MY4(m66YHTOYXzxYJaZuKel2Ml`t
zZWO=ADyXd!ZW{aS$*Hu~0O_by?xn|4k7pW3M!ht1SeIH|nd;(M-L&;x?XoR$E^Dqe
zNDCU|E<L!UY(w^9G2T?ZcL6__yebuMdhQd(acPr5k?GB+Cnh@`GI%7`%5J>dMQZz^
zfS(h6QgfTvKd`>}l1<D;YGzf<6uGF2%G1whUCt>uBz2tW(2W(dUc|g{2oXIb*6p+@
zplGSg<{Ad|zrh}P%@z&T`i}FT^(KE%sxsp_@cU6~^7}NI1Md252LCwjrJOi);_Aos
ziACJ^DtMIh?ff3`F*f`zknwx>(5$0rc6+kb@w_|X`dxcGh1d+a)x)oFPP2DSp4W1j
z^AOLw^gw~f%^&j2_?i>hmkA!}oPK6<=9h-)>i;V2c+}@dYV6!q*Q~5|ndR8S*cmL&
zCC}IYXnM&JApAGF=G9$=qnwXi9@ightT?E{;&Dd9DXM9A!X%4JZiz;YYKblVdrvZ-
z*`vYv_vel71hf9M%N9S_w>QNXXrDNF^rhYLgX>sl*$2I8Jrb$e`S3}m?)TO^J5`i7
zN&U8&!W3K{;jq)xeQM;zC#zx{HN#sEoimv%+jQxcypDeL>BjvgyG-|{9DF=Y`Vn{L
zn)2lhdKV9BZrRFWATGUe(v!z8UM*HCPGQImPL9y3Jg95#Ce~E4>)MLvZjnlxQ~l+|
zcDSr=%i~+2B{X?j`-JG+zSLcxv-a}WJUgXewf)%_k;)wX4FW~ly=zn27-a3PYhFlp
zX}J^mGg|rfwTEvnZDuGsedZ*0p>CJzq7|;~I>&;po_OQ+`j4lmZeG%)f`ZSj(W&=T
z+jFORn<QIW8GNz7QEBE{dqU!3-pSUByirzSUU^d$-J3HP@RT(j3Me|gR>x6Suwa{A
z+P2L5=Y-GO#T9Y$?91BtIZDL(l;D}rmnPB=--y=gUYO#^qVq|2*O@sIN0+2EJ`tP2
zH8XIn$-Bdk_e!69by9EU(Pq)^XwE+zb0@#=Jve(|{3qM=#St7ktoFy(@=Q0E{N`}T
zBmCNJ!7aSEj_p~}#_Vf5?f23E*3+`FMN98>rAF`fyeFMie9G+hZN5WG_c*$S9=pnL
z$bI_;gQBBy%{!Fk(@kTJEw&NO(mbCNe6givet_bXn7obg%D%~tPfqQ<dowC8;Obwe
z1uU(J9MUtD8;>#uvY%4ZUgEIK)va>H2Ptml@YnIWnsc?}d^#>S#e}uq%l*Kn{{58B
zkyCP7!rZFiucN>JV9VYsW*YWw*?}~f_o`=hihSMWY~8$M`vZkNk6!K7+Hacn{KUqH
z_8x1q4CTkFk$F=uss?&?pD&XOk6CK3=)NtqvN`w2Ia!I6TXX-eT&pAS&Hes^XQyW@
zF<dIYC!G7&$1U7-$=SZ`VKem3x?NIAcD)o~eo@fA==XZ<ysZ}Bc-gl*2UXa7s=Zhc
za(usw{Pt;kmi3zyeDn=sk9fqDY_><{`;O)?v+6raNl#X3emlAA(hQ-cpZ8`he)TtW
zjZ!sV{ED>7e(f~D#G{YGnxB~UFg~y8ICOsdy9Sjn%;k$d7X|T@f3|3x&9F$};~`H2
zmi|=#bvvFm^x3{>Io7!J{Dy<xcN)W+J|x|HWZ*hq`1LIH`)dMXH2F@iI(RDd#hZy<
zQ!nj&>*Vy-=85m@3zs<Whl%iJEm*=|v_WICc(NJC^_a#m{RqJ)&3OvKOD8*)|9jBW
zbj!o)k6HEZ%dG{RYb~ef9NhWrROvGFkObAM+b&&mThPCa&tTSzwkREuETwJNM61|z
zY7YGI_&ICkjr97D^4!|t`~QAB(s^yiT{aU=o3$HLbItSBw8CSxmV`!bv3;WcP5SEH
zgH5L=f8vQ>lX{EEI{Px$uf2KeuV?RfaSV?7WyJXUw9s{%i_1OwI=@KQawUJe|GeNO
zOM2DJtnf{5t2_2Pt-t;LYlKJTsq<V%g}?E)bAP!insxtP-PYFTC&K>U?z_yoTU97<
zziqL~-r0Q2KVH>xx?O(p?rV9$xocihiJP{*epn~+^PBs%vR_A5%gX;lYc;g(b`<mz
zW?*OuLGO<gmn7bu!(9*(I_tP_;*I|*4T>rymt|l0sk0bw?R$Cqu4ntIm9tEzE#A8M
z(XOnWTc^I_QZ%^P$vK&Q@$VI`5-AK%RbTC}u=q4hHblhHL9VZ(Nhz#=rG)YRA)Xft
zlun%fd(QH^TJ8J4?_TbX4&EJ_eYWT9_uqFv+kKx`{4Vv~kB`3PJ->HH|If<%H$kzo
zvhwKRUMc0m(%!<Y6Z?+6K6X{&@uQCy7Tk_rZsz-@gI6h|=KhYXyMMla>fQ9(I(qTr
z*}E5iOxdDlsTXRmH?`;A+0yN9ttNRFVuh=sZmY#vXLzLthi8B0eII{sBcpl#{B3V<
zE-arDUHfe%qp*|teWQQ7ZcF9uE&Stq@yGXGmRNEB_}Jj;Qk%f8h`_iPr=)ki%gaC4
zv;W(+*T!q##@=1JLgeD@w-*goX+&8q+`Tu^>%6XRtnS^J(z;<yt2=mA%cAQII^G9v
z*s*i*+O=C(Z(aO%*R*%jp5^^Al(APaSh99yanb1?oUwO(&*thXUvS*GXxp?-qvQ1}
zGN)v+d@$zXf6aaT_oLWv6?eM6-+0_6`hTbGybX`aZ$I|b{&)Uch1XH{2l2T_O*YFm
z)^Be8U9?yIzS#TIrERwNroZ;7zH`R3A@W<rTG9Er{nsNu?)+A9tLuJ#|Mk^Brq0lR
zG~-fLxOcSkZU6S<i9bF+I5+Rxw`u1-3jdq<Yc0!k*Bp=QbA5Aj!`8QMe96T%&1deN
zlH6$j?zOKE-FTChR^7Vs>e(}H+{v?N&*TknlWm$R^gA%!+k3a}VWT}K9E^_}?K$FL
zeA;Nw83*I@4=Y>`9AwGQd7t-k&Xp^7gbuxA6p{AZ7%ii0)}kMKLdTgSJ?4atBS(7d
zi8K3|?(=9=J?#qk@vE&*F0jF8Po7hD`LdlWH-CQTvrO&stj_QUPI|{z99SCTw}|Qf
zv!Y3&JJJ^%>s<Zm%!#J~m1)n4gaXe@@|#equ~;K5n^n1MXH?^+9r4;K+=lU7%ylJ~
zOA~b_>~FVzH)D}TqRpG5JqB`LlR6FLz9*eD2&>u{qIhjZ(cOC&1da)xD!#KhbmzQp
zNp6N_USSvF?*`>eTd4R~@zoEBxCs|8w9I?=uJ4=A`6)iv{iD=N)2*V_PEVZQ8J@H|
z<kX9{`xj@vG{_fh%UuxmsN7O@vCQtJCX=RX%q)6yReH)X=_=*GzPP;;b&fmkov3wu
zch%x;GRMET#j4spnWd7LJ!#_)7carNF8@~@>73K4dhAiixg$bf))&orb}FxOllA!*
zo0Of^iv8yI1a@}+N(s5Ttkzw7rPBE+lhWf(dY!qbuH05_`RwIn&(Nfab~C5=JX5SS
z+B&tuWxmf^pJ&&9rCgdCaZGjcnRgd|M68)MY4Pp1lX70%f9BJ}_T<-`&dxaP<8JHT
zOjAF8AuP7+n#8S@{%yxDoc@&(lKJh`WY3VK{dSV3r|w-+cV7Hv1Blu8YVyLsZwtZ9
z*OM0pmU+$ZkxGq!F?pf&)yP_-Qpt}?c4#b}FzJfWrGEk)iMJH?Cb@jzUddw7x=Qw7
zj_ms0gn50}T|YF;PQS~X^keGoj%^2hw?<5IUKpiQ`h1e<2IYulk4ye)$@;r&2s=Jm
z&}QZ1D_!SbSZsadCc8ZB&Z5UJ6}EZDUCGf~t2Q&cbz((iQ=(sh@R7K0lUxLTM@-;(
z<SVwM$>KoMErok&j<Evmkq)Aj{j6UdYu>OV=}$LovN*@I>bQKQ!`B}@tRelE{S>|x
zEA_9BaHyP<%J{T!9_y6js_X{gWvMg%i8D>HXw*31p)+m6ereSuzP~(d4o#{^7VuUr
ze4=uoh);s$T7iONf-L)_kQeDYoK8RMVc*!jTg&z2+lLOijph~s?hhE)FNHKX$1tWu
z2Q&UV5F6@$vNn(1-Z0%&Al|z1Mi5uQJ<cO-K@ItH9dguJXK=D_nDx|7U0^vslTHa!
z;U9$qhy4`J$+JY9Vv^c$dhUU&Nn8CC`qWq=&NF#sO=h0)*r-F?LgCo;ZW)2c+2-s=
zepK}`dotx0Iq)pJU+loMu-rnS?SdiS63&_<jD}u*Hx6Fe7g%(Ob4}nUb@dHyCnZ4y
zD~R~=-m1aWE6uvm)a#jLqp6peb)%`ov<(fvYGw*YEKcF&e$iE`v%vZZo7e@}qHg9d
zy{lzq1RiIJvme=U>oB9?x_b{AB*NJ(6pme0<m)IbZDCG|sXf4GxX$jWLv1pLx~fLr
z*&Ej^XZqN*oVQd7yP`Pf*@Oty_7AG<8BeA8A7po~d*lA;jz&Xq%pHygdyMA=eEiMz
zrZDt@(3$BU4@MSF65pAkrLOx#Zpz0CQ~LCxu5=wfcjoTQWzXKtTV_`5aqL3|-_ef5
zN<LM=!>eSt)>{8pZlAR3*ySM2?V5KV8SLGCElbvU$}Xw%Ka6{`az3Q1t=f2T{>(Lp
zK3|eqYq)Yk=#59t-mV*cvmD>8h+HCkRBvs9%g0$^9xfZ>wN(~dED&8Wp?!-}u86zD
zK^wWStv@CRo^ei6l}Ts$ydr{gekN;HyKz+Gt%r-k7G#~%xWXB7wtA~mtmV7uhfb`#
z6S;SVo26KxTbQ@Y2klIjWxLLwaWz${@Hw9Od9xRY@B<N!$1e-!T>bdVDMw#t*Bt%x
zQ@J-f|J}EDVWLLasr$`W9>}-F{XG8u+g)exj}v<$)VI7ci|rDAw<54B_+7>#k>z(U
zrf8nqxp-wz&Fj8ve232*+!hr0kN>pCeD(YnOMh&&_F5YCuurXPW0>1-{>6`vR@@Ra
z>iRfGd5T2qS)-6u+ZJBi*|PKQ@=E>82}?uf2U;A8&6~&O^3k%q@_*t>jXTCIJMY|K
zbN|?|r0?|a^PHzP264?huJ=;nnwRqLnFZ5-`WT3-8`>-lf3zg$f;OAx?5|!;Q{Gmo
zdY<yGQguDGx@zgPDYaEg`=-S0ouu}3LEK99r}mPrw)JOPOIiCoRnKUC>fKUcckZc#
zsKw0Fo*@rT$MES2ovRd&)cu*f<H6b$N9%d+S7~QS3mn#OtJ2DH7C0=E@0!b-6!Bv1
z3STDOgGCx!_C-BfyF%8Lr@vA=OVON7dx=q3@Rc+r<#PtttJIg2i5c3wT{&a&CZ_YA
zt*^aIHIE*-yU5?o=Z<WZtIwTS5Mc`<%)fNa*uf4W+ChXnh>(9V_17^DyQy8rKbuCj
zO?K`Ud)n@`LwvVj%rt`oj#7+*Iy-_6KW>ds+gVkiAHM(PLt8HGC+~x_jxCvX&QB{`
zt+03N!;YlIOB|;KZ42D9N;ISNdtcast9L!uI`E%9y-sM^Ca)a}PE=OfPgRXsCYm9X
zH-YK<vKw<GzU-OSRgtvHzuD;Q+q<u%r8j=Mt+?{J^yL!OGS9=0m(Gmh?>(BQ`7*9(
zmAUu&qMNCE!*q_@?F|g?jEil&(mm0D&nvUyTjI{h)fX)kZ*sJqyjbCw)W2PFi^x1P
zpToTzX|F7Fr#^pCVbt`~Y93$m#wXiW&D<*A8#?Lcs%!VT4Q=!{zSZs8J2~U*MCXOO
zKdzJE%H8+<S-^{(ztZ?x&3M`G>R!Bm-o(D&D^Krx%$#TE*`peNeQMETe|7qN>6~Am
zdisKS^<G)zR;P(%gUHtwxz*2JR@6%Hd*n%LKg%jN3G+&m*8c1LR48Xs#RrwjvZ<Lf
zEp{$dYgua)F-O$oy>a53kVwnGm<`iyBlZ}!?5&x^^!E8g#}~SCsvNS<0w-Mvlv~PC
zdtz~v)A7m>_ZK4lms<8c2&_$JNLM{&l`y$jzvHt}+>?&$EQJ$UOOB?BNjUmQpKEmC
zxU5<rZ*z^sAXv$LV~;@H$=IY5i;wQSqdMiZ%{!q&28jbs?<S>ibno;&vidiJ+%r8-
z)(tJ)*V#Tuh_B~PuoU-a-!QBDI{U_(H#>^v`~KZHmGz4J?Ffgz8=G0L1nFC?)^&7~
zdHL>>!$*O#Vvo6k?>{<R`Lf>nwdQ#yrW@yvPG<a4RG9UB`%a6kzpZ2D-1@5_zP#*z
zL-%#|4^xfx`XibxUgx+-F8}wVjrGx0F76%%y~4<VnkY`;<!ZAI9$ne_?&+f|F2y@6
zOfTB#`T1_?=nfXPJ#utq=QWGRk9zFlnzkCvs}+;iKWZf@Gf8%h=F#AH8arR#4O}d8
ze%Ip8Ae-lXL6dWeM0|OlGnnmulyrdY1C#jjRc{JHTYn{P_ZC}k@3rB$YOrTq`N>Ch
zK}~=4W>&4auG-CC=k;Nm_p&^jH<OO0+p1bTnzS<CzUX99Z&cG`y`6S#pN%r^ch7vU
za^<Bv&-6W_+1>VQuXr2IzixQuigr@D<?*Ev@7;d|&0HUTWLnk6IKk*w?q9lY1nFPZ
zPV(Lxv%W+3tJGd4t-XFAmT{G9&PsE`u3JIJL-YF&%B@V9-)A8rrE;|*JnD}2f;#Un
zm8N~ajC-^8yg%;~@>sb(t%%3&Y^=1_WAmMtC-d*jiusT(6}m&m_ubrSsoT1AU)d_R
zh+WJ!4V|HBTU#{cwT^t$BCg_^jFVb--aC7TCN}b~-NwcL`@*YL8?LlZTX(2PUh3|S
zFz;UJkI&wf@|bzIN-O{U{XT1tn(n&ix%YK1Nxb};eyPL$&G{vQ|L55oNA~*vow+ir
z=Gpl*YmZ6Rr^TA;{W89sY@j@0^VOBQ@>8an*%qhSy*j@nEdCvccnu=npI;JIe{a6$
z+I@#X#BC6vU%on+TlVzac|R);9{=a#^?8Z?<fy;i^=eyxRf32u3-l*P)lRdY9926H
zB;%Nw6+QRWUaRt|BFos;(o0X?)lSo#uPeRem~Hf?EweV>cy)a7m%8W2jgLJFwU0~E
z`S$bUq~yW_{qE-%I@`@^oj37#O~#j=KS$c#&YuXjGts&oZf8>E7WZM{MdPAhC+<ku
zDyRRx@al1d!q%*?*Y=Os?>u%(NM)l>+{fwr)-Sm4ne!t*-X*&2?kA;pKXdD(OxW6&
z96Gyh-}#9ze*R_8EZn^MzR=?pQp^9$Eb;$6**^Hr<v%mGB>lB2&t1{8pXaI1`5L`*
zU(<tfpZzpmX~z9`X2|TLm#$oEOM9Y~S@?L%|KIwT=6zUr@yOq`{JN5dgM%Ksh25W4
zws(8d-jw=t(HrA7<$nxYGyRSAUeVX8zqRjmeVz2TH2>(W#_!JpdL;Os1_&9-d<|Rk
z{loie?Q85)<(~(v&`~ee2$^=-QsmXk&GWqOHy(7|tHj6ijx$@dFri?5oFF?}@z*X3
zgNOy;M;Z?{SGmea{Mii>?SDD7<gvz@30^C!_$Oz+e{pV$=~n+GzfHbA(6D*v`tp;;
zyeU^+b00{toHw=U@$Y?W_^nQFoN2ttuCym%xk*kuL&{QtgW7rXzV;@v{JvwO{r6yn
zc8rO=y-5HISD``7m#`Z(kLx`^b^Jn(_NVzK+y9mXr8%8nBD6=FxmokVEx&T7E?>Dm
zWupj>gMD(hmIQ4OIi8YpVs5$F{h67wEJD*_8bniF?Wf!nNm%;Fb0^P1t&f-gsBPdl
z-);Z3UP$6VoS?=g+tVpE`?c5cS@7?8^yrLHL-V>FRd)-oh>ELEWUP79)$M#->CeTm
z0~HL@a~9RuoMcJsDLNL)_<YBsR{^Ds9pC>yEt%-SDYuE;l}j}uiNEXg=?^nFKE?lX
z-4N$+p8xNu4?@Koe^wba-8UBhzJK?c1IwGL|6M&<;#SjD5M*&P_)?)$RNv(&Wxr$B
zqYf)PZo1|%!7z8rIgd$(!P!er%vfxib#lgf(^n@V)|g&Ou~}sLN?Lnkh{T)kajzWx
zdF|QyxizaVUQnNT|GQ^)DW7-GZo>+rc2%|{RkI2)Z(Y45TTTWCo#xie{W|3ele3q#
zt?Jez6E5ZXd+Hi3*>XNO=roV!*D9~9PKBk;^Cm^9oX{*hbNArQNr8R+HoRXQ<5l~r
z)0Wshn=Dzo%wH|APk%2@aoMo~KZ#xM|DG!JoZT_wz-47CXP*a=QO-UOes5`;ae#Yk
z+l&MJnY@OL(=&Jt8|xRF9$|@FY5GdqJ$bEx&Af|l68`R8`_%s}O8vGxCF|U@#K{Tg
z&Y#iQ(b}B#X=<W0`_(1dhyD0gopj^p<Xhz#)^=BB%H-1;hwc1lXgIG|_nG!^g1S#@
zVN0e$=vjk%$um}^O2`}egc|kuODuaOag4KV<!^Thb;)IU(up<a3`)0iJmzj&Iom}d
zU1C{Uoy7AyXXdO)-SH&PBzsm^a@CZCp4OS$`ZOQ$2j7aCJ^Pe__ly{$!c&j!^fLrv
zUa$(Y$bV{jwBB6*W7EoG26f5`!Otx=PL(^8v4<<0Rr~pjwZ{@|o=)^=GP?2L;G`pF
z)dqnt-pZ|+cEek4P2Y{xayq^_^VwG~KlQCDWZS*Cn~p!G{o7e2@u}-m^UsTaR)5O+
zbh*;pW}dysPuI$Wdotu)KV7N}cldMs&-CB++y1Zme{xc&f=6Y?nJ4x?4`x2IxBlEb
zMU*>5tMVr^_lsYfnw*~S22b3x=aJJB{_4cr2M-_r_jIc~%jZwsTy0`J3)UXrplkH)
z9Y0&pte?!TYuQT0dNec?r%n7V^zrfYwf|kTf9{Z+Z_?PH`%iXen4_oTM(Nps?n$i9
zTwJ@~y_?jix%O>kC9^r7#*9Ph(KYUltzYY1%6oU5jZeNUAA9-3<Nb2=x7V5;-6(UZ
z*ZipS&6#eWx$BOFulVtvTdP>5?C;ho&;P5O_KYbOx&P<+>P0WOPNf@NmpoekJAKWJ
zQywLE{yQ4~d*46xf4;1G9`}D%)JeqdJGZj$9AjWOag2e12YI?quOg3u+u_Uph@6Tr
zLkm|Y1qB6TgH#I(1qB6#WV0j#xtRz5?AvkRv#iGfYn43@-X3DF*r+|7p@ix6F6m2*
z3|HUCuj0HA<=85IndRn}{KQ1=XExGWP8Nx+_wAmBcyA066bVrhG%os|nX;ow^}Of7
zK#j7lLtD36GB*FW%GSH8IoE1$o5H@o3#NKlU;Xy#i%Vhd?`4Y@9aYKrc$Vi8S6|=v
z#&tI%Uhe1XOJZH%U_F85DEsMO`){mSxc}iosnVk!-IIh(#X|!Xb?*xNb>Z|&Yny$|
z?%WJ3+uE$0{xp+r6W%P=H2(Ad_Mf(&6#Bg3(A9}2=0_Z<k`~(9d*`}wMcB;hns<kH
zIUmh>^ZSz4)jw;sW?YGWs(wJqd0C$Rp;If<4%~2<es_sl8e6!nEa%=yn`MOdyMFkk
zymVX5l;qjF@5<a-v_b5{-;<k*r#v*_E>iUt{FQFT;#TrO=OTCN-zD=S)9c>LsU&=;
z)|PIbzJBsGJ+4K4GsLEBv?;prSF7))uAT0cMz)8^zZ9<@YJOyxcE9&t@TJfd#R~F!
z=GF+XZk7HsKW=Htx#xQqmR3~D&b^SsT5a!fhxwq?{Y3$~MX{pq9e=DYKFrU2#iVa?
z=yk;gc7}VgLCceip0D4*D{;Rfuk_`vvrZ|R{TdA-!Ix@Rnr2_;O!(3*F!|IHYprdk
ze!O>x@x1y-{$=syz=ow?OL_y3_@(KW%__4!cJl4=qvgsaZgUpcEYjGRSaXM2TSL%d
zcKq2R43*CJ4`ly~WB$CrrAlt`hHKx~_b^u-D4cO#@%e|~Rs4oCS3bUQ{qgrVD;EBb
z6G%64OwgV8s#^CDXW)zVVu}Y`cG=E-ux9yPlPQ-j&zXGfiSY^v2~BRzor_le`cmk4
zxQ8!sPV`hZ)g7fiH#M@(o}Hh$+Us}KUd|5}Pk(W=nZ?|8`|#t6JKTIZRvy7c5mA-9
zT))c5-hL2wQ)Fk*3D>~?YfY~lb>T5PE9DdKWiGo>*O-U-PIQE-O!Blf(|$JJjoP8N
zK*AwJ<KJ3)%f)Mj(}V0MK2s=AnxatbUU&b*Ibo(c^-X`KML6G?zhCC;M@jJ#1Mg{X
zmRR58;Y--`|4}N3XGvk8%OlZ-%d$0JHdg)r>G4zhWvBDhd5+xgmWdxo-qRv4$MrTx
zeaq_!brQFOw*CE|si0GT{@*jPxOq?Y_}L_PyT9mATQ#?={kC9|dB`MDjhV%_o3qoH
zPFKb*`KABqwbSR^FEM|O%p91OudhBVQRKdCw?(_N;X|G$Q?>|PeX-QKyijwajH0IZ
zvsYUsBFp!ypPjU<a!2pvrwgh)eGG5zv-$cy*X7V2rR*ht_p<I+`zgvD*UE5Iy0`X1
zA#;X$%lp0;pSDh~$UCv8V!GxLwqHN;8Ct(T4$wVy!0E7BVK-->VVQls`nv_Q7Jf>!
z`^HntFlClV@E@+=s;Z0A*WFuFl3dHSk@dgd7me2BWzrX;ZnOTb`5^RT&2<^i`E|b%
zmdxLOFtF~@jLRu|M1B^=ZQtRfW&fZ5d$wNU-XmT4hmun_zMZ-1#J$6%CHKW_G(;zs
z&nxv4oynG`z@*4|TKgq)sK?9+^}krQe)<2sM5Hcn<wT})D>v7C{CEC9-o|??+d2*^
zXkMDxk|DTFK-xO>u2ARFD*p{HgHBc-Sr@S-DDS~ZIjy+o68ysJKRf<knLaBl{I|NA
z)e@$p+3RCgx9E8<axBTHk2RYT;OX{k-N`Ncn%8{Qt~h71=a2I)b=~ulCgQpW%JedJ
z*!;M&#U*&+)H(L{Z;}Lq#cu9$Hj_Ag;i}ofy5uj#Rw0fCLUXN``<LrB<@^dMy{y~r
zwe5$&=jq;GwR^vOo2mcM#l)}V$oXAKo*j=l8Rbe;EAwakm%g<k{>77n?`M6Mm@?<P
zN4NXw?n!wYLmczW>Z>#Jir?S8a_D=cvS|*7`=-;I89i!C%qHhX^6K5}4d1y->Q2PI
ziH+xrM0PK`bL`d1Uw7)?JvK4eJlkT=x92VSH!?Tu5V-mHwALqY#g3F2?oR@=@0C7p
zeNlVg`)A3WW9wEHYv0n@q|G!<Fue2OigKCy1a7wA7vJBgN#1+4WW$oY!=+l8nWguC
z?y-4yJAJ*a&sy)kY29}@-Q9}CN=j=}`B)tP1Zy*-9NCevo>5X);l0m%{%7}XlHZ<A
z)cf~p{-h@d{(m@-v+~zwv95a^nl}|%N?$)XUX%Ru=MEN${f|oi{q3}pYAku1+-Q~S
z&OJwKr+Y&D+#Ghvb&NkZZPVLm6LD$H`>7|+Zas2shSI-0g>b8D`-|Ks3B5WixI)C|
zYgrNBob?O-rE~b0TRz>hLg(xHO}@Of{?Dg=_G(#mgkg2yJhzXFOGPc`<oupj@HO)9
z%L6JmrIv^8`*|vRg7lr0%QNJUFJJF;Q|)A}|I6P^%4e=7?*4xFkly4en(=&#v${@P
zKm727Qd(2~-aU&OTz3BH5xDx2$>m6(VEd~z)90k^yzaB^*M@!fAD6#MO7oh3n&CsC
z`c;#4Q3j{mRbwyKZ8U1R-#V*2zr%m(uRZ!POZ5G(Wn7-DxcbI3QSIHFoQ>fRJ9iky
z=P&I%%9EwdTKrIltG6QH$aAi)vr|)eB;CF$UiAz2(w*>kVPw*_DK-iTC%$dm>8Hr-
z@-w39l3XG4o05I(d{10hcAaw(QRzEnFl)`kr`u-iYN`8lzG0hJ<@=b=UzdmL_qDE8
zV%P5w@;<!es)ziCriBykzM6P<^Xe;0cu%C=II5H%U3u-QPE<tQ?bS`bFB3U!;vVbo
ziTcUbyfoM=Fi_<&=XSd#A1A8ZRN-9qr=GivUG|jy;;&h&ELxV|u9ckg<IQ8`u<K>n
zt;KwiZ1!fA1^YW}ru!^PSsDKN!v5thGvAlq{Sj!pciD8#TRw9arfv=XCCaz2?ebLf
zw7Z#a>w6EzX&-f&ZKcz>__=_sN22=Q{T2CFEnb^chuWW-dQwp9SM|m6qIfk6M~x|5
z1`h74Izzr4_?dsf(SG-Zt)-vU5C49|mu#Z{>HoEuXUDEB-)!(J@aBBAsA;^HIE+u7
z_V@Vxr^_T*_sNdS2Np+6>QGrYFYA)@^orX|ed0x*4IV46j$8eqaAV`1K#QEynns0N
z7|So)OkXAvs%}@vzg~5h#)pURuFiZ?y1GqoBIl>R&}WAYKJWaL_q{vfW%LxOLh<5X
z`HSb+EWCGmc06xe)WZk2cc+>hSZZ&3TGMDfvx<wC5OYXv<$29C?zOWwy<M-%8>6D|
zggwdjM2z!SVa}Nc7tGW@cPirEmVcW!i%2Niu<iJIuYP*)r9|Fzrc+mrYOdM;jrS*e
z<*O~lo9o#6%O_oYP#qkzOFnu2PWfre1dRDg5>igx63q4gc;u43?bq%pf$3?<KLwc@
zwgi=MR5%oHO>I?=SH6;PG&lR7(Az1q*f#XD|8sbA!ME(9<c6!>Av2=o8!Y~EmVOG3
zO8H%TEl=#dqiXY!33sPGYOQ5Wb-(fAjP;&J%J-NK8F-X2E{Wn%{eEZj-hcMoHSaD?
zJGblUfqGFJm6vZV-M1NdOXyClKegne$o+)tsZ-`!%RMsxdqr@*labWs6EmmGzqjI^
z^^RH9pSLS*5nu1}Z1PqIp7I!vP3yQ^S+b|^ep3E5WBcda9kw_3=Kqs7oDyBl|NZR&
z=R#k<=o3OJ`u{GzS(sT{$2EKUk_J1iPNo2l^|HDAKR4F!%ZDs{rZX`<rG0y*?JOR>
zAM@J8N>&L}vff&x)EqZyBJaPj8+Mad9le?D-9783rh#H<!mZo0ej3PnIPKBASNwu8
z_xS$uGb@>2?s=vowZ<W0ag)i!c$2VPy_UT$`75r!*k>Xp@$$88{`Jl7l3xXwnY7F7
z@7FC`);{NEL}S?Pl$RE_T>i703;yNGzR2&KEWBwZlfS4!^I|!Dw)GzCy+t4R{oKQ6
zW9V?q$-}ue>!Po>5zB&Z(Jk}We8^p|wd2_1zkG-1r5Q0uXv?;|W8W9QSt_je<g^v4
zm2m}gb}qe|aQ*nImHuznFUwvXwf;rlo=x(Hm$<%OcW&*$9^U2Rt14ft+4tJGqE%t4
zY`9I8d8^ajMQ0Xy&s_OMK-SXWM8!kl4Jq5cJ#xKeqjbK%bFJ)pH>>}x7woz7XI*Q1
z&CG6-6CbeQ%(;CPwL4Omgq>hg*u6B|O3p@^HS$+${k;sY*0UCNFH0Xti`m{`5{S-b
z7Zta-_gs3~wm`iZa;u~sPdU@qxv*od<B5#&^>&%ff?F(NR~}f<@amwkgFSC0-{qLy
z-vkdRB`jF~Qg#w|+}%?F)>Ac_9PZYA{rb$eHiX;7o5$Wqo^{Kql52*uB#)NX1Z{qh
z=djJwUAR!O?AsxBjlKT=bh|CSJ+-{@FSoAY!o$$l0afA0%qP7)_s=#iwkFqB_9UlQ
z(JYbUE;?QF{w2=}Qgoc8rTmi7Iy5&<?cL9v`(+n-Pjzd4tB|YygY%)_+TUikKbqJF
zRCgV+zJ7RV(G~xhJ7xz(EjB#7)_Ka#CDu`^<(3A$><|^JEMWHh#2nEsx><mwA@z>N
zrNT9DgG6*{zSlC!<}ipF7Odxd>h$pEft3wPC0k05w98o}PG9>YX2;JpB@^RKUVeHI
zFk|b#Xg-w}emew|;(jizxhlIqm9uxx{m|TInYwi=-pbGI^|+mJZN>Rq(~G^=%@>+S
zit;kaIo>d;c>iN<wNbZI-HREy?C0v(y&40}-7kHYTRWjAxn%pqXF~hbi*NB9f7x{S
zGq>cXIX4f_Pj9-<5c)W)W=G1qsCi$Kn&w?vRI*9+qQ)-kbH4<=XCF^_UY^$=a^l*j
zCEcG7RkJL8m6dvvB}IgHp^`+-=}O;?dtRKr(!`Up_3s7q$xHPQ`R)BAzWvtkk9CXg
zuuaW8r7-<Zk>TOg$oD0$N~+$7E?Cl~&T08(k>KX_Cs+B}YGraO<Q=IJn7@tptH(Zu
z`(0tokNs!=+sP-)u;8%~tFlc@z==Q)$AW7Td!)2_T#9ttcdy?iDd+!sNo!4}bC;yL
z(A`!RzW?8XQtqg^U$3;-8g(W3g4wK0vz=3Kr2KRJ5~sT`hbvrR*VIR@DXaKu-I)}o
zUDP&vUoZXm%>4dxMRuDuOFreRs~1`ww*2SzIVe7P(jm`1QssYDO2v2Uce~x2#;o@3
zr$pHz?LLN2%M35LTCtnTA6R?k6l270YxW~Gc1DgLpUq4%(>m>0vEcUVb{jhnYXwfN
z>4r5t?w^HvGi=)SoN<|%pt98E&il^wiHf>n_W}ZIzJ?_Vo;s>v9U|!wv-5n$Oxqvl
zo~G|tTd-Ej(`Ac%p>A_Mk42SI-Mq`KDi$yK=AL!q(@WXLYw7j>vO!??yH(#x7-f{=
zV;22XG?=>IE<9qP@-FU-{-{Zt%ucR5X?<>e(!-XW6>D$j{7Q={TE9hj*Ll-rnfI5O
z{W;U2JFTT8|LdjqA%#-&uD^_DI;gbUzP9pVM14mdm%_<RTf3KQZfMD#C~W&LzO3n2
zhUCw=&Mq}3g|e^XTCenUO=M9>k2w;wXxSujJNr+Tda5N)lqa3)T)E?x+3K@b8dqN3
zxv)TE4l93xkVoV9JL|UwKl<^^>bZ--!z~XI<Cuk>RQ%+qp1DE#4tK3tQ6IOMWSafk
zZ!tU4cN_dTv_@WMrE$Qd00$>~{kFzaMQ?Q;-TvPA`k|kAPV~$*<!cUP@y+@hdE>Io
zuEkN->fxI{P5L=ALP0<wSme^xFX0h>9dD*A{2eYnuf=rA-H)RAn{3-;=Ck=TXij%i
zDBw!x&^sK&@N3$kgLB_}-x1FL{C1{Ym_h&b{qvmj%-<O+Y>s?;^YT?4sp4$0_JE^b
zea;kJFkLG6pY4P1q#5t_#&45874P?3|K0AGBcVdUq8AhYbG*NDMmWkSh&4xE^}X#D
zanU96iu~cu=?v??H%fcm3fep6PvW`P!A$WsM^CCh3P{~5`iA43T3zWZzdYHN)`z-(
z*v*~>OI%)HdORbgEYe|v+A`C-hFZ)8zEex*$o6`yc2`N5{y4DGEyhhDWQWTYfdsB;
zmn}c1nX+c+u{LZ8E2=u2u>FOgPeN3JX_J+8+jaAhl?UGc;kvo_Q8ahLlqP?-FMD(f
zqBw6ZNL=&1`PmsaIp;6dHoxwa<sUW3H^>(4nRA%Aa(U|I9p>i~n$I6C_7~{+G5u^^
zv(O*$s|?E~q%mf?=gG8Me|oMT-RU^z!g+?orXo#C+pqaQAM-v|Tz+{HN0R(vg~`?Z
zR)^+FSn61Ry1mD7txt;Uzf~u>)VDvr;2wFz?E0&FqBkP)4S4S=D92w3?>H#Iaz_30
z#HH~IcEyPDJiGF|ap&wyEiPuYwi^U><CTtGJ9K^a_u0#ooM)a}weQIG70x|Ijc*^O
zTxVO5*y~uf`)g?i)9H+JODBkKo<7^|#ZUg!o;93u?MG`A-AnK4@U|Gr1v*K+w9FLy
zaA94?v1LtHCvH3XyWLHpYxCP%4!3_-`BYxGdt*7{cD*O;vsY(EbZX3KasAWE*)JX7
zb4-{|<h%WsSrP}D!-GyIFh%kvOR3L4nAcpGv5-})&D#9e=^UH38$XwpOn82u=_I4y
zJ=2v-j`n2rUd_?HR2H3S$GDGgx9XIJMcw-nrKe7I)Oa?(d-Xq-#N*TD54o)Wf8<pm
z16yu?#k2qczGAg4HBZ>TedEe)etub1-cSCfKxbaJ^vgNo3lF`1Eq$-xcUjv@%@Sq9
z6``k$96O!V=T9o1#B^d)@t5~&G>$B}Z2vXl`Fh6Wc}j+Pu}9kEjtO7tJGV(#Dm^Cl
z=xWc#AMUbT33KOP-TbTT!h`6Bckct|E-wGPe#OQM8=rDW@8Mx!i@#JbO{%5kqW-Sm
zY)h5bg>G?TwAph-Ge{yxdHtS01t~8kygr%tlT+LB{H6(a&n@d^U3fiGIn&{>iGxUS
z=eI34E^+fE34fb@myhE_+&5ddl<mS#Ebp1>@BFQj^T9Si<nFl(78A7oT&~M-Xw+(2
z|7n>(d)BGjLao<hBflIDc`6_pc8)`--QHuBfZQf-)-TTt>O~zLuUsj*nJ)e>sewbI
z<Lbst`;*5$=Wkdn&*J8+Z}Nukf;l7K=jC2PLfpOSRw?3j9kO<uex3<(4N0@Ir?y_I
z-q~}|$Sy_HKs@a6KK?Uz=P$7fTwizm<)83JA(J1<U8&AGCGF+6R&?8n19Q$VII#DC
z1FO+8C4Q#Q;kAhm9M(JM{K=P;)A)K-a@vwK`ReQJr&&yuA1c&%*Y~gW`<7$3Lgl^a
zQ9XW{DUQ>c-X9C^mRmJn+WJ!n3s1&|Ln8l~Yy%ATtueX$^wQCHM>8sQ7VvFpZTlqc
zuxeMI!?OKZM{*hy+C9G<Z7N_sVHdcdu5-zG)poP9|MhqNuu+>5E7Y;*r<22Su^NuJ
zA77S+&Q!m{$LICaA@_{={<qdo?xj5{KH%H+HM;3*M&{Kszf_;Oa-As-SN^Cozg^_g
zcLRx-oj3O?hn!yBm0Ium>47K%yQMe#tz892Og?`Sd4H?tyhY-PiKoA{Kgv-z3&>g5
zBe6E>$<!zIPA2<pzDgZ-X*fE`>vKXgi($)4skGVKYolYrHXORinVfgT$)PHaQG`3y
zY?q^7+`;~iwV|HEHyZd)XWS36uj)4Pp1i9=vc-B*KYw)-=LNrAoF@Axy^}h%@yF~%
zmZvKxnwxT%Y<Z~7q<J&(?k9g{^Ed3p7I{*WJb73P_pehgQMs(3e{5=@xr*P(oX0&=
zzaPkZS}FZp{hiFgpLb^S_v)3%g$DKYux+`t_U^08ylj`-pQwd@>~fx`yoYB_8PkuX
zkgcJ<Q)N>7<Zl#sb^G6$5t7L;Hz9ORX?Nu;Lsqq;J05>HGEd#y^vBhYOH!8$Xuh$2
zw{l*FgKp=i`RtK9T0V4JL@j^1=eA8^$T!dH-F^`~O;$qO)%R@|1a|z$a}b&Sv!_Jn
zhZsK(ON@+^P0yOBsA*wSr>D7Q*d$G;T4-x&_(t?DJM)Uk@m$BZcz8<17PjqaeVWvp
z8MJCD+m|c%kH+!$hS;VFH6@oTrRUy!d+pv=b61B6SNhf+&Yd#zsAb8qpMgRx$?`l*
zXS+2#nnfxrIJU8`GP=yYzx~cN^-a$!H{bo|G0|J2%W%qX!!M6ttd0D6GT2gz>rHTz
z$}Xc9-!tMGODy;A7HMMKd*}T6%Rx6kXK=*qs$8JS%3f*CcHnfR`>vwz3Kti%<;qP6
z|7XBe(YWEnT&7tb*;ATVzusV;X5>6i$RX8X<L&9UmU-1wF#odMc4om7>$;l~FF0y$
zFkPt#WYZI!G|lHm=k-;$HFR1^oTUuY`}np#32*ZMHT}y3mX=?++`Qt-yG)XQtPs$;
z<o{s3eNvc$y-uZi!jrAD{%B2on`Jhodxp)mu0V&Fr*c1vm+THS6&6n4^4L-GSmr6s
zi(8&Nle}kkbC%;;we1^Y76{e;ylixB-JPf3Qm#lgCi}j#S$ECwi`RAbyAq3=FExng
zdZ@Gn7VrDf$a`3?oY7%t`SqKTAD;2B9Tk6h<WYg1XpdHTn~kyJ$4XB5U&4y7LZ%5O
z37Gy|(wTSse8;zmTzX&RCurubncKQc#@?irB}itu<LrIMmR~)4_2&&2)&iC7hO&E~
z*KtLc7v*kXSUe@xTC#Q0@rM^TKX%?@^8W+NS*;K1tCSAuKCyn6koq~3L9pB7=9fJF
zgJ<6DRI^rGKZ*D1HP??5-SpU(rJQ=~XET3NB->i4tKuJK9eu6V^}Sa^Z1$T@i{i`|
z+-ya;#+~2K{^UGuI;X54>9~VT#r#Z3w|RUF*VfI`ntRRpp2N!uZarp^UFQlN`-*1q
z`&-$(JAB?hlZU5KYEs&1C6nj7?%dj2zg%9q=S|eK$*(@toINOH6jiI>U+edbzj(H%
zF@MkDn8L+3l7#N8@mIQe?VI)22aot<Tz{_3`zwAxV)u~-`>+`uKd&XPT{Sapm&~rf
zEtOARNbvD~IW3zv!*;E9`_+2~)@V%@EZEw7I?&}~H#hr};3IPvygEHs?$!+EYro8Q
zsZX;zZLI#4@0q4;N}|W3&dCSNI5Z|K$VtA!wZfvP+j05bLoJ`*vrIm4pL0*3x^TCB
z)3rU5Z^^COb7W2Ap7n<(zRkY=tSS2q%afJLEIB%^J|P-YJmZ$EzFDYxQTv3Am2~54
zIdNB0wGS+9j(-dGdoK9D&V5hgddoXMJX5S|Y}qZ9m&MhXs^5@U_(wVV(E<_nR{@&x
z&w}o%w|)ucI~K}&(_HLe$d!9ug$zz^>73Kb=khY^<(&_2Qmox)&N{8x$&*3&c3MfN
zBj?0F`3)b`64Je^?tSEy?Y(m0qMz-h(+-cO^rue0^X$ffWX5ZWv%^%5u627`EU=U>
z%k>Fkf9Or|dHxfbLe{0HH~Vugc`&U&dHSj6@A`FTIVO~E@}5z)M()wdwwbf{2esO8
z?Xzc!o8Gv69pnDU1)Ej!FR1gzv^uXUTz&DfxLrwC(mn40u6Cin|Kq*3B;C?j|1eJU
zW8Q1thbqp#tp#O^7T@>M$uKXPnESNtT|rG~)$GN_-!H4lzC1L~E%s@WVZa9F^oonw
zE25MpPAF)ey!hnaj2|YE@~R06-@aP}$Sry)`$Ok*@IHl|tp2qk{OW=tVJuHxd3+aj
zh?uI${ogF4N0n)*u;Y=JJLDU9-XCV+pB)&SE2wj3L5$~1g(b@ccB`ye7I>gZjM;t7
z((@nMmdp~C`=RwQ{$9}e-9|-*Z?*o<3;MjE)RXV;o9dS$Mm@*BPnC;^|2j3%KdAHe
zgXX=V&v)ptZ<4<{?Y+W@H@s&L{PnnHv^M7b9kC0ESFZe@d~a{i_HDijijMrk3{CZ4
zvbC>n$ZHRn{zJRus(`ERl^ogHy@zl4<zCX7HOowTnzF57TKVf(KO3G4_qUl8X`H%v
zRgGOdXfCrXa}vMz6R+Z$r<^&J+f-#$?QZ%Ap8qzV!Bbh%aC!9oExG)s`Bl_e{O7nk
z@^;4OuHx2lI#;1~d2!wn1GaM?=e)_AcBI0h^7q%Ob^AWat}ilJ^giWXh9u9S;J}3a
zzb>yo(okPK^VUtiu>VGNa*K@SCb9k8oBu2R;)$4F*ZDboe%wEPSoP%g`+4(38h5W&
zt~r{w<z7hObNOiwTb8bP?CEv=`(A~kelH9{Wp{VpbupVTm+^0Qe2e%s^HcVBzwpOT
zNbo%K?2?hO>wn$v!Vc#Gs@B~&a8X>FH<s0WSJao)8vD<PvDlt=VX43G`}$+Al<xYf
zU%v{)g?@#v709-#J~XelIkCa|Sf&3CLBkJo@+{^Ur@uKmJ@=cd)Hb$LUtJwSHtKFk
z_5Jd^WibmI-v;MfN;V1+EB^3{rGD65+`s6FdbQ7v3peLnm=>{h(|Ug1l*I29Y#UtV
z4Eu`zGH@3NbPC?So^bJ`j3;Y=QHEx1qo}{J%w@m(IuqVo-LZ||k{9v(vC+%`bM9w_
ze+mT^jm4UE)bzYx?U7b2dSh<F@@3jR$sX60t>t?&Gj?{Kah+5oYVmB+*8^M}DKeir
zR`3QyRBrX1o>lZC<frR?i-lDmS|-X~`nk@<>YQ@>-8WiR7Mw?pPQ3Y)ZDnuVg{es)
zo4EF;YbYMG|F&D>Z*=m@Yku|fW;H5y+&jS)J@Zz)v%&4dO&)b~SQHrU*K^hHd&s$3
zQsik;Q-~F#R|Tg@N$;)9PTTiosi|haEABmwa&7kAs`2I0tF|+b_84rub3xwcbEmdV
zSHY5PYZo4vy-V=O$sE7zR|=Ig6f&kXZFiOu2r?5@zsXqtOz30drb6FYSvQvPax9fk
zF5pl5e0x2wd~Tx^+m`i8Y0tK9RuA`k<a<SbT8eY(Dz<f5Qe5TMhgLSUJ_!zCn|`F@
z+t<ajn36i0Z@V%%@cxwC{x#9=gyzRQwil~2<nCY5&h6`8o+i}L;aK13`>s9fduwE?
zoR78Iucu8-q1l?pnl8kZEMLa9&c-D|?M&@Q(}tph^4nCm2z_3Yz@z!Va@!3RiL)~!
z@7gCkdlX$7chxrJO{mJ>CCbJB-QS;EdzIzbj<ps`&)%_K!=>DPCO_;Sd%?B`E|>o3
zy;_wSdGGd9i$&GUM|Pc`?y^_Scb3V&v!cDRXP^9?wlU9p<)JMlhvNTAvI<@PWVVp6
z;QT-TW6d2Ern4@ek+pvAa-gsIE~Bod;p54hDo!Rx`6%4=K5Nms?`5Egu8r%@(~BQJ
zeDp!h_DRRW-A9_A&17V#iuHE-wD{8EN3OFCZ}0Olm159o@NqoRD$lU>*4p(;d5<4C
z;<w?|)tRUG{MQ|HzRlcy_P3JdVt>xnLF*5d{$>`~oOI~G8Bfl?)3gH~YLtH}%>H3}
zkaO2!w-D~yf+PIg7hivoYWJ;fU-nY$+qSmDeU4(UHXQw6-FMR8{_l}%Pi?K+;v}b?
z&(zTf>Dctt{b$yTXBz(%>Z_9ua<HZF@i#X7KcK+>@da1NVjHQHfcfmtT9{wtR-Ca`
zGUVV)t59a*kgd9PMT+;sn*NLu*GK+x@;P}7&1x%de@!;+_;TLfezonPu&>cuepX+x
z3@8+cTpoY5Sn8&n`wPVtd7Ibg3EF<s<hWMb{qNF>dvn{;tc${*Bq@JeDdy??DX3)E
z{9|il-)|B;AiJOM@|L$k+P2Kc>~=NZ=1k9L|92qh{km6$Q&rE2wEh)3^y{DfYCkjH
zSO@l~+?98hMP|-vj}Pozdm~On@6YTLU3tbAO0FDX+IcqOc*%Aiu8>vo>`M-&tT)t6
z_IxWHb79}b=E$Oy<h{LNCoJoKZmC~<c&V~q=!;_>-Gyt@yA^rzSkIcQs(U-%Sn<Kl
z@UJE%tDLrHz3u)KaB*h91;u>Dl{HEAX163FX8SzQwLdoVdce`p4zYr@W~%cQl++wT
zGuJIp%YGZ;WjM7neETU5V<!7cx-6ajI|NQS**?E};OWwX(^V&KoSywbG4cx&v)}(h
z7VmR%4aqff2~JZRci)(`{6u)a{KIF*RTsuN6nQM&|M7&Z%AOsPlT0&L@2Gj@Q+0S+
z`Nl+rczt!QYv$Wa-<iI$yU%f<Y?GSd&&Z0OEGyprUCVIe`x&`Vr&5kZOQznEKDKY&
zkv_*@x5jxN@AO@DTyXH*oo!n_dPZO8tl4a$?Bf%1$K<Po<-&f|Lu;d}k6t-mS8kZ(
zwEpS~4Hh|ekCp{L7N2YQzj=;g+o{?)7TnXL&%_&*-C7y2e^d8wjU6wfcWPXY&7br4
zy9{?p@9tZz+iIpWofmz&pnK~6i?01Xdw+Mb3g2D-G>YetqSK@Qxy$*J)p(vcXia@p
z*04?F-jUP0yf3$I`T3sp<j187%4^cU+<Ulii+_cGWZc2yhFgxU37#pT&Xf7;+ua9N
zg|CGiuIzfXAnM<bOP1-6{;K>_)$4GU4t^c;yvnh0@uEPdZD(A1<0@yKJ(s>tIkn@@
z-JLJZIhBQN_p_Kv@9Q<I(N{NKY8!Em{V0dfCX*j$XNF8HW_%VjD^=J{_)?VNAH@R!
zT<)b$4OUH?BlCe<U{Qug&|Xi^*)tz)G2Qm#N_9r)(k+5#ZtmDRX|~$`U!OJhOmZ`P
z^w8>WRB3$iMZcMGX31OqYks|zy63I7abdd5?&o2D40Tvz|A{)@{*c{s`N&=gu|-9Z
zU8P5L&7LI-n7;O3#8vT&SusIr;~9pdu^m5@gRXs^v_0ZPK<w<v?OaK_#rhuopD<H?
zTb#K-=gK@5<2eheWrS5%_{BUm{MqR2f9LHVoz>Af3@ia>w_Uv!!uL%4Xa3^-oi(?2
zf1T4mQ8dQl)b9Uz`$g})^2ulB?Jj@$;>)icx7JSAI4bFMg5P_>e#7;BvaS;k-0o66
zStM+8-suqMtuycLA6~K`@MP)>zq2>LDXS=b2~g<Re&=YMsp;mw!kouH-R5PTBXvBj
zX4Qc|*@1<unyvB-^UB4$9`O3ztY6cXH{szWMTP9Ns)+x)m+t*~^5k6AOdZC`+=rJ`
z`QOA@_;<aTWgqnXYrvzRO?8Riz8Y9-x0G>J7#Q66QM@Gm#=cjAM)Nl}Cf-T<A5(C)
zMSA;0msz39#g~`Fe(KWY+F-GMF{|j$4b8JtOV}0_iHU3$H-9g-*}tyT=S1bahgC0H
zr=?c!VV{<G#$<DMjUIbU;f0uYzb-emJ~_+yqJZxbm-Pw7@6E0oe*1@gF%V~QP3#PQ
z{b07X<@cWr;UA04DyAN5S#DjwWAShGtn}4!eC}%Q{&hRl1i0$re|BdVJub6syV?Jp
z>-_Zl_0#MnE<`(D7uh_aAYw8{&`lTq=c3E(L=-Ok=Iqw_HBWPA>`6E6{WtD^H>!W-
zK4aJK#|}63_LZ2OcIjHi^{G+gkHxEJF;Sfrr$w8WiGSGe`dWmV#pB=SQtbBj?0m;F
zYh}r<x(CZcd>?W@>tk|%^gXM2ox<wRO-EL+2EPoxWcug+neP%mY7BVZtH0@~xV!4T
z)2!-8Sxws<_=Bz34lh-D^`+zcs>SoxH3w%)Zr(Io*W$&-Q@5p#y%lW?*=p1$ZsF4T
zvgW)@1V>5P@sAT)rd}0Hbl=poj=ebNMYCUI@r$Jw<o-nFt)HEf+!5MT=y_oB;uwwd
zzce-}|6k4>$Ufm`QpjXcoy$#^avt$`yEO?eKHt)`E^ptq3yUR{cU0SFO4qihS&FvX
z*iUFEKcE@PJNG+lMXi4{XYREL>-6StQwyB*TDMzgqsjDB3$L4(8qZHU?;#!^qcFL7
z#qTTayxY$D#2xxI`6l}!dy%ftxy)AKvrY*tXm@(;8Sg52?fk-fa{~o+6-B>E-hViG
z%j{EJTZCCo?|E+b>_GLLtkBIu9nTbg6$k%ub`lK;t972sn0q|;p^NFZ-H}OLb-`Z$
zRnPe9Ok5_;dv1zC)ASS53|u{T?ugtgz0^&w{^aql`75d-)6ec`PO-fo`1s~pE#rVG
zFRs;j+<Ri&u(~JFAYMm&&ZbW@uCF%9_I}=W)#tzI>>DRo_E=6R=w6hc-WT)aKJObI
zrgv+fMD%@_8sC|i!}0%HS9|jDovYP$$DBK{QOrhY)7}Go%OrK5Eb3$7{jr4G`uB{m
z`IE~dgHP>AviW;F;`$8B8JhRLUD}Z}^JT*%H=~JsEekh4u;+bkHl1_H627KII=yrK
z1a`D6YU1tVD4oP6oAqC4w(q&e21>UZU+?J%36N?(Kh0?^d%n#&5AL*`W*ICCxY|yM
z^GLmAOIc?i`XkMCY1+ihnYnl5?I&@Eevh;-=h5>x!p&%RP2@16$(i#GGasDXer@gN
zcX#J?K74ZH<L0=t9)Y!YjxYW1ynILF8kc^brg`SVE>?T>8d=14iT2*vTXQky-rU?k
zBcWTLw?}97FIjxJ`h9z0181ZeOXIDI%SCF_=H5+8h*&@W_h<1$;}iM??|NHyPcxeO
z^H%EnMI0e4S6AJ(G5l;Y<>{^|!O8P@Rc6Wsbi6mcbtw4rz23{N_odf;yjyzPws@vs
zu|rS4LCw0BqAvA|M?RfiS|q`HVK=K(x}t)Jz`llUhnaKtzFM?%dWy;_zw1Var@lPc
z?=E!e)-{fU5w`1{?&oZG^V-yS`@o_n3Omhre3o8o`QzC(e#=xh;lNKO`>xFFZA`VO
zUNPhM4B;(yAC`N+uM1eASN`~L(A6tX7d*=~?keeTV1InS?*;FHod?h8<nxM!oQvU5
zxc1zLHMsK6!($6#q~=y9JfHf!d7s6pY{QM8t_rK!me)>c=lJ+8;lgyiAm&)bw4Y0s
zw^=A$<GAss)i6)K|7Xvi<!5yiI<81ZJvEv!{Y=f<-4kz3i{v=|N?G~v?^$o^E#5?2
zp4~68yS3%cpUn1r_ChVDt`qId)BM?eZsm4Hf8=cZQ+n=e`5L}ICD-&dvnsb6KAivL
zl=i0To&1isW*@zkYCEZLuJ~J_q)*+`d3SwMb~UY<xkmAG&?N6`R!Tze=N)N$-KFv^
zx+G}H;X@BMWQaUTn!MuB;!gi9rf1%LQM{p(5)l31ibHVqJFQc{^xiWbYw~~TwC4%e
zn&kTzFV=Ii>|6Bi#P^~jJ$s+G|7SX3-@N_5LC?16>q{ifo3!*FcKRFc{k%7%<y+Uz
zRr)RefA4H?+M@p4fZgEihcLGzn>_Y4-&^+S%)4lgOt+~&w$5?3i;Pe|7&*y$nu~N+
z=7;iwg0l@)ew(Oxepy99Sa{#6D0waI>48^nDDBm|!=;~aaNV<wdaJIylRjhOc}SQs
zYSyxMg>LoruMWLr{rSs2$MtMmYP!3b`{i#()QjED7G_mma?mfYtf)FNX+p%~^n057
zWZ#BfU$a$ia*>PXl$Q^UCT;N&nc02ztb~-=@>Qzq`0Fn|eY=sz+SxBuSbaUyqpoQV
zH+En6y=HZu`Q|D6Q%urX-ahsDFhxY|sixlX(+R3NrOmtN{Ib!ScuApU-Nd|?Ne8T%
zgXUJekt+VWcEeS!lC!H-mA*clZGXpj@z;Zgl#V?3v86>i`ta_xmGQmS4u6Dw7F{dh
zDPVAXDRl3{WDbSr1#+&lvt<l>P9&ByuP$nq&Q=Zdx%4r_xpK)&xxL(~9~b|;k-yUD
z@4ii|uikSP;k~~5<QB$nS>`gGl~-15e*eH#QEqyBx`S-Sm)zy?WqWnD75@8wPnA#o
z(WTQ>Qhy(^9TWS>(~@!AxOm4Uy)2W%Y%A)%>?~Qo=It!08wm&NtF#)G@+Br&^De$u
zQV>!1IGTxtV~y38TIb>?QFotg)^WY^uPnaci0QN=OJt{e@~|;=pQ!n|Lsj!xc;cg|
z<Q~@rxy4Z~@qb@$c-y+??w2m3mE6<i|1MT<s^QoqtZdfJZddQHXUhAFtAtDDOnlka
z)6ZVc{LXdz`_!@(9~p$^)CVM1aUM$H^?KB&p-^11|IS|ZeESb+B@xSV43=p=P}{sj
zHOSmbTEb^@#NU$JTkrL3Su<(<Z<(FTD{dFKzHHQOzPqHTP-OK4-|NShq}DN4?ktJt
zE$@hG`O(+$r*HqnJL!#=TRNOBN|x##J~Yc*xag5l)}9YV+cqA!5ctN5uXDG+|HNNi
ztMk?BD%bpAepX(4xWaG35(_=$@_Wm6$<DqNI_tsT?V+abSEjF1y>%d(v!iFhj&)DC
zgm$vuc098n^<qHGB(tDc=S6*8m$~!K$t+Zw;dnp!K;QZD)b*=Z-^p2ZzIno}{}1Op
z3Uy6C((}N~`OYj)2l0+ySz=xj>M}$x<y7}9)_u0K`|nM|g*uKtxtjl2O2U2hcDdJg
z9Mxf6s$(IdI&bOuiMP0C9hp6`@rAhCyYRj}Z)NXme6lFd?_+0Fd3Szw>r&r5qdQI8
zrt!N;D6-7g5w~w!Gn3^M&kyfsD(0K_?y7$jmo%q4K&r}7<%j8y`-_fMB=Y?E@0Z%2
zk?`^6gR6>?m6p916GVl-{hO>%`MuyV@5)b1nTt-I^Vt}DD)rV{>mQY3xpltk>y}Cv
z{}J-Jlep%2$eh2r8S`SlYJ6opF!55O)r$G6;}hhgBV=z*&KEsXQnID*c!QDGg@vx0
zvmHd*9yc!)Uh;U`1CBXI-iLgBaOXvg%geW7XQy$PELJLhSeh?$a#?Nhaf598TVW6P
zroK7x;3gyE1Dn2x6DCFnt!l1!h70S;-FY?NpS#SeG~h@;kAD9Kr)gX^x{KsqZWYeD
z?{Rb$kJpBcF3gP+??2o0+QxIinG+A4O=j1q#u+^~x+=Z()}e`!u~VctMMYNbm?_lD
z?%%X4=IJlvRWGYquZRgGZN9?s^~eA7uHTf^ROFmOPM3Ed%(QG$6w`d1V?8+|%iB`x
zH{a^0C+lyB%6+{e!mZ^w;fP&rnu7ZYqg}k$%fxQ&p1HeC$L4=%sKOm@6FYXvk5*PM
zHuafVxW3=_`bT!=y1cos5@oBeH(NG7>`MMP=ilcqZ%P`k@kw6Tsj_(qf1$8@s9cwg
z-1nkc<z+=43yaiy-*YTp%m4LL?~y&nUukYAxSIB6PMW}#nSa0N|LPElxp~to!gA}<
z+3hFT@2~%zD{^N-LB{#-7mw`xaJuT<(K46FjT6<kzO0e*&RNv&s3#S-dr9F>e*L>1
z$)B6qo}6=a3;4HS^KDnvmqI6XbM+4$SDgM;_Wkm><)*t1&8hNjdiWsBgny05o)=d)
zEx4$qy0AW^@zKl8!ls)i981k-$&9-c;&k;I!}OZ&7x_HZe$5p%`|rPYOU?SX<;9`G
z2?FOMt}r&TM*rBcOL6l2o0dVRX6mvQwk};(C-5)t_^Kynp|dZ>O{kQ);_%;T)tsCu
zp$2ZVMC1%^uDDco%%;a9=~8Y0S4+vo^%B>YtygrJBh%fqcHhw=<0+2Z7nGt-|LvZd
z(zu>;=E+p4`3G+{J=?n9v@LP<Az!~L_Lc0`9~F%Iv|d@C>Hnd+_Sdc`m!<PvBKlvh
zTx}M5#wGq_!_Mh0?TroYtL9p6e!f1mh*@sUjXSdbEk9K^KTNYfcY1;Li>ZERUE?Y)
zYQ=u@D{J<XD4a7bG3a0Mj;T@)WRvWD`La*;@c&X;Qn|`vndpVd2Lf34a@;T!Puh@w
z^6RqRv*{O>^7KtC&Eb|^S;}?W*7LRFvgHA@w(t}vF0<{}D0J6P-r@HA*c;v7e-tOr
zoMSvK^+rY1;k7Xl-v3s|=1F;!Xl&xsULnY<^RZJU%V_oSBPT0w=6#&H?1SXgrX432
zUXs#MUNrel)8jj}CRv;*U(Rs(@jia}DvDj(gEK%-((u9B)6EIupEHamA6VgZM*nG*
z&>peCgI23wJr^&$##b)4?u(XE<FR)ydzH4BFP*jfi<e_wc&Vbpo;iPmHWqN?T=e=o
zfvM($-P~TO&^-;6_pD2<{d)7eSM%i@+e2U1W=yy_rI~FiBUhM8=#HRGhBm4T<|LQ2
zJpb)ix9|<;<>i-R_y1=SeDueOQ(B5IS>4?H#rq4BKh+pKIj|=0?)C-eERx?HIQ2*5
z`>|D*SzdcaPtl$9dGE}rlAedc-!EL3X`6J1sU_)^<C4XpL7SW}+Utg$UAp+7$A;f}
zN-i=jv$Q#LL*Dv+-IsZ$I4|tiHOY;)T-NC6uc+9kW4!gohn1r1o}9N9&YSkZF;S0e
zh0wlf_dHfJe3JCE40yh2Bj@ywk>M^zfmbHllx~cfpHOSwrCU>Ml@iCp!x6JNAx6cK
zS$)?^`x-S)qoccBR61BT9A5v<{N6EL+og@C7<r$XpE$(5?XC0uCA@Mce(ma=_wv@X
z&PV5qtZkk!WNwh$bg|8Ird@>7WF2#tvqg~#@&B_IPXA&Q_Isj7yZIXnw*Hqbx8KSc
z$1Gp6pkG1X(#nfx?|G@vj$;R(?hy37_s2L~p~lHpWLHe^^n(!r!B3v*<QzV_{Lx(5
zqq9<HPU3LvSjb&HGt%|d^R}gbG{intUhTF}Np%0IwD#`h;O_ykDvu;NBxmW??C2|X
z@lIw~&NGeA+A4X{gM`z&bYjGmR=hdccC_o-%MV$<*O=Ttkh|oC7T4Nyb6BQGOW0=n
z1+QMzFS<Lrts?Qk{WIJ4&e!l<cQKNucFu&l^XE2BpEu*QqvN#Q3oCy7dNY61_FwEX
zripdAZxu{bmgbpIV=!gHm8;CVyMOgbB%EHOUip9Oh9{d$>hy0*ix%9Ub4^}!+sfrN
zlglr(Ob>T7*2&2|+9moybNMS1ZVUOHUYDEJSqA-$wDXZ=7vJWYoSzZCDd6a@9crD+
zJNMq{W6dlz3W?DUc)Dfc{LBTv+{5P1%X%!J^dYuGszS_o`g`*Oz4Ohc{ZsKjey?wC
z_mc_xZcmN)F0Qy>_9DIwWpO2;ER+5-mR?x5NSMLPXVJ}zhGlMt__u5{p7m_5k=)J+
z=Y?nfPTBSR`@RTszNk0<8D9Ge#9loVnSR=0MUbXVX<_T)px?{f-)o30S^U|fw@=jg
zvfq~nUhjXJPC1=%XZ6p8vyb?yp4fTDzHh=gru@f3jO$gVdrjhq?Y-P<=_*l_yk2GJ
z^TWFho><j~@LNgo?fg)){>S0(mHeNxPMI37+Yz^S&G(8MaXYMcW-Grx_~7{V{<B#*
zH8&Sdw3%>T>;1h|Rjq#KjO;f^T;F_(GxZMlF)``<BfmOzp6IRLb>OGqjnBf}R(CHY
zbb3|pop|-%!<A7zlWs|Uu{;tzz4h+0>h-o^qW!K*jvx3H@cS|6p<3&+Cz$$Ye}7VO
zGT%^Q?xgzNUi%GRG5tIJV1kXS&3_>S#S2cmxf{-kNj-beYIgE(*_%G4uYW(B+A_az
zHq)j<(N^(~HXFR0({G`(ue9ZDc7e9V!sQ#ENqrX=Uwtof?YZ#O7ddByH!{x(U$H-T
z$<Fj6{6W6$*%HeNoA-D;-P<?oiLSG0{Q-$Z%S^+jdn!GZP~2#=d8tLFarP;mS0QO}
z(l4hS`M=C;%{%4OswqcptL)qh-uv`y`p>~A^3VTRQ+rX~0#UsSv0Y_ZbL@N1tleo=
z7Tx%?G&4Q%h2^D1QX&r)_(d-|+Z7*ka-qYS(|q!id@5PD?-$W8?M`17yz7B*KvQL-
ztJr;0t$>tQ{dT@w^SGNu-!6$Z5IxV{x=?sRYUAq8Zc7=nJ(Hh(IXcm{=dUAIthc!q
zTfN<GBmF(UyeflDP0ji;Ggp~SP>U0q@XLLoq{zl+zgTzwT-o#@KJ=xy;>ka1?u*4P
z6#p`t@oVvQRf~(3g8M~Rc<el!x3x)s#<AbaWgc=}IA}LNMYGw`JD+#T{i)KKo#*aF
zG~JQXPH3DEzV7O}poR8j4!-xFl?B}mUb087s<4yC^6<Cl8BKH9p1zO`%QzoBCn|Tg
z*gw-h-|M1U?(+O-&2D-vKEb>F@5cw}cU*pCr`h?xdAKt4X4S=wu3j41GX=J##YH6;
zE>hLgVsYADrlL9d_S<*yzN<D=2v$aXmd+7-x+8qyZKj!eXJ;iSn3vzU5&3prlib-u
z>V15N*9q{y5OzIqCh+ovAUmdulie)S_N_F2x0tKgUhJ~P;^$T#L6f(}X~&43*PFRz
zPW8(7dGXUEqYl1$cC$G1cI~P%Uh%ctUBw%1uD03Oyg#->G31zn|MH4c=F`ize_L?;
zsg%mCy;l@iH}Z2%R$JR~?AP(u=`X{T9hAC%lv_3#=;WL4sXWpg>U*bo$qA3^_NB`c
zuB=vlxc0Qs;)`3S#614bWO<lziR<hf%~vc@728wok`;O7t#<mm{&}Wpx~X`Qn164{
zdb_Cehx{*`=F+I%`LL-dd6}ET2gMen-l=!ytX90gqF}P2QSauJC)KxzR)u85DIQ$<
z=2(h>!<j=|8{=gkG?wl1cp4UeeBoW?*!3p6zuo(ns-yMz?AgV;?L#KH84I&2CS6K%
zkP7dAC-z5m=25Tt1?h+H<V|piu=nTG3%;bk^PMlF{N8y#?|$hJ{=j>v^O{nHo~_uG
z*+NJ5)io9VS2oIVcr=f*<aAEh=SObmwYD6YdM)*ilVqw)!kQ1WU3sU!jNjJ&TT9*e
zXJ_Q38ykA0)*o~WQP(`w%D}Sd%Rh;Ya_7s;cCPvTd+!VGK9QeqEwXeray&okB)aL^
z8N2g~7{4$4TH~?q`xmFCeA9U|)D(0A`7f|8o*8lRwydGob*9k%>?>CU)mS*Uebz6J
zv9kX5YsRB?dkv40d)+HnpB5{S(61?x5<8z$vuW+SKSw-TqHpF0eSIXc<3T}{V6%sP
zlZ$VP)wXh;qaW@EUyVGYRWP}^*hlD1k>`I~bFV8gKBg!71eQL}i9Nsf=97}1g$He<
zLZ2<&>HR8vX{e0t_J<t??9b|-P3_azDe;<9Lhy{yg)PMo?+fuBF6}#`FZQkF+mt1b
zr*g(wY)lTk7E>Y^*})KW(&f+|iS->__a|Fk`a3D-mxb)43pT4Xd^ak%ntr}D`RW(`
zYaH|bKeLY!cVAn%>CWa?om~p9*-KBC8=5V)(UbM)`|oRi<?qeVZ|aj|c9!HsP4&ta
zNMU|<H)rb3pnoNnZXdYMZPW`~(UZBd$4jy)GCf^zDgP~d;ScF=%-W`&@4b6`>!s|u
z`_~=S<=I`e`G~Z=`>EfbXHC5n*miYGsG?q^v{C}+y~;;zEMKk8Kl-xB`N5~9mm-#F
z313^a)Lm!y`otAaHte0%Rjt!{Gk%NKk%}fsza@sYHJ>J}?aK``=67AS!kyoAMaCSa
z1k*pMy2rIFnVYU<xV&5Kv(V99Qi(<U`B%}owHZ^jrg#4Ldgw7ZY2qfswY3upkJMe@
zO5Ji`Q%i<age_}JaaQ;Ov4nG3r_TR$aGWyj)ce~H&E@X+Pw)D6EYyX$u4&@e;KwQ)
zF6<Et&#r8K>3O>1cl!3H<u=Vrw#lWw-Xk6^yDe~DhPO0(_=?~~>PNY@s_)6MU0xvZ
zJR$X?!!xPIT(RH5&R4F9#rwVsn$B{n<?$YOIidHh%IA4MFvp!rh`(nw?Py9))qjZ<
zX%qPath6Im=^b2U#v1b9JSQPW^j_$nOMlXv-_JWDu--fA@&875Z<Sx)!dJ7i-+yUb
z>z4F;?Qfo2DL22*bo-=cEOt?lD`x+^OPkkla;$u0w|aTUqcvT}cseEt^9xT6FRSv)
zzZaQTR3t3PzRY`L-F(;gJNYhbUw3hmPyR9wkJ<6JD)PGIwKye&Lzic^idNJ~y-L~8
z#IZ-hKJ(e98*^7&l2l|5l{MQqx6-01=Gf2lsw~D?`vSI=_BgZbx?9@)C~nF(Nj*z9
zUZwvX7b7@7Rm%wmR{48e-?~MB-<HYi%M?Bn*4@mFMOR~X{AbPPsBLO*QS_f3we1$?
z>4>V0yPv%7?tU>bQt;f)%->%p9R1SfHOYSA{nf#el6khPA|5vH(|a^IL3#gGixmMb
z=l|<H*PN`9a494I?)2TS7JvP+>NIy$+@7s+2NWWVtL_%m9*q7iY5lS{<*<*`<n(-%
zFZ+sZKjfC(WmV4J7umM!kIy5gb&`sIn3^(=#^;$m2|xRfrRT5Bl#r&!nn&99+DT8o
zE-J9F?<?<lHEov9yNyCrHDqQ_pOft>sC3}y$-|FAu8Rd^=PR~5KEB<?v#k7K?$oTU
zi}?0>zY4!}_~YN%i>J+(yPW)2S>n@GqxMr1uIMX1D|ffG<gPmQIBjE2%(neI7yQ4_
zb?9)k-@1kCY8YP6nb@K-NiW=wIc-))RC8h4w#zHmb4=ymG22^Zh5r`8nKcK$E^yiX
z{^O*n*|#@pIH}wclsK7q)i%0r$2%tW+uN8v$C!#(v}*8tn6Tf}HYc*`!?Ci=FADYv
znR@Y`_J%$8oUz-$du19+!mgqnAye<YnOU`W-eu*=4CC@;v0n{JM9uQ0On0)l8yZUf
z`?LCYUuKY3dg}UcSGOpc)!Q;x9pyM_y?R}%@JWXi_6rQ%d1ZF|{(dqiqs97nZ}5W~
z%TuhUr`<j#_B29wk=wPK6{j{m-o53zu7Uphi*If&oU=!Hu4dRVs~gJF`wOEb+=5nl
z-FCg+*KMkk)S5rXyeD7FXlB*!J6HZ*TJ2$1uX52Q>5TcWOqY%=>0#GQ1Xn$O6TI%A
zWvkw~136FqL?`lc+!t|Qc{_UBb#5&g(_<T=kAB&_{wRadGoOIS<X)@H$+FWIo-pOS
zmL;*JpmA>PlZzqA0*k#<gxr>Y_|3rT@N2(=>-9TFveriCYfb<CXOs8B(=%CpwO;Lc
z;qg~aj6IJ-##Ts7`(<DJ9Km~yYuAa#&kRvcdUO7`_-(CQJ(;>XZ49?E(u$ubq?=!y
zCaC>yU+oS9>)$TS|Fkd6a;dU54CvG5bqZgfKkFIu=4$cIU>=p9f%Eu2gvmAEPv6Fp
z6MDV$!5+nbs`l&Rl{-0mcS|kdU$p7Iol4T?Em^#p*@wIr<uU$dI@x)}ZEisELd|fM
zN}v83QG;N`dWlE;Ti)uZCpJm<uVH)gW_{_S%tO=kQ^Wb9?A$;8(%g9Nh^OI!%+Rfe
zERT2Uu6o_H(&J|Jv!}A{bL<=*ZRcI&JXyc==bV=hHqW&3pSQD^rA9Vwc|_#$w=eq7
z?%jOa_i(u6N!vGW2lg$K-s%0?=nzAL-lLsUPw;P-R1)N^+E6=Zb&9NL!u(KMxhvrZ
z>cd;t%Inxnd0p`+Smn+;)2OJBjrvvpPaj!2tKb`pmPM<D)B9+S`Hwkf2dT|@_3KPh
zpzLv@$-E&V|CseB>OQh#Ev(pjUGTdmV}-$S)uLG!j~$46p)xBe{*g%IhPF#{@B6s!
zQgna&EA*{&pB}IOy3l<hWqT!RygtPU$=a7y%0-IEdPE(NjeC8-Ql34aE}#9S*z0_G
zv$+lKQ>LzaWou>qATGA(;hozti6OfKbUMT}`doCEbeVf87fXIq2#^xr)40=X-J&;g
zhK$wx-a3q-`>Ydq%cktR%erov&fT`A!*}L-HFvts+Ba7(Iqsv+zk<)!v)Q7|D&JSz
z25sP9?kTc#p}n?e#tID~(L0NFzDi7M)qWGUy619x)O?M~a}!?A?0X--wny^kl>4`z
z%IwT5wCXT&-MUO(>v`}I@kyog8`mCuJ1u|lWBD}E>e}ocUaPy0moe~i#4<QeS7t6=
z6}ZVE`T5-Ig(A+XrF-V~-gllWG+k3(n<XJP(IqIzF6PhMHm=EW=UtxjGu>?9l<_>R
z73w#qvLGnu+^X*Xx>0(Y4o&A0jC%LDZ_Cnen-1?!u)8?zyVdcnfxap1OCDIy`Evh7
z=`=$Yo0}KB&!h!R+_Y?cQr<(m?X``y`YQ7C=V={2S5TVmubU!fdHqPORaId6ry9ND
z6Q}eUavwI{DEk?>?!mK1j{lDzTjP3P>q`79sk3&BWh-9JS@OzMvgqIuo~I}0EX~^|
z_)FJXC!DeHlZu0pgV`}|&i`GXiYpJ?`53@&TdmI|<vp=?uFl3qp-L?aPi)I~{ASE;
z9L2ym(a_G`Z~un2N%A>Hdo5CvI}F60iLG+qWX7~FS5@3^&h+PAbM389d+_GnnA}qP
zY1^~7Cp}taFV?=PTzci7sgdYScLh!-XNjxMe-C~>p6arZWkdajS@I2%)+PUP{_U%p
z@-}(lfqe7r39nDouP_zgn^LK+I*UVN^)FqSbtmu1+0PC#DBNaxc<I8eii#(;Y-W41
z>gI{i<3&b4<fcVe=H)kY{p9+rE4FpQ&zwb%9v<Izq%7^5qb28*z=LmkpQmk1ELYn9
zy<<u3>PuC!FZMl&^GfQ7|9pP3#F+~sCz<3|YjSj4I<x&`#KW@<x&eQ={l2{3$9I=m
zx%+L;oQiYFLCY=&UTpW>Q=pKyS77Ib^IdEU9q&$>)o|PDOpKFI|DzkL+HaQaN|^B4
zQQ%AELFQe)Atn=dJyz^ryefQo_DZL{&o5iA`X6zU<8d^XYDVDuH-%cvjK{-@kM_R%
zY<K;xIM4k(qSr$Ad}X~=?!sj_``gMKg<HotTU<W5n=|T9J+5~~bxXj{iE11D6sI2+
zX!@V=WA^U#=NIkRJK=NdoXg+i%>CykeB$C#V|c&tDPv6dJhew1Yu*_eNHp|WpK|H{
zD<4p=&)UWQtthr~s<!CtqxGw~cE0&x80N>(m$WXa`d_$B;UYto$tEx4yu+6nL|Yn6
z?l$5GJh7H{RmHb8S2s`goONG!=8xlY%8vp!2<dgCt*cTkW_oy_=CanOjm_&HPI$X&
zhu(!JXOCaI$sh35%voKPzvAuEDPB%TqdX2>Z=I;QHqCX@>_UGd#q~8JcfW=6hZUY?
zo$gf6H>W+z`|Z+y$@}aMnaZtnYC2~t$8@UuOv&nn1)Rm1t5>P*bnsDJw8%f`q;;g6
zir2aaq4xcp>n7Dih|Lj=J+(k{)0w2sfRfr$uTKI}b~53rn>vLqB?s|d&DincnRrpQ
z*RKk#wjkRh&J%7q>Fmj@-#*FaN?Z4<MA!9NI~+@DBC{Dc#9B&ydb#F$tia_`$<x_&
z?pmu9>?g=NaSI7JJ`!X)U}bT`(CXX&?QHWTGCe1(n4c1+Uf}iOO;LB)K?Qdgrmq}@
zw*+UW?zrc$@|H-xc<1A`h+}!%toH2C4z~CFw8c{X!q=eUSq{N_Ev0V63fbi;Y}at#
z!}B4D@%3G0*ZQwJ!x-Li2hF|u+>%c_H_H6{@gHwKerNtLed7E6b4B{u5eyvc7apJD
zyC}qzvn(}Bk9&rv;@<7q3LVixeoE)2f8TU#*+J$H+@=C`A(JK5{nu?OzdK2$oo~a_
zH9NiD_NlHqb31bFq84jyxd*kI63=J%{mJAD5A|fJ5YX|s&$nTT&-9fKeRponl6|^m
z&(o}ZA}3x<J?ybcGWCt~)T>7|EizP(-)uQ?+NEUbrJXahBaTkLYVeZVdHvMIqDvTW
zGuwSQQfm9Iv*-OiG3g(@yspN=$=8-U```QfOkUr2d($lad&kteb!=uVnX}|^T&C{d
zx63sX__ZIX-HQ3}cz?IZ=3J#0J06|yU(YI7pYyn4%?#21iXQ@HQ@6(Xi685Hylcxo
zhn0MZ8FEHvm#5GEoo_hBVP;PBHSR^14A>`|?5N6Eu<0A`>^@udgeT9oM&^}hRZ2@v
z@4g|mN~M0z`*u0mW6NI6``I~fQB8H{lk5(umvet-i~XF^x%g|$^Q(srnogfoIYrXa
z_;|41|HV$aH7}GT*8DZ)UL~0?kTY$^(H*|;N{_ndyxX{9ui*SWRVO&kEpJkMA=T}$
z_UhUXGxBw^imp~U`AxpN^4drC#lpYzU&TI`+fZ;>lJQn;<O*#^{Y;5ZobS3;b@=8K
zv*}kR7pyJbv0?h}mUYjSryksPdWGu6{d2d!sIOP~v~X$Hhm(5t0oT5)t9-WdWc91N
z?OMAwJYm23%_P|Ws8z>V>#D12PK%UhJ<Cekwfm6s%rm*UiFOZiRpuJ6Z(C=uy5P-i
z_vnNVZA@iHewgoEDIV%-w*7hBp`EAgtJuC>R$jw2?}D$JocpO0ZBaiQj+QFSH(Gq6
zctZCR<r$1?dO`)KESYj^mJ>T)R<hw^X~m0MKkh8f;$^(p+r@L)@b9;eQGVQ}A`J=~
zSBC5~uxezwao7ColEW8-OrPDK_$BVCQ16V3!oU5p%pHZMuUmVK*EhycBr)gxiD!{>
zcR8*<v9-%wa)~o{*JB&|Lo5Al_G`F5Hq!qPy_jQy`G@A8%q?sEm#<6oxM*-Xu0W3O
z!v84>39=_WZq!d>*zn`~?0%o#nn$TzO<z5#t6mwnl@{qJUMkvK`f}alTfx5OA=fXT
z(p_s<T{G2rVbAuj0iinrT}6xIPF}wzk)!GxJInX3&o4`r@PA+T9h6!)OYtn{=j-#g
z`*0|GZ@F@@Yg=2a)D?^K4=j%a*Jgc?zUE|ff5G2(Yx|}x>o~MIC2ERL{hlM{A*tSP
zjPKcdzhARU-bj5}QTg_%HZNtqTa?>r{F!=L;wbapsoojaEVLzUA4>{ejps7pTrz2O
z!H!csizf-+nC{Ozy(az09_L5DtAn_HKAD<P@rZ5uTisfXV;ScTvVS>Y>GV2FIMU$h
zFV<Df?$#R7-uJ7+j93ml=6Cm>&CDjge9hE6(Yja5LLD`EkDr<>7{j?GP<DBr{MTt>
z0rk!bg*FcAZ*?V~?=<f(ymeMunm2YQXHMIOdIr(Y-MfxO95Ujsb(r_y>eH}So|8=s
zH0C<|*Dq${tCm`rov`K^L)Zjvhw98b@|!M&x=b(%{!@EoYM=T1HCrWj^K3Y&5bUB+
zuyx5L)`f|NOPObQhb{PJ{;@nP`0Md!(vq5TOO<`}XJtK3i*IaLyH@e9d<%=@!I!>%
z{<BN1&rXti8RWWcii-3_$LA^q=bx4OE^Oj0dF$-+ZCb$3FTYj_$h_iTcYlu~LvUA8
z!BMTh_fGv?#QtCQRhV6+XK$uBi+BB!4ZNFG8F}}q8n2!=-7D<g_w!M|<Fwzb3(+`t
z-X;8;v3cyj{PssX{(au3)zo`+mBFN@^Zp;sf4e=QZjoZ%d0T~*dqt}kG~LtkT`m}}
zB=_C>-ljjYjP`2D=k5tx2xd+dZTWc3!+|-zZf)A3fTPWv7d}cjtxSC_+>@u{@cXAg
zZFu{lbT0Q*KRaC>{3$u!E0(dWZ0q!}6Z&r?CQf2>`@j=)x;$Lv{Nr7>+Pq`Rj`r^E
zo>as8!TH`xp0ih;b4a~9^fY8gcXXD<Y>7{qcNk48*Qa*r_}gF3Hs;v+Hv4Q*m+|WP
zo|A7R{i>bB62`FUh1jPrv9mHm#9A#hW#ag|HFuW0zAe=}*T^GZ&NASJpFi)SM=CtG
zR#vvKChXBael_f(@7dS)|IC!r<egsGxckT_L9Y19f`bZDeD#~{I$k*+@7ipCZ11Cb
z(U`l;c{?_h6xui*dZoNNvu5k8dD-=Tob_rGS3a3>{-nCu`;J8)A309oS@25g`Uz>%
z-!YpXoxb#Z_lF}>>-W8hmyq3cK+Hd4qhZ)<k@{2p&Ij`C#V5%b?2;=M_r4x%T5;O9
zS^vDx0;UC>%Z!&PMY2gr1{YiJ<J4t+eR|6t?~h+u3#_llAF>EL(ERGiBG#Jg$88_(
zf25y%t9C*5?59(I^?SE-uKAzyeU)+Jstv)d|5+>)FE@0a+Iad{!f6JJsEEgQ*QHzY
zBFpWTw;47W$zShmODSLZ^vR`Eizt(pj}uCsh!@OstCjR?eb4&cc3%C%Lp*$OS5hlq
zv)Rp!zc5>jS1KZWPlj2-i`GYm=Ko&4JG<?dm-lo=W0mixPRtMb6*@0rMT+hHTUY#c
z_;asxUDN(SuV|Xo%?qbbvNv?-^ZeZ66ek)v?a*f5Wjii2hh?*t*yp;6E|e?$7xqW&
z;g<<5D!G5(gry`)-g)idxk>3nfX4}mrzY96pCtBK7f6()?p>*T_WH&ze%b#vZ1vrC
zEg<N+_<iNIhhE3j>3`lj<By=F)~mdyG0$w<=R~M^&PwnuK6I-s?GeY4@UvXuHP<?i
zD=iFqT=TS8Ve2jr-O00g-^gYEx_Y%TQo=Ek>AmK8pUEoR3O!RE%W(Z(zW*lca)ayk
z;?K`r+}*QL_TcX)_43ItIsd;c2;LB`aWeA^FZU~#wI3em=x0Bj%la^{g!fQS(ZR(b
zF`IbYSf<QmS&@6|>l>Y-T(PM6H$Qyj6*&6f;@i7?I{c@clo@=Uc?T<MbNW<IW4Bq&
z;S=t$D`eT@V_%miKho3qu{w3#4M&Tv%~N|f$<BXSleS>yh0HC-tnNlMiJy7H&;9WD
zGOpOwTP8J0W*CMf9$U~EY`s{6^|p__*_??pu9ZJ^<JcXjxhu-&Qsukt(yN^IrX=4u
zY`(RhYpRE!-Nw^5?!G;EjoWBp&A#=or|#Q&USz4%s?MCt(+cX_)a$Q%e2ulS+Ipx;
zOgi$K?}oMW<YL4wUotdHIW>98D^7*!ic1%%tbS<6%y8qkfIzmPWZaC23||!QhMv7D
z7Q^N~&rWlZ@A0hV4Jw&^OG{QCo_cBJH1(CA3f(__X%E=8P4j!}>2~gOyN}=1Vp?@-
zYw=wH*SB-xYb~Ay$`$_1ZV$S#Zl|12kKevU^1*ehj4GYWg3LYaAC>uTFZ&l%CeF?N
zXrX1l?xvG|vqfh3-rV@??j|kib6vImuA846S+7@P8uGw8n5Qh#AbNo%({%ncsUoQ*
zfpdjU{pxxDbLXKwc0sw}c0NH4c9x%3aotV#w+?)@;>KCcMXJhkW;t<9J;Y!0>FPw0
zh#md2Itt?sP5kc_8T2~u)#ADC(fgR4A2vCC->P~+dhgcuLq8WEesW=f@%M{PEvr<t
zrC$~MO1_%)=xbBix>jCo(+Qgwo=)8*vpGVXFY43Xgua5>tclMABJ$ppefqdkeTJ;d
zik07XywLxxa<Rjn`=_db_;)_O11;A)H~%pCCMf@7f}dBZw4U$(y;GF;l@(?_n)^BS
zZLI7W%j1*3G59}WyRBMq#zFFIP0lKj*bw9IGk@N(W}l-Z;m2ky*nYWV8)NUbrQ*t}
zkNXzAuKCx#DJSCcfv1@};-u$(txNu}>T8GSu`7#~)K2i^5ES0fW?W%)-u1P6tU8bC
z^vkma*q62T2yd-qj$F#`q-T9@sc(ZtPFd6ct&;^z*4Tb}`&MsOz+9uMbV2s+Ibqil
z*GxTp!_>8CVIkiVnJ={~eyrflJo@kaabw|(f2@h8?kiS2Z_`zno*ZngA#_W{r~1MV
zyC>yYlPBI-UGUDK-*J+NmZM6x9w%Suxup|*OkGySq+gxMcVxELho##tc6(0N$~{)~
zDCxA*s*`(u&8s(U`nE^;0E<?7`Dy-{a+(+S@m>48vis841Cu!RKWD1DI<s)D)iJpl
zt9?T{r;AM5;m@ai<w^Oc31@RF19ZgBINET&u+a_a{F}NqfpuT(4Y7==EB<Y5TQPUH
zbnE_Wb}dcog4))3@%=~=+4DdmHtTcD`)#|5A1{B)GSOiArr0I-rrqwlD>+4E!~Hv(
zlvx~Ndo>eFRTs7hp6324;&Sup7Q@*GLc?Ew+A0;k<85Kk<Ge{43wAxKQPEkPXUMio
zu!d_-o1L0a-s0o&jL8b_dM))^zP$5(%KQEh-=X*BWeIl^*X{bdG)_k{*YeO+jV=SO
zHy85-igZ>zPTKDANnqgv|8oa^3*WI15supXw|J?3=&VJ|E&_FlYWWF|8)hXGZ&yE+
zcda#&Wp1jqr_$!K&|{}tTJ}x&bTz!qe9;QQe-(4DoKcv#n?2&dyZB`{mwPm6XI(1Z
zvtLj_a!&QM{*5Q{6Anjw&N7LQ?79_p`RlQl{F;+g7cgm-u2+=Lba&NXks|9|WN_hZ
z#R2yhO|4sfHhi2QdQnMJ-M`3SzfoD7qeHMW`%I4ty$x+eT0t!ju6;Vkz0BzP1;Kv@
zMU@KwHlJ?p3ijZ+-e2Z7b;r%FV`b&H1A{)eZVH*4`qQUn;TDl^KQpgiPo81Wl5Nx6
zV#QweW>b0Cp0x8N{+}FqE}3nLto~#=U%ZU5Jxb)PV}-xb@3)o$eMM^iuXT<Z_`dj3
z?YyT<;m{-ArC~V&48KeR-l^}JzFp?e)VLIrLMK}mGp;Q%i>hW!3VUdMq%bVhFSh>x
z$Dhp!HXNez9lu@Nwtt!CA*g+06(6(9Q%BX-B^jGH-Ys~M@qP7{m-naK+dGLNX^-Z%
z$ot9KOQ$a1!F}e;>3vNzpEke$b!~TK?7N#iGiL6b_v_zpU#GWq+m*W&%UZ0nEU!!`
zo!2$%b6sa<_qqO#?dP5Ue0pbkfU|e;ysl&?)rTgk@5SGWsON0_^?iZ)S8Jc59a5#0
zlhqT|&K#-9S6IGOr1;bCe(B5~;V$BQ{fn~KI<2~B{qwcoVUGIzX}8a%p5lGVmH9GM
zqFwK4#uTL)b}7L+ZxRj|d_L3aa?JUBUba<U+(hS#JM=qSl~k2^I5%E?p8oB#wVv-P
zyJ8mGw~=cLWb%LQEBMc_)OY!A_w729&i?j(Q}};_rHC0{O7)9HS3bWMwfdpI_D-nd
z`Qrj#_fIZY*>z#_|F!;4QaAhgxhy{0QsZ`~bk^=On~W`A?OD7#`0`C}zOoIoK4{j+
zo-;qm62WTu^&GQ4TfpW=S9=alORILAlbp@?TyZn+-zTNTK}$2(?$4O;#P*3e)2iu<
zJKr4iGfHzkT*~QnQ1QTqu)~jpTQ*3y7VP>{%=|iDwv7L<=Wfe6&9e`&8Tk7garvwo
z5|(yodcXDT*PBaC4a<cSrt9aQW8W40!ff*oX3wWO+qMLL5L^@fej@+$g8Xzrt=_3K
zpS-sGe5i5%j;(SLQ(l;Se;i_&n0)Z)zWqYlJlD+xSM&8(9bEtDU_47o<=UJQy(Bl`
zE1N&x_Poh>BtY?L{kD%jH`afxh&}kY{YAy3n3)-KUvK5GKEL*|`I}e&jW%u5dbV-R
zotZ1MjvV?mX{t<-)V`|=%1)ktKjV^e)1)mIzfb;`A9mv3q$0DE@^VWoOL_`izwA{@
ztzRDf)=tCl$c@RW9ezK(PASg1^>y$3Wfo6kc$=5CJf6Dy$!uS)<zDQH>%QulA3E&z
z>Bi|zYpzD$sC{(w@9{t9r--><F!(E|I7xi-^yKB=C%sZWxnkq3QbB90tmPF>39q)4
zb;my1qQGjM8~c>A?&4|F<kwxIV*9v%MqE!S314Q#eeb7Ta_7zoPq!JaXUQ$OX)<Y-
z_thh3!(aR5bg<VP&s{o4`}bmvyNhCkf>OTETxC8b?0g{0^|jL0fw6B`R#r*PFm?4!
zURb}XKA81W_QZP~ldESI3x5`FjZ3=Qzt3^LU~jm4<F9(x2H{Js3yM@Sde`eUT(B)$
zxab5=#VJei84S-w-18!3wAG)lIC_#_#WV2UkJ7F4`J(qMvum3roKXGV<KXv(qG=o_
z`T|)GMH<O!1uk+dVw|3wD91I^be-0U*{4+=eOn*;?LutJ+0qX-p1N~0Z|(^-Q(@V9
zNWE?8^3Jl&kC$=X*YeQ18krJ$D^F2DFkx=@)Q!`(9Vwc9jW>VV@9?+@Zppp@3ZhQI
znmdFK*PRfw4;0Z|YGzsEBWoc1@|FD=^@y-N;-PC#uv9v<Oc4-E_^5Po!r?@}hQF3#
zt#y;nT-tb!i@$x6@8fNk0-8T4ZSA<``NUwK2~(coqW1x24;&wAUX7k29eHd<p^^K^
z8G=feugZkRzuav<H^{3dHKZ`}O62W?*&oU*=6v39!s~O7YFd-_F?BWG<$Uk|>M$rC
z6qz&K@7kW*VnXQ>Cz#&NIl?tJDF4bKwk5m7+GP)(&)HFs>9T0TkK_BlJ4T#*_=Rhk
zcx+|Fj}0#GXWg6Q%CIG`Vj}Yc{ooqk+@_riO**!$h*|sZMTtnbs+jA^^kWyFU2BoF
z+<5D0UQo{c&E^ZrBG1?D{<LOg>DQ`|;C4<CCGi)Jb9bekTX-?>25&*8;F%DAQ-v<M
zpe?OGw|%c|Nq4=saaqP2^F>T|t0ojUADQ+xgIV=Xbn}Kq&#${Q7k}TGx#Vo%rkGww
z6WjiHt4bNwn8%u4t+o~)HnmDUUR+Y5xo*WP74|Q^9QTdZTrkX24b@veNAb39-wo5M
z)?mLjT_5JShw)1ePh`oFiq%(Mn0ziLH^sbX-Ls-UyB4jkT*tG=X_<i4_7hta?@l>o
ze4BA%wnKl!jvBSrRg)JLt@)*A!W!!REwJZjkf2HPi}R;<X8&fdnDl1OhL(<sCuewy
zuWnsZ)2G#%wN-JM$c%fd%G{KBpYC5hr%?RQV}r*xFEmYe+Bo&izZJq#ag4pEO>-l4
zXCGI&%=|%V*{l1jd@j`9eJk6vj=N^bNr5A(MJ7K4Rl_~hXK?lwu9A)1G-1L0yC;j)
z^6VKtU1vXcDrK5RN$5+j$iP~On+rc&G4ZnMIK&coW}|1P;o3}w>bce%0uy|GJUY^2
zty%tFvt4yFbA<c{bD@G=a}HZtCVhYEER+%HQnK#u<cqVKm@{6_YHdI7y-#n-m1C##
zB6hil+&Q-(^-`_8^}CrSGjA~-x>!9!^UkEq6|FODuO9nj_CoGPe%sOut+y@3_J%uV
zzbj)l(tCVdu7Ss^^}{aTuiM!i4yR>K%+LyYZe{#9^{?1hm$O$2=6!Ef+8t7H;CRcI
z=&aN?2m5_1W-om4ckh*FH`2A2E#_fv^ldddllnMx{@Q!J%!VOX{>yxu>{|YE*>mF-
zkFO85-`C&v=-lt;AEq!a*1b^K>1g#`&;O@_xw4$Kt+U+0-+N><tBjw^zvkHy^?r-H
zO@_~$(r>B@=Ue6$e_idkph?rKG9md(S%bBgcub^E=i(ceV!!B!^_LsnNN!rJ@7HL&
zLC1rc{c7xk$tTWUSW!{`=S+#{vHBo)zksmhNnVQk`cIw=-+TM+X^CgICUkt}71Z#Q
zy|Hs%dietvyN#E7FFZ><`iFVG4Ey9Gd+sVtITuvB*w*dP;X5+Z7QEk6eEDyVmxA<5
z+dph;LIRl{DK9SaRZx8#_I~yh1Harb-d`I{*!SvcNFEZ}>fxLC_`@4R_m?wPJE`pI
zp6PqT=^VrJ<&4uaUfb@N`u#$!sli>dbK9PBa@9}Gd8ob7!R+&;Ym2+5wHe*dx@KDD
zHY+{#?=z)a_1*Jruia|AbwJ2?{lu0h(wl8#pD##Xdb~y8pWTObO&>qMopZd5;lj*-
z9iJYFEpz_d@A>&5!<))QN1sO?l{&<_N3`xsMP{A9(eJK#(+UG-Y&tLTs9o$y`-wl3
zs=4l(O=3!aE8!&AJ>g4<{r6MdA=|Qldp7i(vfLhA$)v!yt$TO;cAnQSb(t1(SBmxI
z-ZfqvYj(ZdAm-3-A^uD4FRbK3KS}v*{dVR-Gb@h~BhLh%49in)Pp@zUrRYq!VZ2o`
zVSWe8>%eVkO2v7Hd<xgT3hB9}Xl?l_hNpNYf26AI<D_<{qjQCy*(~b_-KFUe`G0BV
zXNITm6nmfSeOB|xaP8?Er{<?!W_+*uakauO{b`*SUWvZ_>vDhVtc8Lpi!X#cyycz$
z#LkxO$w^zgIa5{~n|IYEQN;e$q7;Rxh9=L?lungxO<|mLXvUGs1aIL$d!Yw+=P|Wi
zNp7Dk{Oxr@LKKfm9`ocXQ$Ls8)$?2(!sm$H+Vh4X;BoTPKK;ZuU(30Z9=sOw&|WGp
z$6xGoW$`kF?=|b6^&D(Jy?N`o9?nU8)jsUGI@cYGkL8}YwbA~y&`s{p_X`tmKl`p;
z?IW?7S>3SW>dUD&zN=O?%@<1VoE;Hf5hMMJH|}mp!8AG3->&~RO)@rWXuAE6*HOiK
z7yC_qQ?4`aT!M@)0?TqUUVM7*zq{pFQJ+P}l3#CC)h`yOczxcocd^*#_j1!a=9O))
zk$<}T>NG$1%b)K&U(&zU;B%{#+vf9Ov+gh8+#+~?r_C&7vn?elTE$xNR}{WCHYK<%
z?)Viwwc$sq<d<n>TUggHBrbZ^Q{mf?^OP~zeUHsKllAh!oWA@HdBK_2pBPmvT$W)N
z<s_Wcc=gbO4=ewd^gOY;k<OLR))W8SIN+IKVnM&t%7o2zYngfb%1$-swOC1N@c!mk
zde>v^V)S-HbcVX3d*h9?hNTKzNB)K6DNYjj6jS3dA@*U`f2jrP#xKPK%r?9~xzua-
zOxNf86X%}$b!p3<58X_M7fZB%v3&A7DKIqn_?6c7ovQa*C%8o}T`#k2N#Rn_mcY}|
zd>(VB8(%Cby%lSGx99AJo^LLvxfDL`W!PNY(tFLs=eePh=!DfPKdQOTOuG=s&9;-b
z!uNu*rq(eQ+n;B9SFV<bfBcR|Zk`uI%@OZw8ycdjIG%@b%X2NQPqdx>NbsZ3q;j?L
z|J<v)<=t)Or&#ShK0*IzzTV}hv9Bd(+WnaJO7@<>a)IW<8=h|sKd>Rn;8DOC+xd%x
znkU2yFMM@ZHel96K{@Y5%T&&=h@8AIWtN^-p8u`XmJ4nZ9!=e9Z0XSY>_UlW8mH@X
z$J)hpvU;wYF0!@@%(c&RQ&T+m;#5eZnZkn-XN^;wWv36YEX@uHi}~dxY8j=k8X)W|
zDEUgffJyg8oafSQCBCP(bxnIdr`>Pb36G~*|M|DA`LnfbeMQ3F{rkOoBf^``_56sw
zE;!|A#nu_EbJdj2G;h4<blCBNkHpIr&Wkg|TqmC0yow=q$pOL2jdr%0SuI!Dikkm8
zpWA;nPG#}h+4kEM9jcGM?R~LS+jB#!bK;Y_&WH=YUwby6GZbIDSLZ>NlTqOMmi{UM
ze<kNq*A)i0_i*WFNf>JH+P)*nn!P~m9{-!QTQ5!9I8mgT&(E-`UCn?|Dg9ETcl#2j
zu5J5f_cV*U9$;3OYQ=KSSkZpT_iJ^#-<){Hxj^Z6b&TJZ$A_;bFzTORd9z``^R-jg
z?MzHGuMQK+VO;P?F?)gIVP=Pm>W7v3-2eY?iMkSSyV^JNx@q+{2`=r1MT=_1KbY0I
zSN!>M)B3NE8{Z+L{G~acc@3Uy+!s=_ZR+!XAqR8g_`Jooo12K*uL|ig4ZFYpX`ay3
zbEe__HOe!+?#RX$pR<qiWps+#x_w9IQJF`j&BDtbwyZdAz|3^(X8dV=#;4jJ#rak%
zc$>`c(=nYSR-sUrUGbv&>byl$99F4)`eyjn^4<+Kp3Bcx&DCk#eJxRKQQcSNu<0%R
zllmA`pFUD_oEAIhskNxr{x=iVnQuz*pJ09NxJmywi(13XRz9(Rr)DSwvw2?gZq#H-
z)zCN|wbwEK`bUFF`#9KsUHy9Me~{T;jT0_6n)TO+2>HdG=XKVd#LRYG@}XMN`PF$U
zJ8t?&MW?RxnZGUh$xU0%$uSo%N+0~Ne#PuLn&p4?y-a5A3JgEAeEMXyMIGCJX}YrQ
zI1<f&!m621qo-lnQnAy#mveR3-Znos@$nOunL7WbUh8IviO!a*eaSC&BL1q~{Ce&3
zyUhvPe<{o^dKY%1YqJ@5edbDmk8k%c@!OlupU+=ox9w+n2WR{AXYnzK^{=kGtY9cS
zux%Nynd{|M_wQ-5OUT4HaFlgVnScD^Qyqbw&S49cWt~@cB>dX-ae<8fyUWv)Uu3YZ
zpMF&<bJic<ns&8+0{>4f6XD$0{PLpchvkV6Z_Mmb++XClX!4$0yLKPUXaD-rTH$qO
z$)~q7Y#q)Ud&FN}$MydwhxCv0Ep@dinaxurUR@JdqxhWj){pr=&Zj$fFLZ7wzID5W
zMPq}-ro~g_dK!gaU9-)6ENir%qqT7%%k1q2pM}18cJ9(R9vAai$XiRdL9X*-)wibo
zuO%hEM;(ni%i47IYtiCulRS4SHR<=3RR*uR#ImwD!h3z+gC|?q%5_4IA7<J6OkY9a
z<i~j(MlV)eJTdcpV@pWLnVC<{g@kB5Wm|i!TXB8Q9tpnJ-&a`(-QxUIe!g2}!Mkv=
zpzV8n(u!JN|1_;#8!<;L{u$er|LQd{ch3iiv>rT^t2_CZt+oF08Cx$LDsZjk*m^lh
zb<>@T1%3ayX1vH-KPBP6Hg~~3_nsWJ-p$W`3Z>5z;=j(Fz0S}ro}-JsNmyNAerVa^
zAC2$QE;p>6SN!}fXUC*-b22Az?|f{Q^d^U8%cozT9J7jcP2Jvc?&0}gQ}^wRHnEr{
z@L(42fmdBwU)yV1Ugh2KzSUsZcxm&`yzPe$ygWAR)BP0(PqeSxXUdn7Yk2)tx8Jg#
zt7^GI=FY27Rk*X(uAq8{LFF=s%U^yy_~`K^YRbAWt{<G6ZFLu1u}aeVy0F#k`dp(6
zUqabb%jeDh`D@Q6ezi|aE!@plON#BA*6G(2WxD;7-n(lTHklt>WycVG=>Dg*+qB)Z
zj;$~CYvT}on`g5(gqv^k`<f}!Uv_jRpVv2ZWz%xG`{ox%=%VXVT^lSd?+K(Ba4hxW
zwS4ESa^XpscUQS@o0c;}v{$jN-}&WbX+H18p3Xdap`mk&$ZAIqgRfyzwsi8@?(&g1
zeZf{S<;$Yq2S2K4`n7u1%qcZJ$oD+sI;V~C&Q}+hD$nRDbp&|N=+SSM3f(3@<Nr$&
z=_}>484rgftH;V(uS{@#vi{}=rPO7smfrEd$(FEFChTB5$2(g#n^1w3pK>IR*SvZr
zxmTd;*WZQ$btTb*xAyQVJh2L>l9{o1;g%Wm4zHb+HhIGO`#;zo$$gPaY`kqVyQB9W
z^Mq+DxwjuWGE>)Ndn0?Oqrg_7tnCt}2KM{@mq%Rq{kuk1ab|n<&i{^SVFh{JS$QH(
zi5_=FUi_XLxK@*4+2aKdr>*dB4)~b*<weVc)sj1At=1ReXqmX;|6c9)&oj&_7TplZ
zO*m!zc4L5@SN8se9)6Pd<-RR6J=wlx)&KC-P29{k%T?W%{#vUssaUWk`k&{FH<Nu*
zEZ<D1eEjNSa>L1b-IUso(=8Utf4uc(Sx30!0po^4HCKyjck*|>IU_1wmL<uOrD)=C
zkK<#xO}@36h`wIr<`zl8XghULFH5fJEq8wyFl~4rEir}jvE}~Pom;fhU%gOTW1qfv
zuekZE>&!>w^s>L(yg1gpipRfk!Esml9dq*HR+%~!PPX%MdBJy~;8)D855HSWQ$Jc;
zUKErPy3yytUR62k>zZn@?cMS0^TN{XWECqKY(DV)zq$I+zlr=zr!0QlTRi8&^BE@Z
z#7y7py2JEHkfWKgTj&0+!-DFW^L~nKT(n2$F8iD5J${ePOJ6QMRl7-d-udjM4-Gb&
zdnAbc`=S}r5_7a?w!=ONub=J8mA9J@O%;pX_(L%E@&{FY{`%0BPj+jrx>uZ^vpG;`
z(L|@8rIJn$EiZ&P%}Jb5>)PRa{Hub<F71%EMSK=PTjb31xDR---#+y0d&rCU43|x=
zRu}TWma%c!<>vAwr)ah2c3hPAsP&$6OLpJ>hTqwdc1DXl{5H!4O}wWnJ@Jjnw^@_A
zQs?bR(vsIx|MdQNww8a)nTlTw7c6J*&`7bHRWzI3KdqXV$KZqar91ii_Rq4EG|XJ%
z=VkW%e}wSy#2>B4vNkA0O}hSGdHxIkzXuC1rwFR5nO+mPtId|U;N7{8U9!FxQ#Xfg
zZJwCI_Ukg2gq8K~Go5$XLe|y_8qRxsNIOyIuu`0{`Tr9JQ%<G$oSC;@)lSgo>prpA
zn4hh&#e1t#ZtrfC6nWU}s>8?TSyq!Y{a;bK!S+X1$LbWe?sbuR(0)vCZ{5b{w~jXI
zuIZHcxT|Nv<xf*MT7TqDzwdW4ujW9`jCYRB)wd?b#CyI@pU!jY<A(6{9sB0>W}5rv
zo%&?mec=5J@o)1Dca(S7&rq3lb>^afo!n<`Z@gj|C^j!-|C%l5FI`!)NW!@KN@SG6
z#ptlssH{`*lBtYrwa0XtG6R3ysLJ+OxR_1N$fEbe$9MN;i+;QMA+Yds%Eh05vNCI3
zWIE%7oZ8GM7~fP_9_dsbfA;vS^^aePZ`rk_e;L=#9n$UVooCt1S?=^NN$axXYR_n{
zn5PDuezubvcO}m}cVl**#ti-?MUVR~O_XyhPx$3~uKjW+>+=JT4ZqoG&+B_!zM(bh
z-J1foPi^s{y7~SJ>D;r#@0_nX*0EXQ+Rf5ej2nd&&s{on&R})z>swpAzd88Tmy5(i
z>`?r1RYHpEO|5}l$NN6@;IlL1?UM_y_P91|>)JG3;mhZbf&zM8mGzE)wesYahE*M#
zGSkL(Wr^3a@O+v1Tqi<J>(?Fjn||SVeUM@n>zb%^!R~x<hNPoC4nJO*Ty^GcZ8Dj%
zul2{j+k1bW{ck9#_j^}}tLwX#(@!2xwbfGYvlQFXVbu1@zg%4_A@^6Ls-}z7-|hLE
zRU8b|c1&nBdCc)8^J2XA%^1G7%jVThx-sG0B(_?`6&L3Gm6_dDbz-^d-?vA5+RXUH
z^?dhT3pj5qy6n4+$d>;$>sYzx&Y5-jf-1k8QrK6EF6)i8g~vaqYM147eUE!*|Hi_@
z@%E2D@xHSk{P#?9JDGUN;{jiibo?aKy-XKQFIbdfyg2?TGtceP*|WW(85f<gS9rm(
z?qR%;m!ZUJ{~0$vunL^}W59BVFN$&JT7mD0>Ke_a4o^9CTQ=FpEV*Xs+#k0sPMFCv
zVD-xG9?e;9_aB^9do%CG;$06XDIey3_+C73?t8CE7k}F+vF9d#+jz83ELkSI%^}z)
z{%5SZ!`eO5;#Ij0#MaHVo;G_adsgt`ecGDb?~h+(m$5zBqw1YrG|yV>+?T6|t`)3q
zb?~)5S5+JD*O$E0%k!^Msr}&%4zr$~xngw6@+W6#Yk+UnmRZl`o}K#at0Nq?x$jp^
z|9rcZ>l|)1-e=kC+Mc|8Q@!zrFrV3`miZ2IcsVz&H~OWYBYUBJ{Sgik!)p^49J(CV
z?kl~i^VZZYFJ0Mc<C3pUac#;v<+nNeN!#b^GfW&`Wfus}uuV(Wwr2@<@O?O;VUB3p
zzk`ppmzg|lXJV|B+O^H(;q(h_b>)}mOk<ki7-uj$j63^!$;bUC7kTbHvQ6X`1Jlnx
zT^D~cMs-c=<o}$(`q8k)*EnbTg7&BsWs|A8rJmarUw$n-x$s6p-_ab6t)eG+pT$W2
zJkY$EEi^EBo?+tpZ6|nTg?3N)mb3C6*YjxALXUU<HOvef7HUZ~v(5Z>E^ObFWucp=
zR+}4zp0%>Oe$m{xyTj~9TzX6M)|XRrqh1{OWG0^E6}YZZb5rx5l$Sxrs%jQ~;f;HB
zJTRlteAyQx340Y@&0kiV{wk(LO8hZBT6?Z{_Y@w_o7eo$A9S@m;#t{qztdoc-G}9#
z#-?5#rVZ0v^o=z*YTPGV`&WKR|E<TRar(q(lhR7dH0fY(U#X-LQ737JO08wC4?39-
zA8tP$&vR%#uQ!{8@yS&egEww@u`(iH@r}(4F3;w;i<Q+JyzzW~Pnh1M7{zbjnQHv~
z7Cyfkw#f16r+;dXnI2uOp160{)R4w0vgZF^TMD>sKe7MT(mPELRNr4=o53@Ef=pDT
zQme*2wO+GJDSFA$C(_<k)|}WZ*ZhK+>Gqj^r%#J*_b6O?kg@$p=bB`}XF8QPmnM~H
zUa~wqGa-HYJC(MO^p0Z_SH@^f^{Bq8yz$oYlnNs+jSV~h?s9Tp>78=-@N8Mr*%5`^
zPGt&qW{X$L7tSr7%lReC<?l<$iO1zPHCFdA#%SN1|5?nHDQz<Qw%J+N!q<E5QhNG#
z8r!7FUfzQ08}@r$-~39Qq3}e}v=@avLR+R>x4EeznEb=+y!cKhVIMu7)^Fa5^L7NC
z@3f!(EIGS<lF9jxB^BOxZ=4i;{L5@v<{PIQCNEqX+|TdWU%2>vv?I&=4^xc3T~Ktd
z*8bLa;po%DdH!0P&bt&W)z0n<FVWa=#Obba^QZl3^SN@oS2nzwJz=-(+luHj8y;r8
z4-R*=NfNJmn&OgNbBKHK9>MUx<*A1*NB2IOE_ONa{mr1{EwXy<GRtnuy53Q_BDjxT
zIN#Uq`+EJB4cz?aADG>L`}^-*rlVe&KJhY3ShpweWX=zKx^v|g4V$><$$n0A)6YD=
zzT9v@kDaEg-sZpGOj*1yELrsYjAXVthm@ztnHz28?7zN=@<(fOTc14qNNDcQuO;oh
z?+ey4-YVtLR@iZ3%@1#bZ({!IW>;>jdn>l;t>^bQ`)<u!-O#JASjFLXa<iV$g+evX
zMxJLa1-sG(o}cIcQxU+pt+v$UljYyz4tiO)ExxBNiamZk_*3EcHkAUCf|8woFReW{
z!>8-6?2c2DE4Li{ka5JeXWw?Ea`k}Sr`Thk)aV%ZedFA7HPSTB+_Q4_o0wA}lMT%T
zx#i~0xOrJ^{>=pqCQFu_Jb6x!Nk092SK2q}Wy^Cp4H|7PF*AfL>Ui;B<^OAoI%l7G
zetx~oQn{-pzT6K^hN+x*H9M+P%$fJ!3wckIc$xFxol>{R+V}-V_2r%U@l!-U%xUg{
zG{fe1zjiM<a*}2K+_I}~y(XnP`}e-AzS(;7w;L1Z55D}4M{njoIxie<sFg8kQtsB2
zABQtfs{Il1TlMz(54J>>_9sjN)7bmN7bqv5ZaG@I(|=0H={YNJ1c>+rX9t{I@z-Ic
z!85lPaxX15PW|Ll`{K9aZXRvvx~8P#k$3i;DfQdN*ZEO<n*5`WCb5TdU)Gv%iY@v2
za-C>z<dg$jeM9#AS(eQAvNUf|mA=K2Pw|4&W0=mpjh2wAdjC`8=@ZpXLEqJ%n=-0D
z)a><)x^h+V>XJVKr;Sz$WF2xiGwIxYxjMn?>wUY+tM@l)$CT{+^)Xy7@c)FIgab#a
z+1T5E3QoG;>!l^2YN@zQmDB62X~mbRmG-7fFUlJ$hW<TyY<B+zg=;srw6ij+8l`3O
zT*=hbE-{h5bEf6IrAEK>gobNpn$8RBq&vR~sF!-6;gdT1?l*~@htF$GNSQ8eurX<$
zqTBJS+lptuoG@?w<3A=dzgsbReHKc6cJJW?@%8)8zSHn})UzkMfUEXh+SSK0X@=V>
z4AXX%ryfw;mY0~mQ)I2e+-r6-e)z4NJ9#U2SIyp6OSdsxIMehlRcm^4*tIUvumd~S
z%aki7%uJcJnQiN49k*Z~8BJ^X4gS}r>lV8G-PdiEyt#RMPRTZJHLGbn?arx7w0;)*
zP3_+KctK79|7q?c9X{K<Z)qLk+3%3tq!QZ~syO+z`(Zshvvw9))d?zro-^cf#5#<(
zzqX$AYtmi8stwZh;!TbwoNr1v-PbEHc%NF&lo_fK>%HS){-gPgw@MFHFDRM7!<QBy
z?j){1`@PPRXGgjZ_B%AB$z6;3rF27P)rRTLOD?IMuGanN#QVkUQf%Cugze$!YPUCT
zYEk-kx=U>J)1zm<_gt-$Vas>CH2aI#k`Frdf8EtgR|m*N#E9KGb6@3TBX9cD=}Svw
zJ?a@|Je=OEeLr<x;Ihmu&iVDM?4PGCF8i3XZMV*v<h484FF$nANdKF_eCuj=ZCLcS
zbp|tUOyA?Jt{HMU$Z4tVs_@YAFTWaYgb6bLT(<GPz@s@W&LT%c=5IQrUiPXYq(&%w
zn{PqcU)y!PUK5id5<hpV_oTXPD!MY~#P5l<lXTr*2maIUJt2H7SYB8)^X-@Ji<0${
z&5w6{o5P_}))8n?_4n=L%sn^51zq~v=FX`Qt-kL4-^k`};E$K_f2J?VxpZ&WcgCko
z3s=tM`2JS-hq%tU4b>`ZBv0;_xB6(kWMQG_JT_0k3!Vk9SuXx-WE9z}>0Q{8_*}le
z)92$M*4zyL>+h8oiRSH}HC-Y=rm>T;xk&K0ul&ZQhRLbE(LKvqIr8>dw*BUt6?fGp
z_-nYS#O(7bNgY3z<>y?OtGMEG)8T(7!;c?3EctQyw}!g^cd9%m9ZIk6(>644TJ2~w
zWe=CLR6tzwl)DF(s~_d4&GRdDDR>@{ujzInYU-9O%ST(z&#jH(v5Vx8D0|Rhu6ARy
z-{C`@C13sR|7d$@S@v>t&)5+i=5+W;P~;ZoTe}oj1hu|9ZT|kr{F-`oCw+yvZ0~y0
zz0I}ja@{wbS~5H2yQkaMMUyMUrkuVPJMlS(;O0;40^vU^%zjF0+FTMAyO*!>&ZKm@
z?7@oppH3_~>35~}n$L=_h8=>gJ-bU<@4Fb8E&s62?Sb1T(PzEAnHS#d?(^3ObI5r7
z<wi!)fdyN)E|a<_ta0#=h?L<}zlSpEmcJ)Y_<A$w_57<BrM)i&6>R+6vg=6rm;PtV
z^c<ouz3boRcA>Z~?!;_~Z9FU7liuWIWp;0Lzq?<rD$D5cM%T1Ge@^~UIW#5Hs%T=$
z(a4+iMpuHa%UzQ!<9g=nv@lhB(&F^l&v>L9CnYUnId#jR+;y6A)Bmog-zHzb-X15E
z*3+#0Qq5k}@w24KY@WmCZdPZ_thgm`xmx9a+FTaZX?5ja6Y`n%E!vuqa%)Q2CK0*A
z841-JcBX3Fw6$nB_4D@6En#UlxC$$6H+7q?FPd(2VEw79d-~PGZz?vp9-J@fdiu$p
z+tx>SubAK%wM6vufkRBDOk8E(r_GsiVB!9StJkDxu1cP>v)}UF$NI=w@4EIhcl`MI
zVY4v1(Bd`D4VUipNZU7*Jb4py?%j!X>ti!dou7YSe#zZ;QP1LL6$sCqv&$&-UFPho
zZ{Ak!)L4Apc2>;1Pj6M$$yf2qTwryVUU;U1sqz2zOZ)z|ZF>Cs*n*CoCExrRq7{{9
zYh1m@m6h)%6?pK`t2-*PH{HIex22ftUeelka)b05Gh?=flb33rnqM;6Yj?GMX7i-`
z=6*Y@9%;R2+ZoPrxmPwmP+Ii9^r5+bUv1a*XmQ;u^;3@P{pU$5!?tm=2T9ol)C$yE
zCrmGF)V2P`H?wxytmq9noi+YyFHSyFWQbWAe#`TU<ok6muXcH)c7#q|5&f#-wdDP#
zIht)1PnV0^dd?D!`{EdE@Tx-1BrdijPjhlZS?}W;6W9Cbw=H6g7kV$hs%?M6M!N*p
zSL?0%cLv94zFliI`^Ck;sn_>5UrS?IYRrCBXL0y5>9>bA_f>caY;WFc5wJ@rX?pYF
z={&znD~k7~zr5{mS+3rG+ubQ%hn02DF`YhfUgg9j_6avux5bBMHk;qG^mSWS_P+0=
zV%RpD^;@mawJo~EWxcb>b=h5oueoss$!_dY7H5RMoVZaM9&S|3XDH7sc&Xx1*D4bU
zM(NjYw5qt+XNb>`6e&*mCv#v%Vb;1ub8fs~a4D)j=O4#(YG-CdRZ&BMK~?DGJ15y9
zb{G6x$DJ1%v!G(O_lC5>*DqFnypZR5#;843A^3n_tF2-bmvvyuLPxL8FH?;dY?+|B
zZua+CaTh|S6yCGZWt&;%Aw4tVu{G<eFp00ZM+`;pehode>w?(q`!hm!wXR*UY=cVX
z{Tu%dZDh)of46|$sNL?P?8Qk=rN3+RnI0sx#ci&;rq}vr%Ig_*YFEFUS+Lr{=<j{c
zhy_oN$B7>PefvSajVs&7zQ^jj^964dv(Hj|b(-;MXhJ)G@PmX^b}LR~E?)k1@Af?n
zAM9ra#K=ACE>dtg=#aRL@w%@6`I%ZFHx)LNM;*_d`TD@?=%~bJmug&-7vJYUw^6T+
ztE>O~)q*WOjP485bk3#n+&R=`=QiEjVcWm6^Jg?<WWB#I_1^hyj-0<UHg9M7R=#fw
z=X7tYx!1N`>z<h+?ccMh<Je#QQ!}?n{QtP^p7(|8rBO3g{M4@b=YO25`bsV#^ZOg0
zM_kPjd!6KFZ`m;Sxcb|Nm#zynC+FT|Kd<(?bpb;z>&1m?^0HeGUst|r*Yf3`&AI|@
z!%eFWmwT;q{aDP-(rIYBY=sridX<Xs=|8w^w)4KPNV%|ebz<Nzo5K^YX|s0DxX<Eu
zBj9H7+uj4}Z%ea|W=#B261~yIsJYE=ODn&Kc<#jHx0^WM=KXkWSDc<)t0VH*qPl$5
zB&|8@(cASn8dNr$XL6JUFXNiD=*ZS)%bzPA$zOlJXpwt@+uTV@-1B?mx2r#sklK|k
zpZn@c*6xc}Ufx)hI(zqi&4)sdpSpbdB_?G2JB7(JBz5gYi6;}Pci8+&nD%SqV#j3u
ztoiY}whMjA6uc9)t;&QfK3*5kslBr0pz$<|?FJRrFI1WW)E-66%AOKBQHJZ(d7+;#
zzAI#TG6l(&7$2D<vnRv#h{(+;qM0X;95`KlVD{e{n_tsEul?h%c-u+)XwJ-n8v*|B
zj$U$pzvpFX>&6#<4ol4T(T}xEICQ;6;zzs3)g`BegF?3L{rRwN@4}g0`<8rKu;SbN
z$LC6aPAi@#y#Gu|$6o&q%O<HXhj$0hUHQj9!&06xvY={`0@tC*)@QfOe6W(CU{zvV
z(6p)XzY+|b{-65NzT~agZ;3Vg%=GREsFrTf(};OHGtjLmcwbZiZ|B+1i`u8m-@clA
z<Kr!RHoI-um2;tJj-;?J%Z9i^+N#WdZrv-KCp-0P<%Tyl6O6d7t&%YnJiJHe)l9S4
zd9Q@_T2JOZq@Oe?YW5ZP&rP8w0S{KYn@+q~B9XnQS4bo6v!c**yM>wuck&s%J<rDW
zu$u2rlt<#No>M-Povr+Lh;vTAaQ5M(S7$w}tG!-_928%8YD&$Vl-mB*qC?KB3s(6&
zkAJp<uU3sg-a%rqKl7>g7kgeV>{UsOoVC$^TVDO$*A5xem>t)wvA%XoZ?Al!){frU
zTn*b_9=^nq$?rK$f18AN`=h*i2_xyBfww}x3Qe=>d+^&p+tOz1$Ji||DqE&=Sgd{c
zyE?zh!=<#Ei=(n9=4R<<6_fi%jkY?dbuPJLUFvS3-nUYG^`0|gUt4O-CJC-`-(}*c
ztz)&<w8eTd+w5bveKYUvd0VeNsh~5YYc}VZMDMQ$4hgKwPQMxAG>cDeV@<Hbe<r^d
zX3y_lm0n<%V9|V_a?bV1ynnkq&o$0slv|%Md2;JY^;PSZN_{FXK5y}AhLG7K_w8IY
zN{&l44cwP$O?sbTHM4;Kgs{shSDi|qewicPoO9kOoP4aawrlb(hozJ2r4k%IF0a1m
zdRyCyugLd}D*L_pFAEh^_No1yy1R6nNBOSwHJ(hhy39)+96ypNH}mzfidR?6>hq?y
zew^nPzWCRHJ3r2c@Z67o+Fh-{xa`&aS<;4<`IGA7eOAV3xBul1E<9?#qDf0oY_j}+
z<<LKcn}QA&t_|mN-sx`l<W1?vPpt=+KUO=oKO#KaFr@!+P4e`ck~ecMx^^AZ*gjRF
z@0`n?;|@;Fb97&M9bW$7?e?%1Ei);LeV>liYhSqbHudklr7=scX+F0#lKAY)xVWWG
z{>Qs*851_lkZ$u5I@V#gP0hrvP%q!NJ3Lxx=F{0_(``Oi?d<ry;{3U8<LR4<Z~7nm
zTJZMsH>*`;r~mGf>(-jE&F;!U7R8nPGQ9^}%v@G8UOAYi6_<C@RQ_FoSjT=zK?ZJz
zFZ<aYihpfLEX_N3v1DO@uz8j2i&Iyw@vpE+eWJ*iSAJGyi`QGe1m3x)&b3_^b3gH3
znpJ1Tn&cA`+0vaaKfh<b-uLmcf)ni>Ult3sU%lJFIB$~n)AP^z^Db)bz9q-KhRLci
zb^BE>*&GM4IZdz1KlBUC3vxPJU4GmDrF~)g$yt1T>s=pDU=_X=(QvWaea<_n4ud}(
zg|8GW-|4fz&I~%t5On8mW}L}4!LtH)a+O%!ed;q`PmizHzBcoDlZ^C5E5EeRgRIP&
z>Kv`w=I2wi+%Lq{9<7#mUc7hmhv@8DKURG$=7Wdq*Xx_yoBMahjLlt)F^jG%hRt0w
zWtmgVj)#XeStK2u+$!6b#uub6pXYn~!FK<}lI>MJDeJEY`yYv4-!HIZ>#@pP@_W17
z#s1aqn0-sfb6;(J`vmvyn+Z=%<&A%&Hvamx_x3+c-3LOMi!XBq=8OIK*5O_9#qwgX
z@1HY;=elHUei)j3$YMzk=!j3NUem;otrK}j{GCsb$H%tFvWMFyxm{+Rr{%MG`L5=w
zcWdhfA5Bk5`5;-AP$bNK&5Hes-jQzisk(<Vs?Q5{w}j8xA=_)e@+ODUNAauA`WNhZ
zxZ>uzp3tI)>qPdhsG9x2aze`Kw?C9;viy|4ADXxRU(Aa5Z|Bv$D(&XC%#i${b9hsH
zn%cwlf{~leJvS^7J`gwm%dQv$?#2>veUoCfqNv>`7KbfJaoqT3i%@>tv@P|)zaQ`1
z*#3LxylZ+>x9V8MOv!%S^=fNpis8h^c?;gZy&gR&W@-KL6*YC6S56DutiJbu^BZ+H
zMPAEWCzAI3RV-FpX!-4}0%zxS5qr+dCI799w`8VNWWT?rv@bU6t^WUo=cLLPcb?n4
zZcbN|rRM8B!WujHe;n|fzfxl22|LM|t8d*bydA+jO?HxS%hPQKIAp%@3&c)qTb(ZZ
z)U)Q*ZIPLLPOml<*v+n7p!+dRIKyqW&cTZLCx1GuKD5>D&yJKXft_we)$;Kxl~30B
zaF?q;ojqT1efrc52QNL=nD?5al<V^3t*nbJHynD?yvAMPwns=>(B=Gp+dQ}YXFl^%
zW&NcOrwo=wy;SY(eI>ha?rY0-!ycgt)^}wt3maQTdu-=BbIN<xgh#0%UK%TyTqN{%
zGcMVr$?%@d?EU;lo|`VjEJ_Pmw75V|u;#-DzX!!RALdP9U1lY>VqS;-+I9Bf=QuR&
z%k~_2<?uo|QeSH3w-2Uwm6;w-{HV_RlQC2M?SZO8x?wEg6V&?Oo;Rqjoa7e}apiSb
zsDk{zdj~dE{M&D#-*ELq^YukDMHeo&jC*}OWJ5jc#05G&oijFSak!td>`G+GH9Eha
z`+eBXm(0P&Ey3?Yw1mW^-o&k$Y1Vm9g8xS5ZO3b|7bpEc=XrLsugI=TEc1ezf1b=r
z*LGkvikik)xVQGXs%ORwRfhgayL7%9tW-X<WByP1Uk)$sHufCY_wb;^s;8kItZ_ds
zC7k}p<XKaD>i4XvwNi)ULnrq>EZ_C`f^Wttr{ET$(C5y!zE?I^axJ~Ld}~Ma=dTL{
z_8reNVz{t$LAd$ru=qLe1<kq7Up&z9eNAA{C9b!L?Q>?={B%6BF~4K`CX?e+lJh?N
z*x)<6b9Xk6_~Gr3rT6re<gEFBJ9eR2(d}QYK}(N2D})Lr+60|+D(C#h81H17Z(emY
zelqu!Nlk*CU!KUyy-D1auAjC?Ag4?J{DFCISXT+@tMHrNEs>g*t5$gFuFk%M?FBwZ
zpVk;0H+#af;QG5t(T0WmUsU(KV2V>PpC6HT#ZBGFY6bTbzGI>a?n0+5-|o6BAs0O>
zl1rLZChW&embV9vtzKw#POm3~CqQ+^*BuHUe5ChZv1x3wTb9npQGc5&vDwLbV!H7w
zpQnq8mfhmXytH%K<5^2J<@|3Qvfc0}GVZIz+b@CZZQ3VBCU07>xkM;9T+D*&;61jb
zidow}bY5irb6{e*j_ln{nioP&yTr-yefbqv*tRgc@dSrQ%G&t?Hn!1wx({-M9m<{C
z^dsA0eN^w-sEhoPU;1J@4s(4MKlD2GQsoufPcE9@7!r2=J0msoR~uh=)E@Ps#m5T{
z%W7@+-G4)8^7TMwu1|@)&6Rey+8P=Ew1_@ExHu`<KdpS}sRIlq5`LT4em(JS>MM@h
ztLjeh>gAsZ?VRy+PJ-slWc%u}THy%~U-`6c&iJx)P5Ls4Q`hGolu_MlXX4z%X7lp*
z+Q5Snxo@|%O4v6XON?qdGDlTVWB<QAKb0%r4+kFn<-<6+#ah2T%{y#oZQ9L3l^?&H
z3;nESoZOOj(!^x4ZE{k3b<OKdCwkI!pRCugK5%WrzlE#pYQ3i>FE9@g`t$R-aK(mY
zGk+F3O)(Ukm*VmMef09RlHHBgENmri&B8vP7(4av#BMdZwn%54fx*5#R&yWA2Zvj~
zpT{3+@u{c#+DvZV&98lfYs6H3DSqT?Qw)8bbnT6hm27OgvAmMezkY++aS#62#ug@j
zdDffw>wNl8mpxf;(q~LNSTp;+!|P{@To0(cTo;?%5#O8Yn6&+|^I1WapL1MV1#D8n
z#jl-z5f>`rU|Zm#Zfeh-`c}TQ;8;RFfA@x~mt`Ity(siyp2W?KSDrNoEc#XzIq{MB
zwCaaTef!%cTdOjghCSaKxaIlEmHkbEJ9@MlmK`*de$??t_uMyC&O=vR)&H=$MhPD8
zX0ALx$4kd;l2~%l9ghbEuVZ>Pf4M(NEN}5*$vNI~A;)y9c<vn0zJD!Y*PaF+^|MJY
zgE^PQsfRHwunm}ZN%rj58nO4^oqc)Zi?*)%;dy5M`F2k+;fl@LYo&FWPx38Z@XM-Z
zreo#m4!JzH<pPsWy{vm(7kxXE%bWMs*`l8RuWn^z&tP6HkYJ)dk^B0YEioCEAGW?c
z`02gH1Br#V+02{YOzqsIpU23W?L5;d#$b!ww8i~jotL({sk<!;f3R_D@f7JGwoA5O
zubU@$B*ned345QBlG`J2sjg4*eSd|XmUh|+?)@U)16g-zK9-$%L5*kMLZdfAvZvA~
z##t}XxEy_E*L3^5+rJar?(ToWrP(rh`uV&?ewT%|+DgxS5GUtW;1akqW6IaMIbU@B
z!!Q47mz-`96X?s&T~NJd^$RhH<JHk>xdj0V8ILj++$>t1)XP-YFttD_Jn?s>^&#yj
z?!WEk2c*O=bszOO*KMjHe&EC7R(F9JD+Q+iHm;LexB7_wohKbN51M;>-v51{7pZ=2
z;|%#r@#+;F+1++`IS>6QIb>ONGD5kPpKHhMLpeXBLYm~Jw#@#;z*P~WcQ5mqkH1E`
zY4AGE@|=zPc+^;8r=EMt$tnFtu*b@y<YE`YzY6|)0@GU08y7gvSYp)1(aWh@wdH<;
z^<IaU%tez#FYW)YmuFX+fA2@p!d)+xY&|PEttO?cw=aEtpZlbnTxFat^CF}KT&GP~
z8Qg5@o__vqs#^I=5eKzPiONe==KnvHq~*+Te8Kh?T&Z(+d!Axg&-}OGl5MwLvPehx
zT?W|~zfZ(jU5+ep{ArVUk9FSVw9o1@O5UZOH@?RkaXP)(t7O&Jv%z8^`?AlxzxTC#
z;k+ev*W$`u@^oIgmed)ws+BmW%+D6f-H|6b{r++W<%iZ<?|BZt*na!b+16t(!xHrC
zR8lxA7teTfsOa`8tH72#mWwN&+K7ICxqiA#`1MEK9*?;SE7KZO9ln@e{M5zQ;xyqZ
zQ;MXdXZ|iOn-`Ay;paCgEc5hic;B>gn=Pxe-w{=#Iq7d2&hkt%((IUH!E-L>bECSO
zmBD&-->D~-M?_lf>7Jfq_-yH=-tFu8LvKF+qBT$2RM=+OlSl`P#p{<<e4NYob+5}^
z_UC+__2nP!C2IYb+f6B~^4q<)@5_eC+qI5eyL&f#{`x<h{HxE$S~brVUTkgs?R~?W
zIegxiBetclu3zuH*0lanWEA`9s_Oi#O9%F<h#k6kD!yQ@<;^d#Jx00BzyCkoX|hI4
zc#E9JWrjb=t*0-U^u9`D@Rso1_u}BH=1k4>qb3*Roffm4w|$nnlyQpPOjUhXLBIX`
zewSvxHA_!hvnS`LULQlDY~90qLUQKHe#>@$-N3Ru_FMF+BNmqNx;&ScJey&4+?DU|
zl}0;;R?ptTwuX{5iyExYaebbhvgFl_!assrQ{<wG4;dz1*!@dnS;3q6IT?SdIiFiz
zJsr@#qG(PESFV&$cam7WQLWPqhufJ3Q8DFT&YwTd@Wyzb*e-vkh6NYJc6f`pdF@}$
z`OHjnmhO7nJr38lA6)XW$4qxcmRqb!-vWWKNe1_I9;~w5A0r@lRa(JUe%b$$6@3LK
zO-jBj`**A$&@cK2_r+;1!>)W*F55J5|IHHKov{ZD4PNxQ%d{0KIJ{}y#Acyy%APsr
z%e*Ds%a2dlf46A{!@3f`4XbkJn=~wJVa)0bI<xYc!TZ7sGwm(b{I;rPubZ0QBhdA7
z)pPB@lJ944Sht-DR<zGv5U#TEcKPQkTRMyl|2;Bqko1=^*!g4U<a$e=s{eVM0eh!K
zRvf#tGB>F)bh`4j19GVw+9ggpPFTU)@~SRDjlcZW_62(r&)wfXi*<je%7jNE9b1-s
zkf^t4`R>+Q_2foo{9?ZLm@l3oPqQOiXQ<g)tbefo>JHJYs;*@k5Bv_zm{j>IuQ#t}
zx?G4%{T{hPzK<0)&yS0Ir*ctQtNG!&*z`c}gnQ=<Dy|9GJl+_8<CO2u(CFRT-LYPZ
zJ034(SIBcM_O840ZuSB9a}#Pc%kthh)?c?ecF0fuPS^pSl4rM$D;~VP{Yb84ZQMJ<
zM_vyO@$BqlKds^!`}CN>qV9(7>xTbCuiR0ce8`n)RZ3yZxo6@$Dw3XVZRts;9M$hL
zGx7-kTOnFu^j@*r;4MpHqZ;#ryt=3F%C;Ox`{v>E>eadF-#q7Z2cHZRFLYZFaOZB9
z;f^=+qH~gLKCKGpnA+|hZ7aHBfqBI0``?Re!@gX+6P$E-sjtk_OZ6|e@NZO5u!*&A
zd{`;w6WGt^^f@Hr=t;pf6Rkcdbm{#&?bEweZd&*K_(`0png?4W6b`O>#4S~Q@WtU{
z)(zel|4+7f8+z}fip~4XWr3AV?^qS2o_;)fMSM%>woJ}{k8Ijn(>qP86qTafJg<~7
zs%h4_@GP+OzUqBV+xf|hB-I70ZmJ|Sru8mzx8Vy>FLKaQ5ZU*+$dX+=Z1L7J<s2Mk
zRmTtSELp>+Q+jFjr9u$_>$+`$E)CjKr^rYct<Jw4Di?eD;iV@xcGV|ev6X+I%PBHz
zRn3a@#nS^b%TAV^n8PL-ygYOJj2`>zQ=hNzj^Ao^U{+K7z4iMU7F23Y%*<G#tmVqn
z-8%D#-r8f0agSoJhAvvu6|D5A`j6~q<MLI?M|9FQ8ZG#x|1<rE>GZOmU)EbhB_1Re
zvi;XCo$s8o;k{5H*KB`#>%u>~R+XOKbLphDVa5H0FJG#CHJf$)MV8pJM=cFgU$vh&
zc3AH6g@3{C&ebVM&$zX3Tfv8`Tc@5?K66Q3pgU^YP3MQli&kxBlR5v<^4$IJmmg0$
zxpDFV)+s8{?0HGAHs@+p_^W1j?icWG=z5yN6=$3FeqOZBg~sC2$49=HPn#E3mULQ1
zw$9UQy?dTv;RTbI?H2KhTkdKw`Mfx!z$<b6dD4AVy?gsQUnfj%Y4{%dcFTFDX;D{f
zPponMSW{ut*A;Kn$#cSP$D)T^v*-9YaMjws{(ZsBv9O@xcGE$J30s^NY<y<%#U=3X
z=|w)v&u(6KlC4uQ(^sOg!g{wp=idH}PdQ2&%_n%PyS7~7_Ozay|MYU4f|;3=$D-_6
z9Ty#+B-hlxdl@BtA^Esx>jCbTy&dx>hVm3SxzFBjAM?n)=;OEg39gp{r@3T(n9)76
zoc}Q6%?lq^S%lbHs)TtNRPAdB-=DWmZf#|-*!jJA-gk~P#T9>l*V(vke%hif|NKAu
z?Jj7^TE2LJ-9MX&xj*l)u^zU4UEO88x=T#*j>8?B-IEzMcdcvK+7$37#r>kK&u8_A
zZ>#b}SAOnau73C6sr>6jrG>kjRVUp%8}#JT<~4jlCI6;fT&Vh0Z|12SiG$ad$Mzli
z!^M2I!XbHS&5GSmsxP0{w#vL1XS=1y!<^xN;mjk~?=qZP`Y<w@J->9z&r{AlX6f%X
z1v2pO`p~D^Y94-pvFLkpZs){s1ydubs!d@UC-%x5@4Gge)uzVf(#xFLJZnC+AN)J{
z!e*AUU7wpIF3fKCvs(O5+D|*HV`gbz)QM^yeXczwuXc6hOV~fsWLOx$pK;#kx7Lc}
z-g9z6hvZML@DvniZ!4Ia|745xD+Y%JpF>~TT<y?ma=W*wdeSYo*tuPAln!S3e?3`p
z<YB}H_xBrEXBltxQvNZ;Li4xu>#N!i4{l=NZ#aBg;-2u|4SeVS6l{E?-1@@mPpbR&
z9qp>@Sqj~^wjN6tFk0-te__=8yUs4WY1f3WwKd2$^1bcmDHRjQieI|n>+bh6wv>Dq
z+M@I3GxN-wB4%~0o44&*>Gy>pRp&6*uEefA54S$Ld?n;=?1|&noHzU&3gfq&bvJwy
z`18X}{f*4gk1dwlo@HG3S#zOUzQE^w+cqWte^YAw=;q7Qv8#R^n&+tMwC?ujJ594@
zWpMaBJ;1CfAgkZ4$A2T!)!r#}IqUfsYjuJrZk8+Z*L!i?OC(6+;xY?Iuds&q7gg6^
zpDG?zt1F<8R}((bWlywpeI`qmZoyZEU#IKY<PPgpm)nP)+r+puwJ>Mpw2~e3<@xl#
z*SiQV&V3uEb}IPt(JyOcgNx?92|p0IcEUNSa_#LEviH)NwPn`HeD__zRhmBUf^V&Y
zV6RMI``xKa58d7MKT+}dE7q02J}Fd|ScR_>XpcSkZ@v2Uqgk$pTq|#-J~^e9e0{0<
z>HLCnzCR*|Z={{wwY_1Fes0Z{x{5ziR{4i+>f2{-k-x)ZpE2trcN715_Q&%LBu{5r
zxHJ@V=}cX=CA#R#=Ter6^zY2G@)w5q+xS~t+$7N|?0c@m_PC0>w8ryG)+eODe0-yL
z;pc|Vgbu5KZz`NhcWtJc-MHFv-1Ld;3B%qCr@U6{M_fO0=bO^QX*<^YX>C{J<;tvm
z6#p#y)PuL0_FVawFEe${n9VA|*Z$|q34^`url&SoPus7ZlU;Bs@m&482kY*NUsw9O
zT&Lq?xF&15=+0~g-`p2f8yP>lC(cgyPjCJGb2l@?Y0mcJIhH1c?!ud!8Px)G9aHbV
zV;A2mG3~PDD;H7DwU>6yig~t6B|`UwWhAo&uivbzCi`lpo_(p8#+m&!w)vkX?_1eJ
zE0)}U@g(=2rA?&e$?m(dO#1#8Or_L484hmwa9=~|>$mv=1_caGj#ov0w%GZ6nsVFR
zH1@dLlB=g$7AcFUl}*>}bj@4qc&K-p-OA;^Ub&sjE3FpYH$&sghnnbn8*>6#4PLKu
z(`DJbEu#J9f~`NiKFgLo3b1zNJb!*YkIC-MT$z@MH93FHnilKH32TS&i9IU&%dn~8
zL14oBZ#&i7l8r3yTGqyU`P_QR{*&cFSw@4t<%1m+0c$26)^xJ}bK%+E6^@(SL%tbz
z*u>0XTUc(Lb6&%|VO_=CIY$gWo-1rK@H4*aW^8*_ld1kYzq~b%SF--M|1KJhb7dl#
zg5A>Ccfa^574t{p(w|k8c5|e^_ipO0=x5%_ZEy9k=R@`VaKBZZJ8Sn}{V?h5mWQ`p
zpS@5Ms%vbyt6RR0?@G@);UmvgZNJO;eVAZfd^|Ag>e}$uA8(e0DsHg%pa1vsy&rrs
z(|7mSSs!*eslYskZT0IX*-D34Z?$o4^mR4cb}IF@>jn$v%=u9ztLL$YOek>p)^lH(
zr|V5xde@TnH#2sIZJV<G^yJ5Pi<A>XQa@!UuUd3-?<MZ_vo<W#_^|K4*fwi!pJR5B
z0=q*b`STq`o>uNmSXk?D`cstq<p6os<k0tD`8||8PJDmRSXQ51%(iIKtZhj)%Zetx
zKYFHR*UlZ&zLZ{WV6t3q7ueZ#@zbP(#hd0t-OblOb!$h<AuW5oM;8RIRopk%HM{S~
ze|~GWpN$FQX46K$WjhuGSswei<Mo}5Y8AWh-|m`bv#z~N!10cnRj9&Mewmk=2me1g
z#L1Dyupv)-L9fT(?fsFP&e><C$GUkxSiA9SwSQLWyD6nvDmF>;x_6&0E2#Y(u%k_J
z{hmfk>y$i+qN0XVHZP}kr=;e@-HY>jVq|iOk731+z^&o|zKpTTT)+4Ko2aw*&fP5S
zlX3xVOCrK7-mmHC-xK)X*jVgYYt-M{CC=p?-(Ib%xU;<KlW_grY+=v+)nd=B{3Q4)
zZaEbM^&h(O^D3VX+eh7b(frqYZf|#dzi4syX_xDb%crRD7Yog}uuYcZd+fd16HBBW
zY9_y|U^ybwuu11muB-itxu?0-vZT!4@#*J_!pPSb9<CLBkgt&wJn2m0vPapPOEdoJ
z-(LT1N0-OJXNJ7*w<P5}F{qr-ad_w59}H?)fz|5yH`Z!7erA68D<J*O+q9aaHP-rv
zpWM(@UY=~Qx@+aHMGVIk-#AAsyE!APUdOqZo9DvD-y18_t3QTTzNvS;=hIaDb>bdV
zFZrc=)@;79$ojO#E;iRiXD>GG-J3JP%vap9l)<vZ?PTAg$bE7W(|q<`=F7L+#nmfh
zXzo{ihdC~VRojd^E2B@Jxp$#fQ=itgRa}#NXRJJNI$*1@*V0(8%2mHbH!jhe*_LtI
z&bsf0;4Ajj+;j>54Ebb-iMHHbEE6@_jpoWuIx*FK4KGv7Vgc@BUz-!-jJ*t6_fOLD
zIHv0$!un&5uHJUJ+PhJ9J-p29&F1Y@*RB=SwM0DHuGM(_d%bB{o`{r3u8o_3c)jtu
zjjKC5WO(_0$gl;jn=^Uc2b(tqoNlY*{VuSs;`fkn@@~=l#~u0Qq)DUJ#=z+huT*Qu
zyf5!q`FC0!+uh7U)_K`~U)P*1;rys|=SJIm`PnJ@iD8`8o;G@N)=uvkGZnqX*Oc>F
z?D9`tym)Jl?6YWLsV#|RF&ESI9w#IOSiH{Sd}O!iTe<0qCcDE=w;yZkdwPBEJ&U&s
zq*+UkI@}6yy>Zi8)9Yccrhiua)gMvuA)9ym3$52<z8bLkGKZ^>Fn6iBV#mzmlYh+c
zHhM63<Ie>@ro86a<`Pi+gH_D5OV9noT4mimQ%!poeNS2|Di)!e)h{xmSHJP>x5*b+
zl=kI4u*$r&uQKbP>C2}PleZ*fpV*V(<Zzj3qV1*y^*?vtSbxs$X?)Y$XYZqCvBbT8
zdwPSu&gwGhsq3b8&atf3O!COv^leGa!iAzUO9G#Ki21Y0m`ka9qe}6TSn(?-KC9hN
zpS}A^U%ZSDLtFg8LrNSUws!4b7P{%vlorcF<vZI&f?I0xEB^+_z3{(%I5vK^=RC<X
zt%dIXO(*)79dCN+f8%%|`}!q5%YILOG|&9x^2hrFBrkB!`V_{>QzjKGt$6y(_wT2d
zJl~wD?ah`L7NoICm|-E4teu?nKbIc)3&-4dN$ha2Xt+Ifmu<HSr{Qw54|ba;oE2aT
z<Iu6!^qphSk-kmzNP*gxF!T2FOD?vh6wE$X+92`mR{8Aslm%)MceXxCn;YJd+ZP`4
zF1eOt&6OydO-g4Hy?>cl7&^-vd=c3lQyG(R=hU;mVnQKI4GD}~(px^w6JT(;mE!+V
zB5B3XtIZh)Zth9;Kb`vJ9fR`L@Zw@o-Mv>nt3F;UXnRz{>vo%;_=X#`9b7wV*qB)q
zH++s%`gpm0{c)dZ>*@}4#?9g6ZLN0K(p(v+&G}`@c2Tb|<F;R}_x^5{^5kE?e#yKH
z_M){Lj5-#75b^4nc~C9N@`hgj{=CC)&3kLMr?kt>T9y;?!X>71;lljJ>HmU0TkQ$T
znX)Cx+3P{^GFO)qMZ1hss|?fEiCg-{3uWu*9A9=cz#%_zi}lL`nl9@kPX-*C+cVFd
zWpd@CUkYdbPWIxRc1NM%KtQD25#8hMQ~yd_V!HbG)|tCq^RsrJz2NgD#hL5mo9K02
zH{?E49ji1tckR(GO=nB7V9jkJ+jj1Lswv3DH0wD_$fj)#KUNg3GP*fg%~>+1rR-m$
zugLlLYu{BKj}R;8o|VgMa9c>EPrA77*Xmj7p7U1<C}|0BIL<ki5wdAp?a7x1zfNuW
z{N1x>Ph?#0r*jDbGF%^yUfjDfDCd=kR*bOq2eFk#Mr-vwGZ(y%<H_4opvqf&J9YDK
zV}HT?T=Q0*=X&P1!~(ai@O*bw_T-)tn<G5>()|_{Ni65R_qS#Jlur3yGc7*3Lb&#X
zTR!8e%aPVG8XG1`UGjLSy3kxa%3zip`{dx8QURqC58e<kh;&f8kQpL((sn}jnTcU@
zZ(M9SEvGkKMox3HO~{P!&&{zD4$rguZuU*`%qENWz2{dJr0$A8p2<1iKx54X*6qS<
z*XM1MYMm%CX@gFBe)@8~=_xDj&Q1Nn_*nUpNW&uk6viW3H;)L^C$E_P;Z3Z<vE6av
zN5oDvSggo--0xo{TYUXW&Yd**0>7L$+MV_iuEx*bd$%n%Gk>+zyo6D+P2knCeO~i<
z{YBENJyt|DtUhw5LoM!e$b&h{wt3xS&7H#d`em*DX&wfrd+CODoK`Phbi`(@_<TdT
zGHR34<89CG>Xlyq6L8MyK*?9ziFbCXPEdby_vFkqYna<h%g#kKtUf>Y!grQx&9`DZ
zO~geP7biSxT&Md^$hzzH24+8vhFi`BWid<F?R#0bCSmD%F8McIk5ng^-&wBQ+@APz
z%7(8}Cu6Q2NcuYe07v@1U~B8r=gE(sc!{Oj^3+XuVC?cq<DYrd+pH^f@pl@hesEG)
z@hjz7>&?2k`ZDpCgAUGX5)uFBEtb9WY4>sG3dfIE`Hz)LmcHo;3VPkgcw|nDghNJr
z_F-iqPOZ2fr{ZSYn2N_5RkH0=Jse!0U6?qj_U$q=j?+A)9sBxR9M$K^Cg;C6ofse-
zHPux6TIQ4;d!O8h<4$*}NchZrlkGZ-x{!b2>4SF^Dz)bv4>}rUva>tZ*RNJ$gEq&p
zlj<_bPcAC;)qR?!%@wYt;AWax$Xy$rzC}!E<(}`HEJZWk6y~yRx|Q5_Ah^TqU|(wy
z!=Gg=&#(RUteW@t&1G3JoyL9Vq{Xj4`da0+dGoRz&zH(wdi_-Xw`+OywSG6ok|sO1
zFMCCu{w$nd)_quK*87ls)=Px657gBb^Ou&mbS-|LSt*$I>u;jUL($N0QVov30uSCS
zSa;^>y;sXlZoIy=Fe*9v<*GNPQU^?Jx0y!d{E3!}Je+#eG?^*e=4W&Gn*L=KOy};o
zSw8Uo(Y*M;n!nze-2uAxcX-!7xF6ivTCMvocUjJ-MWR|Sm6#f`U+^Wn$_4m+iIGmX
zFFN;P-{xS0%K@MNXudPhdf4U`JmGfbv`K0EexHitxVE{o@5S<Z%W~bTlRZBsEzJM_
zGU{z*&pg>6cD_UBZ*pBsDYI=md^=1fak9rxTfw|b9x>Mq^!JoGozeQ$dTFL_WZj?m
zv_{cY|AJ-ResSFWn_#s0jEhQy+@e|DZL&G1G{R?fh49_CTYpmPmXpP5&G*-IKUn;<
zuzDlkoE>A?s6NH(+=0qGhTI0hd3Qe9Jq$i2ad6F(=*K=RljAt2>)a^t+hzHbq3co!
zqo_yVHon4+1)^WB+X&q14c&0V!OC;ynQD>a8$Yc%y8dAO)NAH>ndJ`y^we`DGrA`K
z4pEVE*pni&?$9*G^&jQ0Ez9oq@|Q6&-d1?(Y{<S(?eAWj^#!assycJh-8m{V|A*gu
zQ1gdJXKTnL`A1VuD^_l>f8i20#j*BLT+rS7soXX8snzw94li3Z&qTr_p>2QE6=|hM
zBDtPVZoN!mvDDc<(@6LK?V!pzml+m?T5Z;UYxn=aVY9e{%ME4z9t`wzTVGjpM#A*&
z=2iU1S|7&P&iXd9{Qk3<iH$4LcS+WTM9KG@`VsV8L-SDm+zrt|^FM0I-q~!_#KXSE
zZ#&PEV?UqYm)rcc#an4*O@_`6&ez*tt>(S4rFm9jF~^4NI|{b#wf_I!yDfTn@9L{9
zUml*GcCz$N+M`>i--t3<6dwo{kbj`mzp*MQMRtaa?!#N>YUS;6?0-%B&;7`H`%^W)
zC2}H>s<phQpQhclI{z{E0=Mm}^LzZ>)vpO@w=hnL{gqjD(CaYY{_YETjZG87-PKOq
zm~?B-j3gBsjag?D8BVV)>-TEb=m~CqRoiu<bIqK2U!(WCAJ36dT-EUM)s0hCz7vm|
z%{Se<uJFuj_3AqPh;)IcF_OWlH)dvt))mHQ|8Km&KWWFwtjAJT`O{ag(QB4WE-vO<
zsjt8PyHU-D3wCD@S|^6E-;*@@@Mw0FR?H!tb=mLg{;pYbFX%(-shK}}HZ&M<I;;JA
zBro3f-|_J)Esw*-%i_$x{Fv^}dh6Grl~o6i7=-)C%)1mabE3<YFViL8tjpqBcgu~L
z^YsehiV2R+)9z^*Te;Rhl|FF(O0`gkO8G<G{P%Ay!q3^oZ|%u5vF^EYW}Bh#uNqzJ
z{Hw3|DssekES6z=wB`TWsR?uA{MvTv`A)FA_+@6<W^ZqE`#m;NA0{wVHZ~qI5s!BI
zbFqX!C4O38aBI?}H+6rW+&efoR;n_Z;Y2d8;{1)?yJ9&r6c+d_R=d8-(IF*x>$HTm
z`y;DzHW}{?;IBBiSS#{{yV9xK{3o^EXlOZ#<?a)m_Fxl3`sZxDFVa^Y1ca;+;=051
z{r|np{*9;J#uctSuAK7o{_a2TFDq;}UnMP7lRNYKs=sgZ`~`pQt6C@L(sL;EYsf~`
z>+^#GKS;<L&a;&JW*u+&NL^v(=0&e<m%07gZl-vdrONK)(hKWmaP}<Y>WeAVpPXxK
zC8>UL^V4s&d2bVsd|kgX^XLgfX(8EpJ#z)8pRszbqAeWqxF$YMy(Q<}rvrN@FPZV;
zH%~zR^`j3;Uh~#<*=2eDGF!Bd>FN5*dyUGNGdkY3T#itT|6X}u;!3gVqw~0?bN;B(
zm)kIVcEgs;V0n#@WJ|m0BI%-RV(lfoRpFhJBec7A`w6YSIbq`2swGccz0LY1!o@X=
zuCJCa+|6|F+>?qZrHH+DDk<}Cmn^)I|M3!^_+!3UfgfAEUo^f?FPph5pjGL~I?rv#
z6IQS|X5CcWcip$cecrDfLVC47R~D;S{r)shtYC}kN8b-2MJIL{D!4kTZ%9y={N1P|
z_<WMcn$rR`J1rL8uAFZ#vR+4Pd8aM&!8MQ9h6Xy?gfE*IduhtC-13Lh9%e1mKEzoW
zx+FO7+4idT+zYdqddojfmFWBS_`{hqFT?*mH;>=t8$F3>lTxVy$Nt@u#S9N<HI{Ce
zHF;@F2=mRgYWK=p+7*t<XP=&A&|iJ{_<6Mob`77B4B`HN3;4O07N1{}U)8y0)q7S&
ze&2-xcSY9k(zp6_^Y5P(LVbFs!Qll@C0XaiPW{_dFDtxq+2t*2+#Hb?x3K*PN&A|3
z)yHnana_WAaXRh!Gr`>dy&2c9?p1fZcCP!j%eOfH+RT%G9>3h_+x6o7KJ(_b6+IqT
zH(%4&H)pAO;rbyjcSppvzuEVgmzvzz?y3}bX1UbV8K++U(Xan;SzhT@{idaxB6q4T
zt)IKxOpjl8nOnEOmV3F*##JHzGtZrQouVgoYrcv1k)`pR2XC&seAGJT)v8Z-uV2tR
z>nZ*wB&nu(!fz2P&X0v!o|m7m5V=)(VfpLY2o{-R+l@9c)Gkuk_-up85yxQJ<Wprc
zgXCCWdWKBDWA|n^XP*6`yQ^OwWLT{q)BNn=%F@nd><c@quE-Q{2rf&mtIrpeowHh%
zCt%UDvZ>kiEk}<RyZnFnVot<q;m==X#JOI3nwYv(#LTMS+c8Pf^P-_K<C0S^>=NJ2
z(>cPNwe7%a{W%M{tSj~`vbpyC)sYs<w8eRcvM#;(Zhd#B@%MxA_cZb{{+!IppK<Br
z(c{dPs~2Vdm${wh5GluV_-D^C`>Cb-?#8^_p&FSl@5(m&<o@|9<nLVEbmH;D&r+M*
zU*s*xPgRu)JQPx_`a10$%ZAmz>bFQXbs2o;nsl;3ZsDPu#!WMqSpO<9OMTPZ(fj6B
z+3!m`uAKgJ{p8d?+q+#RWGLIcuqn}bxmI^~>OW0Yt@uWjnGQ3h;%)8=PL39RrflE1
z^{o5P*)l)3%@qE6?#KJ1=ABdL?#f)>cJOY=^OG~DhHQ3GUVZ79_I%&^{`jA}>h5tV
zZ;$Ban|5F+zutrMJg@as#Qyu9+9}K>eIsB!ONsJoJB#?|lDXl}F3O9>I+)&G@Jzw#
zp^b65g)K*t#40tJFY7G-`_1jtx{&zSPho=T2@@@b;~X<oAD%UTZo<9$kCtlp_PgO6
zzVa)qwuJq5x%WvptI)1(g=D<oYc9za^?B`<dcPXI=6q{o`eGQ%eaT~w&8+qn3mAH0
z@8`%T8lE-(rgcnoKJzVyo+YNMqomhHxxScJaMQOv*7;D}bjQDJYxdr}Z4fkD*x|2R
zMr&2|t%E^K72BEhx*urX&~Sajv7PUyw%fOtJ|4!U4$GI%I$ZQE=M}Tzy{E?=&0YMO
z!vv4^<#_$pKY4pbegfm$=|__tj=baB7#061^z>YQ#VIqcHT7kjS+R53oJ~u;8Kxgt
ztv9#l?GASNm1Wmo?p(^o^)G=tP3u?F#)}~Z3qERkgf@tlEZ5;$VH5Lc+2ylw3|4DS
z{S3^py6`SkY{%QELj5P@5=*{)x-YQL==-Csn}fq!L+4eudS!WW+K42daA{j$w%ut(
zGnc#2jo>M3kNEl*tSOa<%ChBI%g&s3(eKj7#`sk=9c(j~WpK63*n0KZ@>vF~Zw{G6
zo6NngF?XG1xHA9ulBZ%Pb^ID;?))O$JwGJi{?oZ<pE;=5?_IdpFX+aHDaqcK0ybRI
zinJ1v3;ovkq@5{;Cr4Xp|5L3M)9fy=pKIB;_EO&3KBjHwDuVl`7ru`Sn|ST^cc)vn
zGq?0tpIY|RBR(L>fTv6BwPVn<Xb#?cH_lamj!nw^a7NXL>4n_MqM4T0Vt-!SFRCj3
z?dgH{QE{7H1kBm4SzO>;&!hKMKk0%*Nw!Vr#DmQ2U%%KtFuHzq+r&HlKJznA<;ZvK
zV*VNTs8wuv*}79%6ISnSGYk8qe_Sn4X4}H6xo4-eOPy=@AR-dE)5}x(18d>OYem<l
z7wxNFuep2srW2XJG^6w5wsJ7H6t0|G6{Gk;HleXU=-K^G{(FjE#mW7R>EF6n_K-bu
zQ-Q-A(*l0+<4=MUHf^7JL;1~FD_M3Xqn&XKdxX>ytkrhL`@OLGu{PyI_JZ7IDdBVV
z-CHv2Qd8&8Qa$9trum;iR(RGL%YTh>m(7w-XbN=ju3_-My?n|nZkCm=#WqETKdDz|
z%IY+}&A5Mod{6hY1_wXOt#aurwqDvI%Nnyk=d<?w<9wH5_<tXcJ)-@~QYn&`?V8!E
zjcYPC-PqfA#!2m$^(}J+-H&O0ub;R+iaUKep+o<u+uhy#N3)p@Djx2BWVP<t!aA|-
z_p(#(?&@Xp-X?o#UVlpHRMjI2aU~K5pIoc@B^~`y!B=_q*N8iJc5iIroiv?W`4+>y
zy??mtkGU%KM9$e|b)|TQg<$0E8$0+FR&npknAsu5VQl>TY{`YG&yGA=V&QMTTR3dq
zi{IDomA@#LwsRGIdG6Sg>aX#+4?}ZWyUQ0mnrI`g*lYG(aOQ!P`@9~lt*z||`L6q<
z@Q4rBl29&QH=nnyVI_+HC)Q6sTD_n5aFp-wFANhm72H<5rp^|-;56&XeYVXq3G;O{
z6vLgLS3R2XyMCP;FYhebb1#JqY7;VR58d0K5|CB*tW52x&7Qy?xu&wQIcon)YG=Lk
zmFE1p$TY6mAu(j(jlveu>8)!6yE-kWT<s1!lfK*`sp<5pmR)UJfmhZzF+W(5_I=)@
z$J#9$6lVH**r;xs8C9wDxA#xDlA=<b@q*_4%Fgqzcb?ANYu3+urFrT3b-SBq|Ewur
zy(wv%=}P65T~#421rM{Ev#J&s7Mz>=ZN1jAuQqI)r=}^~|1Zv>bKRP|(#(_Xy@gsv
zVM)12V+rd=!E00HuIa40X<&HmxBD#_>$wZQajMtld(Ky`So~<=fsgx_oeewmD$X?X
zr1=cpl6<BI%CRn!z9eiq;Gr$UeM`RK@c9Y#T=R-W%Xj63JJe0~6`$?wCd87FQ0Vhn
zsHVi~BWtM>(<K|b=jHF)6IDBnH|pnHDz=YQe!;M3$AxcOjG4ARUh!<s*(+<A9=2Fd
zkl5>W^6wPS`(eMgtPiZ!t<tL7dgm>V@%<+kBwBCZ^h@8Xtm5O=`F=*$ET&(kdwTM(
z%O)1jOx8BEV4Lu5nxa#;zm8_6v-skR53g)GbMNi9NHH<*)&+s-+bjjY9(dS2>+A=U
z1)SS?-drp++dAP=!jBL9SC$!=vrSB$xyx&Z)-KVg-*;_nQWkIHD%tejN};!j_oMK{
zNAfrMHlCZ=&||%#Z_<Sg(`MVr+)PPq^gpm^@9aOZcGJ0}e3~3CrmTEF@uH3140iq-
zy4UrKxAU|t{Cv}#dGh2v*_s)SMmx?vTYg{RNfIL)m!9|4z&WMHoB>OY>=M`h7Sn2E
z`QrT4$${BBW@^7wwtmPhk@bOFqIN;)GSBw?GxgI$Yyz#GhMV^&nlN!MTFARx++%8!
z&hs-%E-YdWo?U-t!m5{LDR0a(*8jd(9Lv<*Vi>VXUH^H-7X#xPJnK(r{8a9_GwF20
zq^Ppl&wcE(t(GLFe$@UM&{@%Eqw4cWM13DywRe=>y}jAGFCRokP0_f2BQ+&>_p#&E
zoUcx19E@0gef=NaIg+>67|O9|&0jk8uX%uPrA+&qdA&ZTa+a@Sv@^`ATD!+UK}z|g
zPO?<wr4!FIWx^AhPu<B9SUc-v)x)b20dm<r=JS;zZHr<}@5-ty>y&U}p24No<6XwK
zV6M;igAT$z#c}&*zZYFv^P;{f^@+ihq!}-K#kfNs%X{6u{@cALU0T+)^=3uO?vvi_
zIWsSw;kSS4^}>JNLPwt28}0im?`&S5r`F=zw|S{@Zl$o`-fvf4ahd8JyC2rAHsR(R
zOYIjQVy>OO;c!@vU3FnHL*(*JN=uW}K5o9BQ?Jo(SGT0(SnaXb4R=lbMSAR2qc~n%
z7r5e(8ojb5dS<cQ-%xqBOKydH`=U7|A0N3A(R;hS=7N^PjY$PE*Ao>uw4N^4`Tpv#
z;+6#l+g5P@(7e6&-7@BA?k+p|If|^CtbTRuS<1`5@~%p`_?81FPgT?_oOnBH=kqx(
z!p~UVeQaltJpAO4+0spFy{G5+vD`m&eU0&)J8f@{I;``Kt7&yta@UOwOO9JQY4Ur&
zkD|w)&zLU#W0%xc4*y3!&yIT=+Mju9JEwSpv6Nojo_OWU{qe0+<HF|Eb{_Y9ovIUL
zvwN%N^;9PQn&!Rh<r8#|x-HG+F<rvEe&vt&Iv<ge#@fuNsp$;YJLb=EHjO^jd}Pr(
z>F+Ghp2ZogkFQId?IQWa!{7ziD|^$JwOTxKyd}3nH@2C~2vlA?`{S}MPqjl&KY#gp
zc&S+JtgkzDC(NyEv)_?=?A5n`2O;azj^6p4(fw6QQ?uzO)2^;d2M=$%rXpJ)pmc>H
z>78;&{3L6gxxVi;p5I$@<i})j*Z0b=k1jZ_y*}2p^91XJn{E?i4#gYI$qk+{?`7)$
z$`>NZX5OOmEd{eAU)4v=5Zm+KbjNA^)Nhgo)<18BrM);_pdd0Q^#8Q9D?uUdqK+Id
zChseak~q1JHN%d1;j24$USIjO=$ghJRg+$xqV(qVlGh!~)@fd~I(h7&(E75nBV0)v
z<JPcSv&`8Yw0h3Z_xqj~-HN<&Lj6U?zN0C1%+nq-S9rgjY8tX!^TK73^68U42R+}o
zeSZA6p7(E*L!aiQ&Yb(=W3Rlt?dlz6Tl4lXq+U|JyZER^T=i7GB2%__m-~vMdyYh>
z8S?6G-*91FxA?gn(Pc{yzk3%vnP*Z%`Z;lP_UU$a%{e7?7VO!WSsyhiPd0msk?ER$
zr;lm6Ts#u&wU2#QC;M^kX&Q|`Z=7Eta^{;-m0MlZ`bRuxulheYl=^^2gMAX`l51Z(
z%G;wSa(|d}e%H4k8$Wlor&fQ%-^>f&*Lha1<6!rnO^jxB;g&n2dyhD5)cbfX{H1mD
zvmfhUUY_{*d&skIzCS;<E`Bks<KPl?KJU1%hm$nkUf?U|d{M#WBH*_*FQK_)QSLLn
zBERQ(`nQ(KTN!?u?RN0-$tgZdf9v?)+P=3`oIPs7SBF`j`INJ*wQi}~osKoK5=i^}
z^qq<(|K3WWv`|y-=1=P{hp44otqBNg@7Zj%q4CVqw6d>@g_cGJw4G7dvZ6p<G{;V4
zoAe}(XP2hN9Ga7#JSY4#L+0A>vaa)6bf$84uZmjL-DmZ3@q+WmCW^@yTQ&#F`7^~i
zOucTw(mS_dzi+^U@blXfB3S%Fbt7i~+Ld<Y#Il^NZ|@xV(Q@N{n*FcX+1Vl-Qr6F&
zaX$Z85bfUZBwAQan8*6~*5w!0&HiwOW%s}R!MopId=hK7y5?4G;%t>ZPjj2Os$uhv
zPmlJh^1fw0-{<Qan+$I$*7Ge&>&yNY+a)xJZfX%rx06|W!|Q!ylig(v*@s8YEnAR(
zs+H+nW<YDk3Ffojv$tC>`S$1Vaix64IpY3?t^Y1u-!th!i$UaF9}eT!Tk|i^@8wM?
zJi@Jh{i&Sq&37wanxA}H=H|z;^wHm`rx$zFT$xknExIDVb#BwlrLWB97GL|<crn+d
z*=yP4M8(og(-&K7YI=W@Dr7M?X=MqR^wn1MXMXO4#k!vhA5LFgl=W=V+Z`shlFy|7
zN4@KPu5v$SNtRojY5B&!Y5%T!d@61}&?Fxs)0zKa`<*<4?vPba!@?L2x%}sTw^>_H
z$SUhiQ^LWnulK5sixk)XKJs2>)6GM{X^Yc6t~<Szvr)OstGGLhy;E=fk>&>)eAA45
zrf|<vo4;y}vw6&O<(lCAj3HaJFH24GO_t+r+#C99xv%gaQCao+fVhN-McR&~U8R9;
z+VwnNS&Tz|S(VobuiEct(Q$>P?SpK}(_b7HC3f7C*lqi&Y0bLa4U?-9dZtv1oId_O
zuC{57p(Fo{cJ7SQmCG(mbS)Q)xKkbcE+<Ul=&w4*n-)Jf-R9kx>pJhw^uGHW%C&X~
zI4<4(zIyQ~<0m4oR&Lhs%(^vY;^xf0y{<ZMHps7YWRzSI_~u0F<~#55lkPS~emykj
z^~KG8R^i;`>5QTB?Ta70S+gl9&9*~_=Nrp~8dHH^i*>&koVXGr8Lk&#;H&KRqi0Uv
zpLxN_OSh`kpXubz_rG3x%4be};PlCp`8#Hv{8i&Jk@wJ#!$zGI!Dn-gmPzog|1jz3
z#k?g8GS1zonY;deY|3&z)iclk?wo$+h+xFT-vNm~Uhb0FcOdTQPM>8<v}U=z&s?ot
zpcO7~<LJ863$2W9d-NF}Sy1`onM3^IBZ5qu9`n1UO?6cAdJ<||SCy|Kkg#RJE|*!1
z=4#z5T=!%qt+;V+?LP^jbtfE*iXNSv@?Lw#nke1LU8`1<Us_*kW;;9WsE@DMeYZ^+
zY!hexl%CvS^WyjN-Cr)RS{8jau5Q=+*`*<$AKJCAac{DhINaOx=kfy`tDgUtU$0!~
zm{``&H}CcTwA~_^dnGrXadJ3dtlW1kr+#<m+QRj@i@tAo^Y%lM8mn$^=Bcvs<esZn
zCdKQ|df{5cVA`*usnr*0&~T-c$5(*m_LAeK_7QAFvly-htP_9dclz9WXXR?A{8ujL
z6wRfWf6Q6(>eK9frxseMXZ;F0#eV0$#^LLiZt}kRWGS+ltLsMCrk7jp&i2uK`Qh>T
z`2Ew=qYrSp<<C1P7SY{wjPH@k<YU*wicK};Tc_O6P1(?5F!=<R-IUKq`b#zIzu&ei
z2vHE{D`4a<c3=Nr|4&VqRHMM#-H#s1I;innI5X+!$)9`wiA~-8#CX>k;}<hcA{XoI
zxIJm+ZLNzhV-L@NuN7dy@^V6!Wl~4TmWw{h@7W`nSreS6Y(MUoU_1Fz|KmLj2bP&?
z&L}iBnYDQJ@83VV#0*+J*iR=O>J<KXZspOk{ikkud9l586e{TMtvD_H<<S!Xfs$(+
z7usTFcdcKvHh7gK<FcA(mur5^m?>^y%C|=2&%^rgHDAqN-QSSYQNJLXJ!p>EUjMqb
zeIal5`G_r^zj@cb*98s{lh|xm#t7ZoGH+X}N4t0E(eS0eDpCzSmsB<wbAL<mmz{J^
z#3*!v)QMxq1b7b4T)28gmf?(b&u`5(RI$=xva%6Qn)G79*U2T^cMn!dEa1QTP=+t#
zit?2|tM`9>o6N<1VSDr^yMkxuXY3Oywr*GFoo#WkdfH`|(v1rhn%*CNTDU%BW#*%F
zt;<=rmd(knmfU!*C`8T2R_5dURmZhlIZ|U6_Fp<{!=trs=70Zhn+g^_oqVOY{f5r`
z%z1kETC&9D&b4NX%yKy1x<>ZvrIbwFb1qqS2j%MRqp#j=u0Er;Wvfs4CI9sAr3&Vr
zJ^G(kp7|@m{a;qDzEnSeq4^l=1DpS8nw#bqz2PY8cih<crE31s3nzn~$HvMC{CxbI
zmCa`9B$+*G92ON4ce6jdzWsd8n@20B2sBRft1E0V{<inQtFE`7|G&1pxV6V<&5xA+
zY?srb%o2+`PU}3f_@?md&1IjqjNbp3x8*s`ol&o}USHJamhJIG?ai~Sj_WC<3C_to
zQTl5CRq@Lf{`=i;Jz$=-f8Tc1k`uGJUE4ypK3q3_My^iS&xRi_jXrO&d~L2Ud)Wg{
z%kBl;s^_(&rJYLyKJIZS=9;y7Lt)an28r_5nn&!vMBLkwv1Fs+{bo+C+_sA$$+rux
zZK>jL;5?GYnU)sNAaNr#C8#6Ca8ut4L&c2iB?nknw%(p4w?J$6FUjiqW!zi(kInM$
zYxNP_r+@qx-@Bw86B>DsNcU$Kq-oezcPyOUVmEDHMx^u%^}}j^9MT2+yxvra<fs^`
z99mf_YR8(~xXa-(!!9YI(^3-Z?UOFH-D;b*YuoO%#$4yt91_^CqWAX2S?$$}H*5Q-
zO0FwSxSgE9ED#!*P{jGBGcG{8(=#+NJCpVHoBLZ_-gNE?FW#lX+Sb>)c~izpeyu+9
z4waJ<&!c>gN<VIBkrcZTu=#(g=^;nPAT~k%v&%J0GSd&l=Kg+uPr_8lu56Xn;+~JO
zQ#YpeE8o%N*tp$#<s(MrUkiLLMO969G1ck3DEz40u1Msw)D-c)@0VIGc^uRi*u!)C
z(AC`j^*^JN8|S9Yk?oG%(UNyFd~eXAQ}YBi2OT^5Q|ZV9fpucRiAnp@?f!e;X1yVD
zN>0n>vW!Fwt62Z3&r-jYtv}wsYLTH8eR+b(N}l>hPdRJnPQ1eS{QI?8#w)6PC5tEj
zxz&4ET-VL4(=_Bn(F~)k#GkKwraBc(zjZ~ST3TM?>Fow?c3pv<S<mKsI-X7stu*sw
zea8LvyU5y8OJ)E5Wq<NjV8^qm)7<*?)*t%+zL@oH!?Fg6KX0~Pn>f*zch%CXe<#0S
zyRY-DE#mw<%Z1(bS^Kn7wf8pvR9KVdDN?&?YQYvhsmN7z?4@@7=hiEUe0(fz`7?9L
z;o$q)EIhA$pFZ9)=hci&tLM7B{pU6>@PF5d^EYoBm;Rc%jQifx+clzGOIk96FI@JH
ziEI+>zWc0Kx4D%2eCnj6jcEm1!RKFok=fiPY3!7{+dAj`la<+eW!p~0D+@kjsFG(X
zxpk+gKIm1dh=<k2or?q#RN}w+oHHty@;#!<tgyf{C*jRTGZmAAe|Qe2Yh-L^h~!^u
zxA;wfVCsd(TUWmJox(NsfYxG%J!hsJZkl@DT0!X%?<eN@vY(`8?~0RGFIP)oyAV8s
zV~2xX<j$$D-a0d0RMX_VV{*Xf+@;9f-8+QO8tq%URfcuPp+%Jk7g~N@^J9{Sn8CJh
zw_N8aOJ}Y&KKFmm3v-8;Pj=5>GxPqN)_Nd)o8%s|D;vA((tgY>67i8X`|!6k<AB_<
zlm8ZKCWNo^_%-*F^s;5!w)L+yh%;f%I39h&ey>l7vCxk92j6LNpA~*AlpXZ^)5({!
zYuJA5YZ6#$|2`+JL$*i#de(Vijq?-2j9Pz+ngyI+prU!x?7@{8>`B3u9ZRcCZe8^)
zHIP0dx8>;0eg1(Q4=>BPv{>&9W!0U@{UYAud+nY$Z;6$x3p`&q)w}ZfJ>pjhZ+r1T
zX0czdisk~{qxqXYOkb3}yomRc3v1!6H;u8qEYS<fCkxKftnlOdr}Lv?<LZ{V{3b=O
z_I`aR!>sc$#OAZyr%4}6G<&&E3oBNAE7`bwZ{DS)2e?m&?rBL`-#PJ2hx>}$HDNd3
zHN2_od!w>FYjNw(jO6UyTCDOd=X+Gos4U-n_(A=yr}r;RthM>o7Ie|<x{`tZTfKjZ
z&CjamMW#+FXVGg@j&fKPU-GEh?9ln#Ym*Xsm+v~KU@H@wW39OUMzX{58K!;GyLYJ>
z&T|f&n*TWQ{+X&o)#HquY+LU#u4N1Q`E<>OH7hHQevt3Jy{@U5k7Gu?g2-dBIsf1A
zUlYzYo3~``lmGvsrY~_mmXuhq-%UTSxozb^wX@Sol=3!D-}-+`NJyhmVEJDe?KfSG
z61~&1&$0cSu`6DLY0n~Qhs4LTR~D|;X5DtNYtpKjiAuIVTW>i^h;rz2d<tzey!cx^
zTfR~2amAeVq7H>x+Wm%#`PQ~)l@`Bt&bjGYcg2$1Y<hXG{LH)RORjZAGt9jDV*QUj
z-U<ENgfG8J+V*&B^Xxg?0jw-$(bpUE4Yp3XyX0`nMvph$%uEGaf4TlwkV_E@>`Y3z
z^wz*$ko(94HvS2|*BgHt=9`5qwn{fwEf?D#;>Ui=K_jVIWL3&m&ME3_;SGuXr*!1*
z{VfuFu`TDy*)5SGk9J3Iyw`jBJ%_R8r+MqPy$IscWNXqcum~2N7Mgo(^4?{aij)ka
z8D@Q-{)FQi<JMV4d*)2Hx%lzM#ID3C?xt76Z5(vYKVn*cIr@)jC|~*olh%EI*%Z$u
zZGO#aBm7r+PVYfG%_6Di-n|!Bif+D~;~MqXv~|;cD?OchdygYEE$#Bz6C64>%CYx^
zoc_CoTf@TC*RgQv^7w8(hFRC=UV9~W({G;{3*!UNzTy<i2|JmW)@TcbKH1H-v1o0W
zjLPz^g=MRyTq4vb9!V${$iKiU=TxVe@?qZc!qB7Px>~$-F;<?wTa>T*dC2}*zDB3<
z*aBnEr=?#*jNC3U2suaW44IVwY+1vk=9;{(pVw`@^N;6u)B2^=d6qYSths16`-yy^
z%HG$v6cT^8uFv|}IeB{0%&*Jc3@pFjKi;rto$rJRE5m<;ZlB^gAv|;LS_|F3yE)!o
zJg8-9yg21)tJb1NOzrQbJsdvG+OoNVzxIlotldV7{Qt%|57UdcnU@=dWo(-HU;)!)
zsj0kQ(`9p?ocgF)m-kZtJF|xCiOwaLIaBY-UGue#|5H-fUw326^z^T3yC$WqD>%2)
zG(!6M#z@Jx(cDh26L$!`7MQuf(BtZKy*aloAOGBUsPx<p0qHmV`;T1zZKE^yEBp6k
zx#*a!-+MM(VqsUe`L8J2uQ2cBS=}egK4jP3UiU0M)RBcDZ_}jJv+~!6{1*}wElgN^
zchC1e_sd(mqt3~AylKdKd1uLo1uT!!)TF;06K2Zm`<wIEH1WsTvnzgGo&8ZX$>6lu
zD{h6=El1|x*L`{a#=1Oa;oBuK<r2Z?{zYzK{QYazl!EZ9yS>-hpPfE|?|ky{f)=-A
zxkSatggf`oE{fkQb+}LI;kp`&rdDrG<_i4}|01GSFO<#ty5avF4$UabHyo!QIr{QS
zF8w;`x{id|ZC#$rPX)O3RWjlVBUqMqmi$$AxD#5?<alr<@7<Nl*!t#+nm43)%*lPN
z(=<gcH|poIOE;6NSb`7E{W>>n#gZ<~Lp#l16=ocd+R)?dDHwe9_EV-~b9`2qx+i_A
z**IsHsoyV?%KmlHVmsyC?z7mK^6!}K`tD@ZJg>QdD~iHg@11yMm;G_i-j5GCUS;1u
z{q4}rk4A=y5{nj;r7t{lYv!dboZoh4if)!#UOUlw&(shnhbOg>e?`4gXG<Mjue4I0
zZRt#|=V!}nBJ&*Y%vtwKb5c{r6c%rRs=#fYk1t!Fj@u_W@0dmK^qYnctQeLosA(_%
z(IxQo>Eq*5Yg*RmoOCI$%9LK?l-z%~$UCb;F2sL|WmUG%r)h0^EUt-RT~*ba=hyFw
z`_j8@U+o1^tLgKZ4!T|lFPVGg?dr5V@mSy2?`*XTTpnzH`qsPpdxxsf2Lsi;UuGwM
zpSNv=f8ow+YgcDYITNVh`|8^)!Gecpo4;%{Pt8t#{>bFJ|Fm#+@z3eb{&S<gH|qT6
zRq=kGxh8(OK#xFWo6U<){e8lD`JX;8T>8q@6H(8}&^Kc?lbl7?l+FhKef*w%IiXW-
zZ@;r6%{qKjfa83wccwi1+EW`E&&r%&bIX+aaoeEs*T=mpFMQR{&h3=wOw`<+Yt^~n
zxfSy*v7gMF!#MBCJEvs+eYk%1n!`fue=>NRUM0I6DtW$5`PQo!+{$})9iF#IyGOBN
zdO(fej{n~_?c<faSNrO{O|8MbRc0oIXQhHu=eir-&Fx8J<QEVsEvT52qIialsi}Wv
z(aOgvE4o59OqV~bZhrk8_y0fxq2QD9%d325-g&~dqb?*uNMX&Py!g<|%N|;lMXSjq
z6-sUVwNubdFvX^`=EX6d5`n}ppUrw(goR#C`@yp6o@+&JbHJ>AyBUuirXT9K5>>GJ
z;^V$s;v1Uh>w9q?-@z=otYGOT%PZw&XSeYS260BJzmDm>{eDf{`E!>xKY!+3xj~|P
zrRVm$($@pLnOQ^_7#KJhTsvaIuIrx4xMIh^z`cl(fscWKAtb-RH$SB`CsnT^DJA)B
zY-Nq~(VN@mG@m`@)wJW#-Xj4_Pd22e7xFM(n<S$>F;(%TpN70>*Pc$-l#^4R$Xsat
zz3+U{RHjy^oeQU2n!Ne~b5vB-ecjxJUlx3KZOmVH^~{@dUQ)6T>>pgW`u66`=JUqy
z&+K`B=G?hF9(S{Eyx)FT-`RZo)~#<hSpVEeH;<pbKKk{i%zbfZcXR!F^i^+`?W~*A
zq)yA*NymKA{rvS_^-KMjx2N93PI>=sQ^GN)>+jQ6@1GlM;&t+*Q{5$vDP>t7XV_Z`
zaIsHbc;di@vaG6Nwd^mt^5^{}POEdTOj6rh<IVMluU_YN(vxZ8pMzVs1o~7>Pgk41
zd~@{V+s4aPcE89!>H9MzG3RFA#w}qE5vQl=vj1Pbye4hU`PEOklie2TuQ-0_cy_RB
zvd+wt4|Qs-dl!ZIFiRS&jd(Tt%o~GE|K41C`az$U%V*j#?%<QY!L!YoIcDtLki2OE
zv(GQSzID8AObchQ%;nEgU$UHwRXN<NPx9!QX?HraytOy|(=yRMe>`+vap=kGUYlPp
zj_?qlzWj58598gEB%^o@(dq8oRW?uj*@Bi{`P8v7IenwL<rJ-HJxx3EUO6#-y>j*%
z!vfxH2O+lrN$L8jq3cUl8aphly!Gw=zdM5aoE_HZTBrOjF0U5fUb^#_mB>-%^?D_l
z>(56_f2PIn!8q;Koa=tNZ|9i&TBOL^Y5TP~%51XnuW41L$?Qw6ig&rV3q=`jJ*%)^
ztmjHZyv_=CmMM#JrEmLX&0e-9uV|%Q!TqzdKLsyM*|}73Qg>{_t#w_m0{=Yx%KZ1R
zGUtK!jgk54`(H+8n?H*3Zu0sZmAzK_>Mf>#?A;Af%%#`9ZVSJqYZ@N)-#V<-e8-iY
zN9VeRR8O86!y9wougrY+mAh~6D!rU*C!1V#{l0tQ-$<*D$ok6*x_!<UK8+3Ax_Q>K
z<PTrgY$^Yp8oTCR@1$3Wrhn(Y__AjE%eS|dr_bH{n)g+L=~?Z!u~oenW`A2(H|zTS
zlJ7q+@A<WC|L6J7L@o9%Zpe(Qyj=HT_B_UeTh?zYpM7^X%l*iv%eFc*zKL4=Wp2)l
zZ@p}7GUMA-^Sir0eCgp`R=w8u^M!<6;rDm%{T5sIUSt1Dd2yfbQgiMl9xPeE_vQV6
z%hF>E{=Uwg<<53_KhyHs9D~2BKis|eKDO<>&Ec1_ihH8#dpFLW_wnGDb(=Hgq<!9>
zn*FWt;Fop#UdjpkyuVd_J$ldScd|+4j=z)bN*7*wR_O1uM19iAjk%sbyzU>GIV<}k
z^X4l*yG|Q#p7Y^?PU*Z$eU(}d-d|5&k(bK$Z#VOwyUX6KJM-mCXWL=N_#plsy*cbc
z@i*4JTX^Qv3TNkkifTU>nJQFnv#1m=-1I2WxiKNa{@(nK*1%6k-B<0_EVl8wBG08(
z>#6Vc=cS=Sps4COQPr{zp}UKYR4zL5bI}pjMMq*?JLLi&<t;jL*R`{{L+HDR>N{1h
z7!9vG(NlKxYZS%@KB`-E<gaTddxsGJ7WH%CCg;yIHrRIv={2wYq;USshM6BO1u7&8
zo)J9CdHs;gTmyE`imw_BH5=R|Ie2-htD7Z$%!^d`C=mFl+NHC-OGvz1h+kM$PS4B6
zY03_lDLX=@?C_bgBWB7CRj)5yLbZ#I<OL@6YZSI>6fV^$oT{-ge9DffDL2%;Y=Wj#
zOwlOp)%chZ_{eb4k!07-T^bwZ)yvkabY4Hp)>#*r<S<1-M3t{Y=z5nByKARn;3KQR
zM^%AI5mPKwym~r>+Fd&X10NMGIx<7UP|wS!L&)8wbMc}hyo-+XE;{nqwe!8G>O2Ln
zKlXu-Iu{-J-YGPB(UHk6oto8;Y*Htur~N<Sz@xf`q0!;i<37Gu9}{#WyHpl8A79KZ
zp3fY9OlIAgaGvvL0u&ky@4aE>oV}JadJX5}nnUlrjv2G7snjYQab1}%&l%(|wk%I{
zSsLrg&r><ipGo}emM+UHR8(_lo!32M=_wqpd$>b+kJ{v=PHHpJ3n+Y_&XhkX-G1o<
zL7$3%iDeA|OE`=jG=dg=K4x@4glU71MPTPlh6HKW8B5<S&G}x^U~g=hZ?rix>$8|h
z?_G26yY4q1Mout2HtFzz4)v0`9?9F28#m3Jck_XihugthyvB~-f@i#mQ~PbG@O!1j
zZt?W}a%uk=Cf_}t(*E_E<D9$go8#Fx*LR$}cQz%Sc~iYa`uk%y?Tv!(hFhLJ`s97$
zCwbOYZ%V}VE;Mt;aC6T&C>C*0#6n2KVzNj?BX<ll_nf0*5hq1nFteUhV-0)66n>cL
z`3BZ7L)NfnrstEGRu?p`PH6mmgK4i%V_d+4nxF;Z;yZ-Ib~Na2n5DUaOJv6ck%(UI
z7(?MLle=T)#hzx}v!*w^X<OyS)0??<9;$}zlM&n5qJ8LAC96i!%E*?8Uq%s6jMi~v
zzm^MlQnZ<4<F}<7PKd?`Ub}a9!HKD9f=M5}6DF?Sp|s{??Sd0m(*%<~cPCEF-l4SS
z;@$-(wx$UteeF(LHS3e$^z?q;rE}_ww9*cAhH!{1J<7*)_odbJbiK*UqJQu6l;%IJ
znw~DV!yrj>vq$WLI}B+~AB0Z*ImYvq;i=p7^zFIPL56|<tNCZFzw_kNnS<BQ+Ex7W
z33#)3TGE<YKE|VY%Z_%m`ZXW5OVd1d>qSDq8?mUKh?lw^pTfKiuldbga3gn%#KtSL
zjju3I?cZ&)yZOn#H&YVD%XjQrUbOr4;z>Nqc5O~?YATQ5-t>u&nbrQFh{~^XO|0*?
zh^4UVv9Z2S;u302Kh!jTi&%=P92eKUvmz=|=NsNWk-OmM@atAn;*&Q@(;Lf*^fT1c
z_=2DQ-0-4y+oCmRmM(blHz#n>XPbyGjM0H%FN6ZVIBwGjtkTo@HDRrW_E(O8FNxbU
zE>`i$%(8#_>5RP2yE97zEu%6lC1%MNR;De?*7(h8@O!!Aw?M~ldK3NzPLPdtzNtTl
zGwX-(gKcMo*o&e!H)hH)FWXq{X|TCjvW$_{URz^DL(}}jthuK*Y8;jk*j{tbRdxy2
zzkf`hzsG#|E<SU=^_9O<^>@nLT`QeZKj)+!|DFGxUf<{QbJ?5}iD39J|Im*`6$#-J
z{${G}W`9t>GwA3WHZGgPA~$41u551Vy2bqDH`nBR{{xR#pR@YTbaVf)lz5I!^>;0Q
z-+S<>_}ujGjML|r@7tp<@Uz!z>JE90|Gv*Z{cb+3Z*l!4<AYDz=XlF=y!-doD|Aic
zCw;5w_8eD!l(U%b;}H41u6Uzg!R_~<LbV142fs@S%P?{MSrF9ty+Qilg`aKhO`q&n
zh140I`0&_El8;%kE=cq6!-j+Yb9oC{H2!b)dOE#<$9{cE*HVSU`dW%Q%*TE#Js@$~
z@nC$Y)(zGb`=9vS<?i{IeN4h`!e8@qH+LU=df#gHBKDZNn>)X7IeeSyl@i|cX@9Za
zey*6ht3QkMcl@b-etPx6yZ-}Da?~#PBR}`FJg3hG^+wJ<rlWDidfV9Ud^<liuiC`m
zV7!E|3^UiCtwD{+2YLTL%VCk7^1piNr@sn^?X_ke<Tv`WS;&dO;K%gMPZTu%zxR5|
z?$Gu><kSYSlppy^d72MMtmp0#WMcgvzVd-pzz1H(gI^DD<r{@@G}q*Fe&S_(xqPd2
zSRIRq_1?R2IT9bN7VmYM>+X2an3c7k`MWjuegBZFh5>JK95-6*xNrHR?opLTL(_9E
zuD*sZpIKfmR`^@v_^VA)VT+AJ<>^1?w>JFSRnTXaT^Jo6z5CL?P2QQ&7q)H4y*RJ)
z;llzWfu2^k=Ef!Vd+a1Hwl{q|n!7jm__>JhJ5JxeezkqN(gXX9y$kv8@c+B7v}Aq%
zdG2trGi#<<ZA&}4p+|(V=2Et9YhHq8im}?7zRIrbM(!(q(jxb{{rPOY-oB2}`k`;N
zZ}SY#<(p>7&z&;k)Dth)?0HKiHr-{qxo+D-ZsR$6JH)nh>u-tHd$aW2#ZLL<nW?HD
zY}|zBpSN``Eq$bItQ+1Jc)cX6`*J&@>11o}nYxcv&tAWJb+s<*|BBsPduQgTZBOnL
zJGFFrW|i6Mg*vWl8J5j&%{_L*sK@H`$GXj~-@SX%yE^tvJNMjc0bBQn>1SseDu<tH
znC1KQ*agF7tv!KWqGeeh6q6E_LQg#@mM9hH&f5O!?UhfnD|ZR7-eG5$+Ea2;;_|Yh
zWH(3YNHO>829uH;t%73ja=r^U;<7BUKcE)7PO@W$elN4Y4CUFZ8-f*@e;yE4KDSZf
zTwwXjE1Oonbqxw%l^lC^Ys}2$WhGgS0e9rDIXfSeICn)+sYk0sC)g)FcqXUE`V~(<
zHLRa@c1dr{MTaxTKe74U3-WlnK*H&Uu9Hfc-c!>B;moD^A`Ph*e7#!FEYpmbzd)(y
z?aWI*jC6M>=lU?6P;#4-?)WCS+Pd&)?s*2I1^c!!Ml-*D!f-TqWlq`}ACq7mzeeo`
z`>a0)-%@ib+{aqbTa}W2^F~1Q%;$Y)j$CO;d!x~~YzgCPpA@eNua?b?$|@4Nqq0>q
zJK+qg(#->YwYQSqCq^Y1NbqMlS26WeRavZiY4{^tVa3bERT^u)w>RHXvR&8usN2L=
z=s?Hru3Nv_0+IqQA5P45kmpo?<egBKo2((zm2-uyd1J!=kEz1P1NZS(=`3>>T9_cS
zxXR_0Vn@A&5QnPMVL=9|I}*LDj~`6G5MUz1#WpSDu%Yg}3&P5s4{v-_uzbYd&*C(3
zt3<K+#KvFlLQyFe3tOF?7rGcMP;HacyY-=g?bd>Nfj=w1Gymdjkdo}1Ffp&3TS3O=
zpf7vUgJ}mBCa5%W@U{pE9te_V*#COs<E<;?yj%V#C)zG^bx*qZu;PQqE#vPS9OPEZ
z@w#c<PdxH2s?dh@nDUKz=8_A3IvmdG-rg_0q<+!Dr4ziSZ>eE4>37QHY`c4zX?M`u
zMp?VLbEQr{*_L6M>}|IB=!vDhsv8vKj2yxh|H}P2(afm1U$XhFYL0UQ_kxI9l{47d
zbVDp=>x(JgiO5pgdbB}V)aUN3#>bwH%*tm&8n>Kk6+3eEmDUBx-W;xNkAmd3d~;gH
z(V3K18Jxw}<C?JJQbK);PB%+_zF^!=YyHG2vty1Mu{N|`Ic(49KBwX1w~Y_JuF|&N
z`e?~SwgL`b-bWwG1LWqZ=Ip8O>UmY8ny{l*x4?b1JEL-N(2g@{0n4UDF|qfZohg*C
zS9QMp?Rh63?RJ<}(Cm3r>vv~W&rufF5;L<EmqP@591qO(P4!+D8?-~qT=Y|Lg20g(
zzoQMpSKOVO5yCiit|mkIR4s>Q>DxVXrrqRuaQSg+w)ls$+h-qs%(&=WkU@~^gFLg;
zawl(w-;yg_n-4A7+rRvPK^nu4D>uvxy80Zq9k?Lz$$|Sx-7CAfw^Vjyvzfb2iqt;z
zcu}F?*`StR3!8PqzlD8&b>)wt!L=V>H!O2M$np64#RJWs^@Uz4u4z1ZY}us)lb3L@
zy;@Tt&A+67Zw8+glR-_h-yX}UCntSe5`1N2*UGay!VfL8Vx8;CcbmKa&4~=w3-^pz
zugp`F(rFUp-=?rgk>7$tByz#Kz8tn$&Ssl$Mnuh-)e=4V!CeKm6$cHIr9T92;7vT>
z+#*sg=6#GMW8uFXt{FBWT$#ehB)G&qf2v01Y0Whaa&Xn+&7I7cDe=*EQQ7H^=EUii
z0t(rEl9O-#Imh|pRl?=7B4$gsiJY}-G~^fkT5mJ0@Wj%OA)H^Xuhc0x>c(|9@r=jR
zW77{<HSrpEe-w-UaN<Mdr;tSTU4?>Q8kmYcR`5%B7!}<%YOUeB)N=K}mw$(K61=Y}
z2fUX*-SC6KC2WDd!}EFP76)i=K6|2Rw$3MUhowt>o!YxOUiNHpZP&g0C-`WP9Glw#
zjbLH6DcrxD<i6+|%*tD8_nd?KhE^%7@x0lOnRBY1CQRN|a6zgv%iATH&6{`IPG5ED
z3+_)F!UCR~csMF5r)~?ma*ONOw3RxB52o3y_#q=@Y^?A?apeMcfw~a3m;X=8{Qh4Q
zSntQv_DJDLhnzh3T3MGyrxWrng&e&BTNh5OaC*(3wK2ho-_?O<;bg@{r<rc9l)KV-
z$G%5fz{JKd{<5$Jix<NNi-j*sm%Q8@qj`SnE?x0ItN5BG-gzRD@$hC%m3GdhnSUY*
zB|ByxbXeW%n>blwMPx%o3D*_@=5ve=;mygul{4OMRA9eScjMRT6AYg!1hy>;w!Gpo
zLEdeysLNA>1-cU$lV*zD@@dm*mZ)fDmFo3>`G@6=8QUA?rzh2#*bl4z(O}6bnNXr>
z&-qLDg+f#%-;SUF?rWK=-qcG}PGzlh;4L|H)1b$3K~#)l#t)W{5q%+5R;Hb|OlPzn
z{^@zKp>|e?fmY+e>dFtiyI1ah5-6{^YV*dsHo3cZ-M(dV_wqLWm|rhT@4hTLn;vc)
z!yS8<>(BDu2U}!so81s|{q1)Awp#4+Eps1c?LJ`ozeTrTyViopmf{z}vBp=+mdb7_
zh?91#c<Z(8E_2<jcekA1y=t<aFZ*s6!(GwsVpX>#P49l&`(WGWtCAZl4jEh9yos0R
z(b-ZM5zXB0cSLda%_%<3kvW041HGC)sBTWa`stHcgim(b<qY0y4zCm3qe{YcpP8;s
zi>pqElg(h&oF~!e7JWXi%=V4b#O<AT^-MRvKJX^(2-9)%*&=uOdp$KxCtF2?x;;uz
zl8Sr(`OQoD2x)0KzlNCwN@g;Be5}qBT?~@ctpk{Ey7r}<t=&80)tp%?%Zx(4GlVR5
z{%0}cX&%S12WM<J=C9j6W#uiCWwE+xR@>8-u^ai6>l(8@WQuv+v3**q`&pgusS&#*
zr>)JK{ce_|+!2F2yaqB|>w8)MI_@|mFU#Z;eY!aAtj*fHb016k@Vx%_b?s8C{b{R2
zSBnJX>Yisc{cO|naHseKNj}$WlLfEv-!)gb{U~i$_SqQIw$<#VOBx@}kpKGaTL1ic
z&o1PAeXuh+ID<`D*X-9j)5}}>KQ>ocEIhv0`Qf^X?#Oq6#;2Jjrg2wHyC*jJ&gQg9
zzl~L%D^L2Ez{2mY`)o%zvy8Cv#uFb79Qg1{tT-mZ_;@RiyJ+E^1?%&_8tg1T`{mxn
zzP<iuP41uU+naw@=l;RIz42#N-p+mY_SKEw<_A8$eRYHV%9)R{FK@74^YIT&|8dx`
z|Fwnn!hcd6vJX5s_D$<LnepC6@`sm>TJZ#)nHf4an2bJ@#`vUNwDxD)$JKLkf$RHO
zC(ax`ZMNC$NYlm{a|4ojCHHl*-xoQ$gm2x<D|s`f>{5ItFW0FOB_Q(o4ByJxk)P8=
zZ8m?ua`w#iq_p;HXV>gLdPeN}*)_|Lp5a?P`(~GzvGtz3H0|g!Z#s6(T$^QR{6%4(
zUfN#Wv^KfDSC8hDcF$b+e2b~!ZCT^l(Q=v5Z&{{Y_g{N8Zo%rbtYy~ytWodIUG#e~
z%VTD*j#x$Yot^9R($<=#l;1rQ_4Zu#tF&ub<@*-prL8S{uKgO!Sq0|oEj7;GY8@YI
zzBz37=Y6|oZoM^EKGN9ua{4s)TVd9Xi(f6jmZiU8Sz1<}Pwuk=9u{|^{M@gfImu(#
z<b1IuVYR!lr);pX=H`d5A1-`YvFPj7(wwtr&RlDqxtDk9vg<x;pJv;C5K;44wLdK=
z|9nr2(dX-D*O(r*OKpo2S=Akx9iy5mwq|x@_95<5IScaA)^eWIF#ci`8ha*6_*hU{
zbE$E*?+gE#t*_Fq&3Y-6x@}!r+P0%jVZqVy_FJ#9*iDJrQJ1xrQ(SZF!dWXLXGg8p
z)(tY>9CrO?!PzrW`*d7AU9;7`e)E~8vH9_<v}><CnD^?&iEj>bmwM5=VAqVTR+l`k
zoLzHu;xUiAXQE^?d#-^vZc~H(v<$MhTHlW_Hok4;wtA&(y#3ZN>$Bglfdcz;3)H5!
z|3OAtS#L~BTN`J%c+S0W<K@%V&j<`UIm>gVTF%=p$<(r-vuExt6E*hI4K+3`4xats
zYtON5d0+c^ju_1JHJxnuno~C7-50H=zoar`UwL#}bIX2q*5|o?B;Wtcd07iRJDW)o
zavd)xZfy#0opnxNuX3#LVarw8?=tPrWvgGEVfs+;?F{ZJ(LG^$8?O~Pvd_D6iuGFe
z`qG$fCkh(BUUJfVyeWpoEbEQyt4|aE%?NO7o8Zixr?qf$Du-pn>Xj|qP6-rcobpv|
z;rqCva{^QLil5c>51-2!Oy!i+`Zz7fk^MvH(xOKbvyQv0iZJ5(v4pww-I0)OQ)Zrd
z=aYA9<+(FU)p$zF4DCZbq+i+m$)7am(}PTJPWzMU9?a#%Ya8b)ckeRW<yX|Zd)Whd
z>zMQ%EHm}X4t9qxo`3C>XQb9~Qx3(Sry{$w(uL-QTFktenDY1L+S3m|K03YgeZTJa
z#f#Pb_uPB>tZ3n`)6wr|uY0)fboM@dE5+cN=ij5>$Ncvcoos5#(9Uf1$MnuOhts*b
zWk+-_Ncx0E&RMZ~mEpFd9%9FhXM4ZW`B8oGlF@3DW4VX&Cv#mA+a<P5e-7jOo>@->
zeto<aT`Bvg!dHA-0QaGioQ1QqcD&y->pi!BvgrLCDSHe5@`}iA3fr1#vavDhOh=@T
zquA#uSGjjw&N=oiDE(pD^~SzEp7VJ6m+Le{cKrVNd|veC1EtGX-}TZw6Ofgxu~WjU
zJJQSh^X#+VRwrJb(!b;2oo$JEwKnEeb(bdkiT6Fy-y*;20dv#y#S?7pB&0l4+S`~9
z9)0j>WAQaj=`R-_94O$i?btYV-ox;<Pi=C4zv*vL_`bQsCRrq?^^1j--P?i-6Zo#j
zw14hB9u%3Y9;wxxzrH5&+6+JQEnCBagtL!?USZ6$Pfpx@^TY+s*f)jSB`a<`5L0H)
zn|$>_;ofH-gQAYy*tq$|V$q)5sQueC=W8v{^l!g(?%~1YzYKA5^XtM7v9ItG`*h((
zhIeGUQL{Efzs&0^)3Smsi>8R|lKk^W&8BF3fI-*fpE6dN8(SQXKltdgg1uD3*mB9D
zUv(`Z1`irK6AJ3C>=0vCbJMczTQEIi<=F+H*-y8X&zR=bynE*Nhx}`rjx#phYFP1t
z$FyRq$03`vLYq^jwzIwOh0f)F@$;f*u!P|ATdk)>L;lYFyYfz0Ztu0dNu6S>+nuwn
zWh{J{a^rQkn()0R0cYO(H=QniP+z#9Glh|P#`e|O83MX4Wg1*gYVE1-SFU<{Fz<%s
zmbY(GiyrR{U3!<<sNr<WUzu-3-<b*`Is1RLw>`eAa9L@RXR2{-#F}#+y}g3%skS2C
zq1%>kll)-d>>n*|C)aKJy;}ZT|Iu}#7mhc`%Ug@hn`e61>WN8NRam=Gc+N&Xy_;*#
zv5Ix)pPzE+F<WL)o*ui6{q~%7a<g~V#3ihIm-1jog;31aDD8cWxf|aHJS&`Gw{`X8
z-yXRk-`8Ki&NlgCfQxkGS-u&|#kSACQ2L1dqEl+S(fsB{cIG03g%2y7`dPk2I2gZu
z(X+MTaFhEAtJ7cBYOLP;!g=>s>nX9SU%p0JR-T??!~1%1#O}g~4?i5zYZK$mjgli(
zmJ7c9{XU><eQa{h)Tz_gpULiTGYwi}n`%-O9)6+Yp2CZOZ<8+UxO8gu?lakmhov;H
z-IEcsY1p~w7{?V0DQ>=w-^x|;3!QJeJ3Z&p-agN0d#&^}&yC+EZ(O>5b(8MJ*}Sr+
z{%y^c*}C<*Xlfk0$(o5tvKq?;Zw9Rq+^c+XVTO=Y?%UGTnb#e8vzJ74r<hbNl-<iG
zb@8^Ctk?1ux9I5D&}XFuAX^n>#JCLRntpTY^gb$dF<V;pRCU&Li%ZE%uSPE`%{VNi
zc`b>LTaGi%QAwtB&pAhiD%K^=mTStUF1FL&d^I(#-F(fYB-w+Bp`E1*{;ybY$vbJT
zs*Ktnt+vHy1ZVr`^9D>SvM_L%c=cq1?&AX`UuSqZ?Tt(Emuco+I%z|C<=Y_PvNDsf
zmsv4dte=Y#KKyy-6%@|6(ypEHgtwCX{1%_}y^_4)yQHUk9;|wz({(HF&do(C3{io*
zugsV$+Zz>TrZ=@|?W9S!nsuTawK^NCvZqUJ-MqN*^s8e_gErV%uDqxf<f&1zq$Ol_
z{l`l`o~{51o61B~HdSr0_$g8NX=BW$Md#jKIdqU==E+xgTqU|Jt}o>`lP=uC%5d_+
zy?%z7PupDdqw;PXj8pr5J36$i%7Jayod@aq<@yZMSx@sa_8EWi5Vbg5c&qReZ^q<A
ztBj?#yQD7KweI!Fod1eZQsXTn_uF9CE!Vw5w<dq!vzTmHe&Lj5+v;D;Z&FYFY?hnQ
zSi~1;Q6F%maxTyFcV1_kdFH)dJ4aYs@Hzj+>6*{^o1bl*RKyo;Q6F)nvg0vNdh@wC
zJC~Xy{#H;<Y<X-e{vochV*azF^|OyWKXiU|v(A*C2FGi{D`FjNwOnH*8qX}ea`Hsk
zoZc$_MRjZo`_>1)N_To?JEiK3(_bIOuRDCa8@Il@5p-gYZsJngO<Wi4x-T5NuK9K|
z<J*a$Q@(Yye!J;(YjMyPZPNlH(c1}&d!>I^wwUen%bd@hY3y6Gxb@pkr(4IpFMK=X
zxv7GQGh_10tJQ0_xECs0_Jhs&%=k8N*~B>s3v2gH`1ZeW%flrXW|rlvUQ5|kvS{j8
zj=0<V8a5oeuKAifG1YkW?f08E><c(yr5SkBAgnAU*RS4h(<QmDO1H!XZ~d@ZT5Gr_
zM}O(uO&9h>cvK6!OSAnvw`K3@fEiDY%(ghWWokLk=Y1_7j$PM$@6GsL;&AnuL%)L*
z^P3;@KHkl>XW{veH`yGgSr<;5z4p%H3WohX1;?*zem~9lUBkE`e`CS^m=m=z%T`*f
zd+l)RNPOCwE$#(V;~g^|zn=1K>V{V1(7H{n-=;d<inhEJ`sW;1$Wu`ztw%<i!(JK6
zFRBv-hidrYYmXLRQP;V$UM%RmXQ2DqJxryKj6R3G3iSCa<s=)w{L1>)-qwY7nG5UI
zPWbiP^3~Idy$4joBMTb4cF9OzJf%{#f0eUl(G1aa^Vd6B58b#`mv5BTGm+D?^YFyE
zcOwogvoQE^S3Y>f^?w(Hxvw&>UhKL4?@V>E?zob70ow|9d~lBLOJd(s)|AcNHc?q*
zdvU;rX!lE1kvn8%Wd3Lc-`rAm^iDIE`pFk1&3viq>~|Lb((-sFwo~xvzc*7HCFUJs
zJh*;?CwJNfzo!?p^h=D_-dI*V^=6;F!o|L|R<%Bte<v({JA?JN0Q)i9x=oQ+=iCsi
z-sIF7bg9GsOyi-yf_+}>Z@n@X7i%y7SZ(_%<A}|@mp1pROhc}{mha<fxfW&GzHst8
zr^9&#C*Jd~_ph3`G}q0o_vYF;2k$Rf^lig+y?u8W?k23elu`Zc!MR5dPfU2rR?J=a
z%aZTq^G`3USL`k=TX(7JnAn!DsXD=P&MdpoE_nA;W!Y=X?+Xp)EbO@3C@*{N5nE&B
z+gOd9$k|5QrkJzun95zDaMLcuarXrG)tgj&rKcR||LtkK%fl)2$R;)39Wz%y%@c?-
zUpHk@?4iY>l{s64G)t=@-nzS=*x{%UyZg$9r;G{;>FZ|fo_czg!qi2vdRNO5V_se;
zyI5(G_moR=<}}wRhchv{<;@y93TKME)zX|<@b<8yn$?|Syi9j*rLNm9Y#e^-?kQ8>
z37!|%O`XJfy-PM_(d42#r`%Oi_#)>qF(p0I+8Mob9jB4zt*=2w;a1VYmPOl(np@6n
zNM&YHNP8z$plxpEsOGx6(_MS_vi?smL*?#NFRc5x%aK90{b5+%GscJ8i)Fv^7tSrq
znN;vsf<LiZC(gA}^0^rM+3lZRPJMi3gZMh1PSe+dTMj)A%UgYD#%J3-7lSR2>DJ9T
zKCy1n`Nq3?nwKwbsbbOP*g4f&C57*1O3?ftoySsxHdrr>GUz#eR5qf2!BXYzMbmq{
zqzzy3+27pZ#Z_j`AC@}v!0p(~nLhmsuBvS>>TkKkA@9ymbVd2z?Hxf}W%B%CstHZI
zO=O*&ZJVwwyL0NVkyGV;<{g3ae>gmL(!9}J^jEm8&F`=9+T@*6+f!0LF$yQR)jm4h
zdwNO2{7J9o7lgM3|9A24x^qfdNb7LT0{)J`=|5f`3k}-f{xsxJ#!8(@;hl0iJExxa
zSRu1%rHT2ool}oXg?k>fJKa3h_SJKlOZM+4{A0DNU1!C9<yy$fDaZ9IcTCm)B*}KQ
zv8a?wjQgd+0`@&mS)I)+y8Bd;4^{}sE`1WVujS%d*86;=ZTGvwYwVlCYEE08`1}1G
zgX41cG^6V^cTYWz>3bKO$aj0u`sm6g@k4p>f*gvs&s_K>dT>*Ey^>Q}ga4-uv9FAt
zUlV+C-N$TdQC-rx<rN~gt&*m0JE&l*t3LVWQsrr#e$$Gy<F`p>9q69_IL4pHwJ5;(
zE&~s%QJ}MJvgs9GCuiH!+A9)gc2^n+NyvGs{QdYa#Xjowqpb@zbKE@@uHrLo!%Q6?
ztzWBoXP<HTCDqSz{nRP7&Ak!(L{kLM=V@&Tc^$S-Pf`Eb+f5U?1cT0->YR6}n&+N0
zJ@f6S8`H~t=I-&@r1FuMbLwKVsf>r-&gNZhGBNJK#8b>~w#!`F|8~MZrTOmy#Y@WE
z)ITNPS};+{nCq6+ra3=k?c}A~x_((kiCkcg6$~_wUp_hWXnEy@uHa{N|2WUBd|i@u
z?6a+$$F1vy3HPT@>I&cd<v<qu%9Om1%$zHk<Lq3R-@I7!yuH_ZX@dQvNnT2Ucei`#
zO!d*L(@`{i@HF0>@y&}X&(*=wQ>S#vOnvuS)LZp(_k2$e-djsA?L2>8db-!ax`WLd
z-+#Wjv}`JCl<B03FVlsjuHUlOocrz1s={88H>#S&lfJi?ywBQbdGVRX_D|WIE9-V!
zzP$3>9AxhPsgt|R550<O_v<PP**SH(>Jqj+f2wCS$j<%0=hBW4u5Z?IJ)iF?t-Mm+
zz2s4DMBdX-&b5xa!>qV})jp2Wydht)VUzp6#m>Lx%Up_oeEF^192>RY#`F8{PElA9
zt#<4x^J0TNThFe&_Ep&YpLpl5*zB*le|w()&0hTVbkpCpPSKl=H^x~^Rnbmwawv$k
z|M<9m`UR=4pS;r><VyGc&xp}x{jJW=)-SqZ!PJ}D>&w^ru+`Z8ZvIvJ_sH!3-cBD@
zOpotpkXgz6ul#Y7=8gI}(wi?Y;jJ#Iw{dxv6}I=t(*NOJ>(3sz!oC0AjySGw_Ht`B
zdAApD+vNY;L}>cIww7aG|MZAlS`_!=>@m}z4cjX=Y|YxR%J-$+{u^x(H`mQcd34MF
zF~8nQ4a>CYK6^jDv<a}Cq<KTqCSre8&e6K=?;<Z_@2T8zRn9tcWcU1&kHcb|eLl4N
zFw|X<pMP~n1Xr0E|FK))3e(<nUEUqm%$UV`Pg2of{maMaCvJG(Q9EN%-p@P7RD(Bs
zuGp~Yyxo1pzfA34xPO-H?pI&kEdKH54t4HriHmA3wI=l6va<j9R@{5blCOW5r<B$m
zla9ZXv2|0z^iK(QX6=qut1QtE@!az(RY<FO+K&6@e>^-b9qxH>-2`K`%Ij_#lYe~D
zR!v#A*>Lf_@2fxs*y>7ycZ|y&)QV5^DLnfwtY6pL6XfmkD*ey9t-aby6XYivYgC@~
z%LsUMV*TU^JMS62_$hh4y7sY=<_%t(h^Muip8maOz#twq#o~js>Jm2Hf49%?xHDU7
zy4OKFt@OZ8aWgM0I#PUJN3nQr?w+ORz9oZ-uI(QZ?kw}&?en=naiP!U`1@K5i`Sl=
zbLH^8Ydie7z7@)?c~h5P?y>){;$PvKU3X(Aw{w+$`nig)_NnI16^kF3&$)XlR>;&k
zL-H3>&*Z-;i%m~A-POGscxL+*7hR71B5%XqO`MnslAOMGd*MuxH+G&gE7IEo)CzBY
z_dXGmJ=t^b8)lF*_`Ob=@aGGiQu+5wQF5kP+LfbrcXs%2ebZd|?&Q7_wO8|wq}nSj
zEV*EGfL%iW_se5bgEqLU^1iY;@c4Vr-Bal*DeJcOI$b$zcMYT|(z7{CF2D2mzd1cY
zvmIAX{#*0dOY??!g~7WIB8@@c-bPIfxtv`e==8dwSFUtRd{&G$YxNv<?dpf>GMDC;
zc+_|KyX(I$h^t;Kr||jB@}FxCzY5q{_4ah(nZ(Gd1<_l)Ihm>_2j;%K_#pfP_r8<U
zf6fl6*&n#wuA+HD&;Q!C<CAL5&$NBl={H}pZhZ*jiyP(=kIOmZU$xw@3*L8r%70PK
zpVL|+T&Dkey57vy?&xo+e(|vDp_BL*OKRMIzGC0)ko&o-{_kq}Rrk6|HOc$#*J9s5
z|Bv&Ye^koaF{!F(o#F<&y9?8=-cNq@-tcR^v)BHoGi4un|NUV;d(r$ydljz#R`z+X
zpD(oW>%Wehzb_t-xO-~5ik8E6yAbD=mDT^tg0&hR?bW#cJCOCjJ^edM&DHi3-y1)z
zclX-2;&1Tw|96+2SYB~|^6S4Y`_2c}ZeO|YcF6x)&aZ#3^>TFR^0L-l5cw+dzJ1c(
z`&;&153KKBx&Qc;|H_<ycWwB7`NM<bz8C#J)*X60^>F)qt0n)>DE@t3V`XJfSF(29
z&(AyOOw@aNQQh^<Dg7Tg9|R{BD}=wxvK0(eV1F>#Q0UZOp~pt)@h^V(%#}XL7Cou)
zPR0A3r+oGJPdI<S{;%uwg}vHm6mG=-7SeC9eSTE$z#ARyxj!eT_Ql`+@%{1S9y_le
zlX<56Kig1W|5HPG`zLAb|Fc{F)N3EUf9FTU!ha`!9X)OKuWrx5pUExuCmnv?XZrDb
z=HIjHBYxgjdh*|TC0oj`S8@-tbc7tj)Z(^sUf9T(r^@8PruD$=MuP<NpL<#l%oaA-
zpWrx<f0v2T&Ykh^681kA)fULlxA|76)R3}(DX(2OLsayG`s#+;txR!`SvRPg++>~b
zJ(IOK`^ela|Lkw?_-**znxlMDW6^(>99y36`y+PNJDvEu-e~Ro+RnQR-+8|NKfAY{
z;q(5<AJio^{_ke^&v5wv`>=oIoIn3NuX-mtUqtEMl865<pOc+`{D<eExhV_oyl=a6
z+TCc^)cVMs_jRBA%b&UH_<R4xiN`nIU9bA~pS{_?x|))^wh;ev-}d|Y#%lYgc<uky
zt$*U5|2*t#b?(p0K>n%(*UB$jycEv<uQ%hglCycQEabta^{~$3n?dt)=`hak+^Ty{
zdap40HsPTByc;i4m@oaizlrn3akGjB4h6{-4eSqZ9KP|u<lSK_hXc({jLSdYzrQkx
z`AS;{@2R&Zv>HpAjSY;lnROm2DmA7o5Rz*%OHi4UIrYPafJ2dcSe9{fKk~iPde-6c
zsak_R#q-a0maj1WwfD!Kt^R_aRN6iFIaeNe+Bj3=ck!Ax@`9V}uU}+f`j(@<;NvFO
zBN~E*^Bh>}X1=}b!gA8l)uzkEK!5Yxe9@090*<im;n<~rRPnD;`<L#YUu>`5wYF|I
zdg&C`&Az7by=qNdng8MiFN>br-~JtEb6_7^g(iP&p``H%-J4>^lh{spEn}Mg(PGo-
z88$bberpSI)Ze{ogO^DR)6u7oLn@v;eHE0x)9O3VN{eUa@fN!#u}`cm?R4Dbb!x+#
zM=!N5&R}Ud_V=~nm#a1<N4IbJrR&AWp;*D&pJY9K)v6G#QeFPgx1G$DSECK89E<q`
ztLt2xmfp`g&sj5TX7tW=fm20ZRmrV7)yMx?M{wh*hItBwr+96$j=D1Je|l%eMu$~X
zlCG`Jf3opGpP}T&vIDc9s7zk$BBMHa$Fs#klbWY3USr_A%dNJ!SS9#Czo*x_q*Ni!
zyGn|c4jMD{eD2?pIVtkNOm4%e8E0zq9A7jy<Otc;#yFKZ+}QE5>dV`+6MY%qKVW>h
zPptimwd|$$k1k&nnm*4@I&$%Z`37EWAHU2mS(obAbHvAp^>ybi`#CaPN81fQ-L)w?
z8heoOpJ0^M+*XSvZtos8rr6r@m#v=?R~&XxJI3C%s{OHIPtE+6dv*U7GA`jL;5hnQ
z`nvZ)xd#V#Y!7}@b#w7AS(f8}6CZt$f3i^9t%&iTqT&OOzh9g~cO{qZ6?vVg`FYdv
z-_|F-9zVJ1$MTAl^}FswuD!p`%#XwF(BTtRbz-kB2SuGcCV1C9Jwt5e!j6|*@>4TT
z)%{}>P>?t^bx+Hqbg8^kJU5byd}sc=GGTMVBMy6QMT-n$y$3IpW$dr>98|mUymG^*
z3;$T#zvx>nsqgf6H{Rc3$SASYE11=}TYBdr%g@y@s{%L1g$JDMT&N+VZ}3T2=<rd7
z`zySJCo{BNIW@VLS6t>=a8cIGwN`#v%umj=Emys=ZtH5^7cCE`ONU1vt7<#Gsz_qT
z&J~*X%$bfh{cCQVKUF~bL9Dz2N0g1?kEv}(gVwD76mjQuEYrp#7rW&rJ8!I8^flWi
zPt-o)>MMVNs`%%LDSsKbzs_;qUVl&VuQcDw`%f<O2Yi41MrPiL)MG}h%CV=OJe<Ds
zV$;PZ6?W+ljPyUG?r65j-O6JhpX29w<CUz}wB)XQ&nxe&@?_?nSn~Jg+9h85?nXF2
z+7S0-<0>8H8Ta1{`Rr|bAaca!lIx=5JW-{GB$*Yz$I7{1yZ<5K{^NsM?_E;oU5yB7
zOa7=J`Fa0&<*+ZSnZsr>96uqHW&fZt<$UVa1%EFrWdCzQ@$YfIm-Y`Ye-HZp;!RJU
z#HBAQH~Pd~R#)3R^Q>g?vai9<%Ty#Ld|2#Jtn)}|`a}nzJ1ourd=|;R{Ih!c%1%!P
z=d35sdrKwwUW?m^RZm;upi$?1!82oy&hEcKNiiH1J1wiZb~!k`4Y%oev*NVV-X|B-
z>fTQHC+!$5+4)_+_h{{1JKi6<i#J$KRXhAD|L2)EuXlZ$7jf_Lj-Veu3SIhDCw2BR
zK3He?<Frl1QPoWQ=LeFG-r!UEFDmC!<~hspmu$aC(DkrOr{u0ZS21Hd!q+cQ|94f(
ztp?ZJLr;GEcDp)l;=cpRp^**G_t`&RaBaTA`6d5OIETl~;*0q4)7#bQgMV+Spx7%@
z&9%=G;|xxfU6L~1`Y+Ncd&2)4euiOcKc>5F4O+3^s^s^6%O(HsD*lzPv8t-&<q^J>
zps_%=@7VO;e20JjJNbO|Hmg%}HYlyQopHzAc|-lj6Qb?67}p(UkdQL_JG*`2R}GnK
z^%ZMUUkPz9omfzzvT7<<aGUb6`pO<g(}0bKPDQJ~tmDY;y_oT?RBrbo@psSX2p>pf
z+VKCQ#iolg{NLF4)8v21X!kN!US2qJ-_r?ow|okJy{xgS+OKRU@;&?Dr5%AMBvo~-
zDs0YGvCW@4d-D1%(p|C7A~QD!8yL=zF}g2fIoW;PjZJ^=oRqovajtW6W{`UNM}tec
zvyWQMKK|(17M7%&PsP+XDsK8$$HyPLG4NH{)|oa7EA;x6?`l|rn$)ZIPH-{%@Yl{!
zzojYpBTHxYx#<FT@}Ej*Pdk&ZAA4a#0^1uWP3Fz#!;gIXdi+siAW!W?mtwvM-Z%O)
z=DmK@Hrx2<t|K@1Fm1h4H7g=7C)vR5+^)S-j&D1f@aGVBIhT&f$-abz4iRz3lu!Dp
z>1Is#j-2uL!JNfuf9B?IFLIqa?Zo*ii<8ThrX`;V{;XYT@KSxM*@4whS~j=uvE)+c
zS6DYq;NA8)8ZH%=(w|>9akpUI6Xs`8y=O;6MN;yFo<#YHl84vST5VL$;IqCSy7GY5
ztjZ0qpMKf&yvO>bY4i`?(27s5#kYygdm#0sw^w==`yNj}i{B;}19$Aa-O|3Tch{VP
zf2z_>lW*o;lDT^(PgrilsZY$(O_r7~A2?Lj6?V6LVlXdlUZ=LZ)b;v>ADS&M*7j;{
zXTGPFS@^7Q=Z!}TJ0$1T#4O7$7GpPY-dW^QSUpuQIM$fCjGaHM@4EfN33bbzf5p~V
z&DthsK2_@Sql**FCisdTFWl$<>E{jCfP<FJFRZ7<?ugqt%a-rJrMd0ppBIQKH!IXX
zx>tDgB+mgu>3_C1lin=XSzGY^Q^D&i2feZayPZ}qY+R?eW#f^$7ji;!7JWVQzK3RV
zt@-eETDYj<Rfg)c*MEDzs#-r_wo%x<;rSA|*8PkX$C5N2Y0T?c!0*-hyglI1)V>OS
zQ+FHIni=dV%8BeB_vz`}vk?eeFy~F!k0~vmHaz{B;xr|1bM+F-Q<@K!Kb<<+?f%;}
zbKcyk4srT9A;R)*=J%P;G?q8?e{6WO`z7D0>|e7uS2caITXO!B`N|(2x@YoNH@EyP
zEPnAnX6mjrO7q!&+wg~d<Bl)z_`k^c*YqD>SYPcq=eK|2S-;d^R^4Tjm&f&g-nmL<
z=b5#$ZuC$8#vHNh*H0Gy*o)rkKju1Yyu#9;DEdjIKe@YT)fK(=uOj_NYp0*on?6Tp
zRr5QMhV*y0cZmqCVR!Rvu8LaZsH!u+$lsSiW;1Wi&W&tGn{5v_7gmS9ne}nDd*eE}
zbmrN{YtmJDWS-q+Gx4en`ZDzxZ_Ke@TK%E9(n5BR{oCE!Zy(NGW9DX8{M(ds>+2tk
z!bUx;oQKz&uiMu1b<Uiqsx8we-%7l^?Y#F2*)1z&^=?0w=3Tus;{KD3H$F?h<=xr+
z^TA}_XKcaGxP^?8|GeT@ux+8w+i2d?OCs)1Gt#=LD%{O&zF2AN!@5P^TsgPC&QUJf
zaX;n9+_tMhYu<aUR88l7b>`-Wu&v6=ZoT(hxz$KU)A&!_;VjK-{1rNRq1Ugq{QN54
zezBriPO1NS{fXr8k9ODeb}LRb{QmoI2m7kSzYP-XpB;SBHlM3(`*jw(IPo*r!mPqv
zDz&~}*82A7x5@vYQxgmi`tGSamh3rySJKhnmi^&hKUBP#x5r`E`Zag-=J-6WQ&hA`
zjC^&}<>ivs(|rZ~KGvzK-no-_|7vx_f$%<;H-}E@yi?_TsO;2z+4B1O*-CRB{cStG
zTB!EnT<OMjpV9?c7M)~yw_Rva^0eZw2A)=Tt9EKG(!8e~bj^&tRG(vWZC_&91B;>)
zuimS)^hbng8w6Id+*l2^=ltW)*?t>O-|73NChz{Qx#i7_)K;#`OWf=KZq(eQd2jv_
zDN8$9%?C>rXT_TQ4EvNVv`E+~`m*r;e|JPKioDk^T6FPO*@D$~_OUKHd2?6&Q~_Dx
zu4Tt-{;mbtf4_2%)<Y>vt+?;&N_eg_9iO<k?7!l|Du)%jwx9Vl-#5_r<3H7vvv%Y@
z-2S>FtLV+U{i-4BMOVeerv041Y{jyQ|4%>e2)wgQsyF?|--5f>bGf#^Haq{Ib}svN
z)|q<>E*3mnnt1hpg~7|O?$QsWMS1ex->zt{T+m&Y60u-5OJ=^;3ppXFJKl>|ZJ)Jx
zHq$(_Zss-5_J6pxuVMS50-+^y&pC$+>X}&dt~&SN;44u-#`8}YSG(wZxiP6Be9M(Q
zFZG4DB84t3PMUrB#;Z5aJeD^+|IiS6%gXk|lBScMOUl-@yz98}#AJ)rddpp!4}RJt
zY~5+`yWv)(CFeE02?27V+RHCqZ9N<4$Eg2^@pSR0ZtX91w;S^7Zl)(VPpAvO9Hwd|
z`A&c0iV3CP^cM7s-r!F+jZ?IFkZO~#^}6N9nF>~OW?G$8Tm1K_&?fe`Zy7c%Y5pt<
zYMZWKWAK7AWwQUxpL_0X6g7L9vS+`SmsR}-%fwSPv8ydFX+AKW<SXl1!hBeCww2`D
z^-~4*`Q;QpOO8G(=*PJJG2`l%O?Sea)$gWk*&pZheZkW;*-n#x{t7ED2|ggbbeF|X
zw^W^XrPF-de$7@%Suei5_?dSM$lCmAlYI9@EIEACP5ov{+CM|hn@-ctOy4<6c{bC%
zsD)FxYpj1iYi`ar->+Oh8x$~i&P?A03iNw=%cbS7u$AhUUh!S_yxil3oapyFtNH(2
zKo(k89?;r2$I_8qcpJlqG`^3!fA;>|tum!QqU@Bwq7uKqCqac>`R9gEHN9s|KH>ZU
zadGvVHO<vue*f@b+uOf;F1B8p>G^f9jZ}^1KDoC%k7|n^eH7pGWnHMlp2u5!&C_3f
z_wL+o8|n~}x#p|G<RigH*ej1{z3%_v^S5F99(6y3IWBqpo*eyF5p&(5_{}{nT>ng&
za`kM6tOoy&s<~_Z7HxM|Inv*8+%hfi?@P7L<sJQ>I<AIg-1y!k^VW}P$9WHr{?1rw
z<GpojJTGZJN`ES46Sv~SwBzNC1ww~v{hTU}EIfF5!t2kzLVkk#71E2p=}Nl4RO$J>
zXi7<8@$$s$(`Tv7RkG8&di|q!edD^gZ_cloKB`Qsc5?bN!J_T!r5mqLg6#D7>@*L*
z@7T@%;}rjdw~ss>zHe#y5%|&MsyFineREG6*BUoJo0&T+91||bDsE6Tyt`TGk-N;1
z%Mx$2eTDo5_iL%nd&78nx5MrB{#!CfetU#`H|6}ud3ttI%c}lk3Gw-T6>J|Z<u=|@
zVcUOG=7ZJyh^w_KORD3Xeom;^^7$ge8)@GlKf(Q)>1BHuR+l%PIQClR<`0jE?@cW~
z1V3gxU+j2e`z)1tN_Df{b=G_B*YL4NTFC!Xwej2ZSTE^6eFqlV3LifFFhxOvyO)i*
zpuWmz5kKqW?%P$d@D=>*LC%8uf6^D!SEyW^elD-||NT9h_Mu))@sq$?Ie-27u}2|&
z`b<;KqN=%@lWsgZr*z6_=1I;6ljE{AOPIYCPxnq*k!u~!zH+;8e~7hO(9ubO46dJF
zu6=c<b7hXE@yxq3?`#R4%NgBcwR^^VttGsra{tU{-Y?lSQBTx1H~Pw5*BskJAqDQ&
zXPJG7zq0jW>7?vCrmX*OJhVEjdZun8cicXyzjMy7D$p%a75U*m`&rbRS4Cg9$-b7#
z>E4!`W4$tWPwu5suiKLAwsFUJ7p^|_r0Z5nTKmMW>D%?#>!(cfhwb|NBEIgj@cQzt
zJJVLZ^}1KJ`l3|c);-Un6|<-3f2(_awr|s&HNFL#TVJG|2y^YtWK!Riw$gaY`33pO
zAzQ1q-DR%ZwdOnjwSxc6H-2~Xw0GKsNlz3m4K+QsP2%LEinL<Sxvcg2QBf&V-F`4$
z;mBPpZ|W5N%IW%{Z>`1;?gj?SIN9H;(q7*zzy9T+X_<#-sOc6jX^Ylf{WGk<Mf3jZ
zl)d4Pc|UL7y0u-+%xCspgNf&_x}}H++)Q5hdP3@d$!O-_Q|4O>U$1Pu&BSf)^Yn93
zNL=N|DW(tPCU9*m{k)=PVdtiO8tSjF*7{rQ+N4v@8^X6Hr}oSnZ{LugJLa7FaNwAh
z*6bU{=4UKAr~b5L-^ZGK(YaqEE<Z{!*t~q!icguAQ;WZ^UA9Rs+iJ%pee=NQ#zj`E
z`7L{^+tO!iyw@)Jl%&4iUTWEt_lb*x{F6obY~!aUht&qG**|IDHLI!d(}OD?8lMl#
zjPp(Y7W3N0{(jEIuRXGS^BzdAo&49=@}{``-+fxTyX4ZGj(>1^?NYz`=Azddw>HoJ
zQT94zzlOT?--;z&Z;stPRvxwd+wP*Q_*>~4y2Mu=Kfx#atGejUs`j~Ge@}eBJ?J_A
zlaes`klEL)>TY=5w4XHj?$(!`TAOB{czb-U$UkArhw8C`x^}CM-*d|UbUEzQzsSw0
z*AJgPIRDR{sfX$n)oYHbzFy6de`oQZO;fAtRhNHv_Lqw|y4+-K?S7TxYkslpuj~Kx
z`r67ry>m{zYK*o$)AH}h_O-%)H_dr*tw)bf_R-X9C;!cz^TzvG{@O<_vyZ*Gdad(c
z?Pk~O$=U4wpW3gT{I_^c&UM}PkjzKDzbD#n^?RFLl(pTa%t2KDl8f^H$iC>Ws#kvf
zJrN(Z^7Hy4tNU*LpSFjc`nP+|o9$Pp|5-fs(0@(!J--e__3v$6d~ko1=I{GOo8l$!
z2Dz3A@BF)b>Y@MI>VJOo%f>8SX#OrRE`RC0|0iG8{@eMyuSxUi>{~lb*L~-;^tw7f
z=<0i~dpV7Jwm*3i8t=O{-9@l!!sT0QFZ8aq-5cwb`*miWZSMVpg>x=4?M*-Ra;@CN
zYss+-_gk!H%{o6VIJ$Prn&Z3ply6(=UZ`F-=ic(Th=A0LtT~q|bthET{r=C4y8k`$
z!sW<mtPBic+zbr-49NT6^YU`?igTx&43-WTIOfRl`HRYeoAPlVX4GCkq*W%cy0`b>
zG3jZk0?gCaawxSVKc7~wxKl;+_|9dUeoR<(r}(64sO627F`wF(6rH%9pOzp|bZPzm
zm*#iZ|CT;_^!NFHe+Aa?sq^v0KR5n;p36-~s9TEP=%&xRsT(JGf0y2TbIrzs{e3;W
zpHH0GZ&Lm{v@l@W(=$$H#UTrN;>7|Br|QH<#m=4`>$`TH?phV4C!aL}wN_3O-L|PR
zJ@MbQL$ROOQ(k_$_&R1*lW0(u*V?nWdXv^noVq+wYqImgh6(?^uJxF7$ztn1(O$L8
zbBiJ-Ez_0qxYXj>?AaA<GG&QktqA+PEQf2#ydJyOGg_9L@~CIV9`=}&mnq?UiFeP7
zd3nJLe0{@ai(hz_nXxr8O0(IzHRjQW8P7u(G)8%@v)FowJ-K(&Iqj(9i#58`XNzb~
zXJmWrAaU5bbIqB5Mv;@w?OWh8cg-RFBN<)w_9ts*bzLx?r0AlPR@V3Z+sQT4ufA;7
zDVt__;_Pfz<5-3Z1<P}6CkDTaQJiZ2efy$`DO%M9Ck`f>O}^*nnz_(<+Z_L%xu?DV
z<;VSrxo4!e>itIbr>$#to}PWTb-hI3JTcj0w}kkTFB|hEcTeU^o|w#+JYD&lc45hF
zw>dRQ%6xe@JBs;pj%@UmPHf_pPHZ-nPHYL4e(V`_FsiZYW5(U6lYC)?N}qVPTGhMt
z-g@;$(ll*#aQYR-Xj%Kke79b`kSskB#(uZg@@P=r#g$)g=vpn6+vU3alI`{vBD)@o
zwda1^7A>>!=<K}0D`M++AKjs=*Sf^w%pRl7oD$XYV&~+a7p2wZKDT`1CHmu6+`Ihq
zsr|lX-kY_LEL*?JDd+bl?IXveKd!vBaI^8QWhrajH{UYYbF!j#viZ%KZ$<Nsy5c{n
zSsU+v;p>)tYWABqix}%wD>h6qKP&S+`hDNUptaU+SGCG}#KV)0_|+7>l=}0v!gY1b
z-JK;@H0-CpyR-Y(9pUGbO5SxAFBS;vQrF(uH1qy#zu6&e^_Cpc*S_B`ms(hIKC|U?
z={&a`QPThT*S>g?B+I+$*_xEAZ@w;hboTDNkmp{p^FpTNeqCZ!p7Z_1Io{|c6~7<O
z|L!>H)O+!xAy33rL*9tnefrrt->c=v-|hSD^_TG}cCGLbm2`Ewvt&nB`VPOvt9lpq
z{R%ZbEY!OqY_W!d)(hXxRVkVCc|;R;IK{5&d#F^pDyog`Re<T7+)KNIuI9J2as>9T
zN?6&mPdilc+^eN!r4tu+-wIK7w%)3B^n#P_s>Xv0@<Pu$Tv^?*aMrI7(Zk8Jf^2#C
zGlNQvSv1z1lJwGC)Wj256Fpt>*UHG)B#C9QSC1IDHouZ`?phYAf7ph1wOCuERM1(T
z$0iGS+5)>)uI6bL2@U@tRsE%SC6~0IVp)dU*N>a4O&0Ewcz0pPtCStS$*Y<V&MJMB
za*Z+2+5U&Y)>91{%iq_|&{)xY@25d|fcf7i&iV_&eqC(8q~N)qBSv3Dfcs0h1Fxhn
z<HRNQ%vlFBgp$N#W1a`y-f_<8u<)7miHY2wXQo#)usxSByZC_TFq_nzq=<uc?vmFY
zG;+3uRwVQ!3MNaYc_ipG^B7CS_9O~@z9=SfxaOCnn}NLTnbiiZ^ZumHaLV5)xa`8|
zwe2gv_+@YOdOKZ9C;avrA-#*y@0!iGh*m%74QunOaMoYSd+&mG<Z|(>N$=~XZ_1kW
zP*Zl5YMbS)U}l>I>0eqy%zFwPViv@+`Unasam)_+z|E4gXs!jr><sp!8Rw!BS?Vro
z^8_D?N@OdGe|k1{aXza}gRRNMXvXkHy^H2dVr-gK$_aM`@_%SIu2(oB{V(XiX2p9S
zt~0H37CvjR?c2lJUmj<R&KG(ec5hI;)wq$-Tx0R0n(owJ$_v~gye=QlYJDhX8~&K*
za>^==!z^=T(<)pnry9)q$BbHpuhKkmQ=O54L4b*YK>)c3k1sAMP0}mN4e-roG8EbK
ze*KJO?%T0jw|segr7FW##3h95lC#geJOeewlTm-a`)+ay|1jx$+NZQ<s-?XfH>#^|
z_dW38=gW_ICKA0Lbf;c9E+l+bdyi&oq|}EQ6V=NV0!3Y8lka)(-@09J=w3&dU|niP
zLNB+#5z%5DkHs4&e(c(OZF0N)%L^0w4<+<?sV})Vf%$Nh;l9JSWHuV|P0pNZw5)4}
zdc-qkMJ@fFrF}(hs+KFyh~3-L7c4F=J3}CHqT%NRt3V^onO6MaM=L+?+!()e_rt94
zB-t-Fw!Pe`*zDSQc-mQyC%@K3ojTjDd`Xq-fw5iB^oTOoi92e0*Ie1g+tK%b!SfnN
zJt3o9kAl+wMn7JDTDL*-FW21immez^%bi>Owe)biMu=w9mKXaL{y+=VHx~kyzGq`#
zNONOg;6)Bpy@Hgaw|A@ygxw|BKUm)sTfSNK^~2>GK5{-5uUoJ^MMhy8WAdRRl4*w=
zX0#YCP@I<`bIi;~<C5AGPtVy#l3Hq>jx$R<XA7O0;xo(V)}7k&-JjO&JAZ6D@6la5
zF8(XEs;~aOxooSQb&}-k!owB+toD`^uim-!@6^wM#h>GnkMG>Eb9-fZ@pi-KYwCV}
zIC<_`^ZD;{lJ*6ZO`Gk@K5O?mzvS&3Kkq24Pc%uAXiGklWO?|%RQGhR^YP2`s(1dL
zy7jtw*t<Jt?rTl)7G5UpqGOyS8Tk79a{K?%{Sn6_ey^9mTXyBwZ~u<Fca`25JLW{*
z^(;P7!t<|&eb1SmO8=CzrN{5z|1h8960iQ-1N`?okH=QX9DSTz@ut);>+g5ATZX4M
zO`7X0+m{$=`0YR%|E`CPnUS_9_3U4Iy8UG@oOUE~(ua7_t@G;scbC7g`+w!_>&gp;
z`{nCy)=d>W-86Ui-KGVZ&YRhVnB{gv@BhPcd$af~&l}4UX1C?CZF^T<?p^tf$;<F@
z+4bJL>bJk&5xRR?L}JI~n`sMHBq;bvb}VL7xXM;B^-M#!fJrSg=PidnC)n0)k-X8x
z#m2R+aZ^(xOR7SnR6~gLgHR*py^9)Eau<BhU^6QF{+NAswsr5ZZ*MOxZ)%BM?d#;~
z=rn241Q%6@RjMDfX0}YxQ1tcoXq_G)qFR%?-7{dO^b!qD%@C#~QB3P6d7kJH`jx>s
z^MerAqL%#XTPjyXRhDRSX2PUjh^z8k5c+l4`$V_UKJP7_0W-DJG&m(;(h?%7JQs!7
z`qdP3Z?9{a`fPEVjc>)YDT-6FxCDM_aMWtBc$l)psWuzvIGwm8(B|wsA&t|1Nh-(F
zCZ?7;E+=~~#vtCt@RJHM;)*>N1p3<B6nus1US&52gf<vBI7&DuI;>Lspe5N7D0qld
z>QF`o$0eDEM;mw+F4;8Az{63(1txDO*yQXiVJ+1%MYdQmCjZX#<sAC$DeS3JH4h0q
zP2ebHSXp*pbqZh6qUOn4nqwl^FY!FwzUIw>C7TwR_*hD~$vUi({h&3ox$xpa&07a6
zBG@mnJnWxn#;*0+GxC(97)-mG3;X0P&7B+gmi#<uug=2$GrIK=V>ZWH?m8PuLA{FW
z|N2UzCaz(OP2}JE@5ml;R*4$63v6unG@bOWS}#7lq?Cc{Jd=T7$&B__1`A)`>le!5
zHx-(5wZrsikI#GKN6+tlZCk9W@ILkI_Q{u$%kSO2{V&47Na)X^s{8t?{4-p(P3UQH
zG*VJ*+~y|4c9O@rD9XiXahFPR`EHLedAnWrZsflC8RqvRtmtv$)ChwOS3a4DzPZEo
z_>_j}x}HUkxt3JLJPh4bm>MP%{_I6z>bH!?Uh8Yuy!6%6I=DheVaA*Z0wLm}0zH8o
z#{yU;WU=h=YD<W7+2Ei&gTH-&j?#>|lLW4WPFo<u)!3}m5Tg7b)QBbBg{4yJ;LHM!
z8@rqv6zr81SFEf#b0UzVNQ244lqpWtIY2;AB$K7JfaAs@=Y|DF+KMYy?Gc`{L|~4K
zpu;M`4_cB<lR6goC~LGj9|)6SVo86l%2GPDb$KDzL5nVipx%b?lL8?wETK}4sxnO5
z?g%JY)VsMYFp`<GNMMc=%!FAT3;dK<$nrWK>Z{@qb7j_MJ?Y^4^zcEJ)C49|hLvUq
zR;RFuxiY6`GIuZJx#G$^-Fs4F*Qo>pPstfBybh~)KWNQtRK0khdQ0Qd0Jf|}jjKg(
zHk?{h&}C%M3d?NIU6`L|GCy~cT+zj5x5kq#OY1%J<tdGsR~VMuVpu;(a)*Lc#eA~@
z#VL%L8$bM7l;iNUHlfYPpc$tB^rA-5TL+3Xm@h>=>{UJK;QF-qVCJa<aQzXYyfI67
z*R&Za=!(`A`I!|Q$X~QESW{xgQl2x5pryhA)r$vGZyi_~z_w)Sq4Rnt*h1a~&0frN
zW+6;|R>lLa+yvbzjF}5RyxWxH@Ko+w<*5TUSqwqB4dEww)^xM2yTo?QS#m<2_pdZl
zg9G{g+HwY(Ik9hB4a(=}c$Cj^kmjj)&c4&{JrD2XWsFKT90@K966L%Ox2&tSci&(u
z;!zN$e2tyw#MWAeKX-QDDA>aCWbc}vWj?#!_En$NuTc(sef4zwUX$zT-*aMaM?}Ye
zUC!NSnfr`aaZUK24fp%DA9C7xZQ7yws0{Ci?{hA0sg3_pFPp1*r?6inY-Lhnz;uCY
zO}0y!xNfZL_K4u@5;}aXGBRIwOGo``tD**}d!aV2yIbRC22JQXnpC^?@m99yQC=Gt
zhi#l3nqS&5SA54^UR$j)&g@E+HQTc8mS0Iq&`8?7<o+I}OL33uQJWhsu@BuQO<`a-
zF%`XLOifA4*%Q1)<KQ-(9XYqR<-Se5y)F0lwyo21LG-cP&+paUm9Nc8NliI3Bl7Fy
zu3s9Z+2x^WWrB`P_0v}}G9Rv=F!AP$leLedj=Q~_!2Gg(eYpbbyxTWUm8leNH{B+*
zN^S>xn3Bv6!S<~pT1+3zEba<sWMw~nZu(MdMsml#gB4vaD_C?kSbe?Rd}Qg?9ZP?j
zY@2;6Az%Fa=MTn@g2f)D>M+i+z5Cexru_t^KR+w>JuUVZJA3~8(&_&f|37>6@7I&d
z8=a4@pK8nf@5|PUxe3QJ@_&6%i>z4{oo&i|@10nSQvlOL{RZv@KLt%19Q&dh<n?-D
zPq-b^W<ICBx-oXAL{3P2%v`NMDQB)Pn<g#3OZCU=KEu2B9<L2!*tvOz@Pi12qxqa}
z`l-!(P47f}yth2<(WQ;I|CO)4GQIoDnYU%fAKU$opBxs|x{v$AT=la?^ZTlI=UQ&B
z`#o90PWDIj_V&ZhQazdbUat55yI8WtTui*CF7DX&-?hOnmu84^lxn><voT5ySbmD{
z`lVlI*7@x`RJe8d=KLQWUe+~#Vnkn675C`w47&Z}kJ8%{E}yHV6?+9Hem(hjv-kA9
zu{#4jR(MHW>)*0%iG@ne+rvc_Mo%}nrS`EGJ=yUhX0pJ+d|AGhURhzb8FCqA%0F^1
z%+2~1Zj&qbbwVcd%?nxY`g-`E-0weU_3hogyz~c0-?a;scI@!0p4Ytp_@<Pm_0_&B
z>@4f{`YAe1bvu83*{KT^k9W=&xpaHZDzS?DD^`lXa@4(Uka6_eoU)ia$Em-QSFB7d
zwJLXfTf9PFc~|vD=IQH-9^CD&-&MbAQQ^zpJ7G$hRZZ2rrsi8u#oEpNTpbn0<7}=r
z^AC4Imqv%^Bw-D8jU`jrbG>#J81D~TB&Q)#uEF);tY&1?GOu~ekws6RUt4y>W$y9}
z@qE9FGZ)R6dtKt|qtEP_OrnuH*4+5=g!^NY%W~K1zjZ<v*Ss-sXesb>%nLXW$|AjT
zy3zK9=Wo7Wy6WYz7^TwqRR^~GH`q7*#F^%EamPRPUEJ@rSbtIEv?n14S2Y|vWj&Qw
z_tq49!}Yov$?M-LCC#3{V7~c1-MXqhbM3?B54_1<$`e<<s_@gzN12Kn{7e_=m#+U`
zviryGDG@TAXPEO%&OFJF%bM`gqC{(I;RiweB_E$$cAV>}yTWnq|J5s=UGB=7>iy!>
z)5}v9Tm7A2|5s`+!{p;S4M8UyB<%bxTwldT-`^SfLf_Iiy7yUZtaa;zM*iG~8fyQ2
zERN}2eyF>_!~9s_q_3BBTcwW+Ts6G6ILIsO!8(BeaenQqHfcOl>>dU@tvGm8=R@DU
z4JTZ9bL>Cw^*g_4!@egBTAU6)<bE1&IA#6g85fWA674wk`SYLK{^b?dxcnzGeq)hU
z$LGDyQ#Bumvt=sFr}?Lr`AlChV=g!6`cIFiH!}r=iZyO4-JJSk>F-(le{$U@kQFt_
zQ(seYzkyZLx}U|6>EZsP+z-mEV&|wWu1RO=OlMzOe%eu2{h0gtxrVEsTTe5rzT+Nw
zWMXVbedCQ)A&TnFQ(L&roWFDae)aBU;F`6yaXL?>ws^!olFH%PDN}Uqr}fWBN!iF3
zqJdLqhMcSZCd^P)rILNwZ%fTi%U_p{U(fg{WAS%;j6?1YUrFxl*Qq%d_P0qow#+J?
zd0^66<7F+^?^sTrD0o~XY+qrW*ugAkcZuho7c2VW+v3<73NB8nO^aK6fqk{H;oQ87
zOZ~2Hxsdl{rK54$$5w~sM_PQ=A8CBeb2coJNh7#yXO71Ow~l#NCCe7wy?@Pb&DA-F
z!ykCata<Tv7UPV>)w<`8o!sYH@KkEr!k6K9w^VViU+(KwvgNH&y=&1+UZwdOmo^;#
zu`Q>*<9Afg$JZQI(^qp`i+geM-^qu6gm3D`q-Winck-oB@dI7)Cp-P#R6S|d-#>Si
zdy?!zjm8~cGuC8Yt1_H4bBT<6Xi@FP`In8R*Iw>&W$dctt8UupxQd0#H~uWg4~|uR
z5-L(m0x<!ytsh?Sv#2dnh}p2n$v|jN0?VWO3mJY2?la{!>saXEexZk@{|n2R9+q<@
ztsY9L<t(c*I6`(Lc(*2KRU8yKvR`;%X<T$~%qF*Ad2Su<bsJ@`pW9scx;E4G$>DXy
zeLe-hXTO{Jr<C2;|GwHH_vb7=@10dLE-(7}aACKXL8D#TN0S9zN99*txIeqXAhhOH
z7~jX&>{q6|ViM-l-gaV^p{|?SiW>8oU$<rZ#^ldSPp+{M?wA)4w{u<s*A=a0#pxe5
z#ijc>n*8xv7iF=t^UCWjcZ|>NX4cy=%aQqWE^EjqMzPN_8+9vH+v@7?h1A}>ApFGo
zzFgXQyK3E7p1h}Jo2~fnve|VBD6(Ig5U%#QxNyrNz3|yJ6U?k*j|D#C*=e-&zHI2H
z_aU5ep`7nR8EsZD?g}{EzVdLWqw+!_88xj_nT$p5Q4T+KjFy=23psBU|FhJj-|FUv
z+&_<R{8lOWF!#^n9ZgG2{Ds4Bbr~$Sy}jzn6aP1*e6M;=T-vhgz=c&6riB?9v$m|v
zTyg8l&aMgEch7P7J!432d2lso@rtUKGGS}Pb?j$P5zY$QRcgDZcBknkm!h^vmM3de
zZY46Y?VF>?*?-C)Q?z?+l&w);x6BTau9p7`rcHI%+u>k2ONXsztxcSQqjFNzE0L_j
zcXyOYYpK2NGmdacJ<FYSY2jtPO-}krPJs*c(x-9NJwB%<XD_xt!N=O)@56UXvlagT
zcR!bvK3279ca_Lw;gyeMS4Pd5pK<2jt6ltuBg39ld7ONs|ICfA^JLA7;CpB6Gx);~
zlr%SHb${_$z5H4ErzfVfch@a)Y^*MN&=!9(tSl|7I_AQ|<?kM8=I><u@{#}G@4vUL
zcm7q{>DkFMtzK*1zU3}=ZnW;3WAQHAt6%5be%m+s&L?wsYsx?S+$WRw<>zL3r3VkH
zIp4~?zh^gBblQ41D`WTcJ5yzTU+2F0ar^xT8(HsG+KH{qx0N+GQgeC2>a?40zwCR{
zZ`~uu@k06Iob7Lo4petaAKCw1w_@(6jI7$r<z?JX7t9ZQy!cDeH(k!%{<-qkxq?4-
z7*6>1!<u2E-D9!KzPxKz-M)06D?9(r#Od3rtoQDy>&kc?ZD*<UbIsNd#-2G73O~lP
zIbPqN%Fn+3t?kdvoGh;2lC~YapT{o8s~@dVaa;KZx9+{GvPJ5qL41EF__dxc>g#%T
zQfz)?d_*08@(<Y;`Dg3qyZ>1we*ebp#lJF=ZC44+IKBSI;n$}{kN@4c<l^>Y0VQ8v
z3mOT{e!-GC>EpQr%)*zPO;=~Vd|~ut+SCuMooiP&#%*M9{LbkWCDU*x>E+Sx6O&D6
zDgU|Qee}6Uo8YHRMGg6Yxjf1}>MhO5Gw!-y$hYa!;8+>C)Wi1ot~<4=^Lxytw6x{y
zHtv31uaSG*Gv(RzZTmL$G_uTS_?z5#><I%eM~#5D*~U+ud;g!i<1IDsh3|R!pT9QQ
zGnyJ_a4wnTl2*Q83x`4G%i{Hn3(cC;*0SIF8Q^{)DD6U2!Ft_*nO-MndHt07+ud@d
zanpKDr;MwDooo3XgqzoE#Lwk)5|wlo{pcY1+v#WhpAJ8^I_9}0O+7M_{W2eW7X0X&
zu;*`!SmxZ!6oCuQFFabVMRd=Yntn~%y7b|?gcVUoRd|K=_O4)9+53N{zpPML&NVe&
z;kpB1%~zK7Tv&GJjsL}Ep_^5LcK`4{qU3b@QM}8<u(Ojr-afV$Jj0QDre3f#$~1XT
zj+9gOO$S5G6-Bv%yZ;`(@z(9A_fiGxR@DY&h8ZqL7QDUiqVaLVzIQs`otRh5PgARM
z40K;{@Ay}?^Jyv^lb)(b-D8*9z^!}FZMRMDd(#Ai$8XbO@8vgryU1`ynw`yOnQpV8
zt6Flm!J(5Me<a_zu<B3B#KUhVyvZmxclhMHYnx~Na>obeCU^8&z86L>-jH{(?Wk$<
zo)&Gj>!yo0ar}RpdqFE`foS-bT`?SAW9QlhD%CDFIdEBUf}rk8J4ZWyjwa3dncwex
zE>-3{;dZ_7M}4=oz`cskotdT*%%%=(nk!ejw6!gATxFQ`A#{PKFz@FdB7B>JLYb$e
zhAM=o*4fYfk#zH`o{4<9pH-F*XW8$|Pp0ftzWRJm>ZM(o$38|)U8|*CvVl8(8N+lR
zJMU*qJrdIMZ6xBWbZW9RY%bSLI2m{8&|a61bwR)89@+ox(cd5Y%Z}aMaWZboVzp0u
zJKVqio?TkAF*BiS*AW*shr~^Svs5Q;>a;0bDYS7?jbd|9qB!Sj<!3@ie+sdlx-{d2
z5$}XQb{<yuTSdN2-V*3<ka*9xY02aY8*SeM)0$Y8>AWq=Jay8^s$We_=51Nrsgpic
z)7hT&Y&lZkS=7<vSns)Mf6ti$&#aCf&w9^Q`+KewczSj81lD_Y?eDo$;2G7?6It&$
z>;IM?8#0SdZ24!*8KG^tc!}N7ovXwREsP(loISE*%T3=vv+diH6!snDH7jUd_h(b&
zyF(^cE6STcE#7e6yM=GcF$u-3hi|a>F^5R_svi|p%FQ%XKk_mzY(j2V-zLFdpLTKR
z%yU|@LTB+?G097Zq#LT3x6}*EF)UbXFR{j9;YNFj)eTlocA756Uu=KZhHOr%)vNt{
z`ds{sAmd~H^H#h~<_+qv->|;$t8wy+XKmMR-r6y3vT;qRXY#7FX+;%&$y(p^CmLtf
zMkRZdAG>f>aIN7w!&vob)k%|E)+)`P*j~_baCWIT)5TM=p2Y^9x3%`(HlL^J|7u!+
zV%7hJzbD+eaeDs&ON*rGHeNr(+-AS{xT3u0`Q7{jmyQ}#<wzJeOt7%yGOV-sbMhkl
zla`qrSA8V7j2H4MD_BR_SVjG@-Su9fs%OFs&bbkSy*KVBXX@Tts<XXsHMg?vd}ZIF
zH5CavEUIT*i7;=!ec)+FV95)GT|E<KXz`aMDYR~T^p10)DeuM!%>ltSH7+VONwF@n
zW_F=kG3L^Z(_MZ{@wl-fwP(eYYj1vDovi12xlv0k&Pc<{X!*r;`wn+o@G7=W(1;3X
zR|vh&&-(WAi#%R?x%q1XD{Q(Ho8!cKR+L;?^OK)({~}G7Px2yO4<svne#^$SEpe%l
zdbW_0X+?;`UNs3OV@u|UV#fRHG+A~jaK#$rJ3OoC%als)e0%sriNnN=nvBLGY7gd>
zoY|Oj`^~o<$s02lg`R!xI_G9@<s$ccH_z=8eph);#o=K{;)h>3v%V(CZIqp`Pi;%4
zo9G<*mR%ahO|M4iU+0;d((4lAl~;K^XT`BaoU@ADR(7v+>8+l9&8Q@6@zq`F(zEVF
zuMxI&mJdw%lD1s@H^-O7cNadMX>;g4yQR6<#=Dl)VxP{+N`)Fc+`qDKrOD)pFO=Js
zzxcj5`@NF(F|82W{-B74X(<ij%E~F1;<j!%X<XcQ_w1#pR7rhBjh-+2IigN$9JkxS
zdBpqX1&QCBx*nSylAF($Zr{lDzc6E_-nCs1SG3HH`~8V!UCyNmbusgs!(~qST>CiT
z#<eZs=dA;GB>wn$RB2_gK&Ac0N0Eu2tAyWPH{X8J;GaQ4ZHZK=ZwEv7+&{4l9s6=G
zJN<e5rnCOjo4r?8ZJw;#*In>h_PgufW6#TjX7j(4Tv>RdWZQ~2HXm|hT3eRqM>VM0
zOzCU8JnfE)Ozz9e7n{HGFzsJ*Vfk*oi`AiqJ1zaorg$2>zbAPs=Bi0iT7GSaH~Yb-
zZI`-=a^|+NCmX*L?tZr>e24JFvhQ=l*Z591D9+sKKhs=#wuN!lUEP~@`{sIO)F!R|
zEgH5y%IdJ+@pU(z8*hsZ>D>RqfA<VayF)Mb1*BW--(ewD^rg=C`n3yZxH&|tCa&>`
z>Aeu=@5!-8Jtyf<o3PT&J2N-vyf1a|I3^k4l#scdWr5~%mHlU86GB!8YRzH@H48Z<
zcVLQfgO2JZJB{Z@OV*UGoua(;I&0RH<gLAB>5tfRPj5UPvY@YT{qch<T@pf`{(gE+
z`<Ih;<D_h@t`lE!wwq}1-exigxw(78!pU9+&n&Z+zhX+rchuZ<RV63o(ZuN?9JS%I
zR;~|k_YsH>EeO4x6c~Cjm$y5Be^R(i9?Se7i$irCWv8xH=115k{o8V^vGb=-QQQ`v
z^|{4s9WO55CUdtp;enKGMx*r8_%QZ`v-||pKS*uTdMG-h-12bBk}egq2c6rDUVTs7
z{N5n?{q445*~Y`hUs+whxn6C0H1k(`>7kc?3>V^ycNR01m&U$Zdf<-l6_%BnEBf9X
zWm{|XHS+J}bjGawop&02eHP?(-fPpn&*Sbqxm|uyTXw?z$pU?AgA-RK%3cioQ}}=(
zLa{$H@Eq42&Z-Xvl7|*8JTW;kbxm9S?vEOx4>d%)E1UQJ)_N>F!&G~g;kiJIZQ%?B
z-xtOvU7awaUN`w<oV@k_MZZ@kzA{ev*y;VU(CnMdnmdzc+f4dBWpkpKzWIw;3w+jW
z?pauNsi5wL{lwbMtF+BCG-hXC=vi;J`cb@@e`(q&ml_q<%a_v{L*?(7&sTgb<G1VZ
zBHQT2wyP6g`ev?}sli~l|L5`}Axq6>&nc*URG3;aYkymW*pwx85%YBK`5nwjFgNp1
z4|3W2bzg*5ck-u>Y{RzKe9RNB&EbE3Od%kjC%LrOV!hm&<Ng!5f37=O;>r4~^~btz
z{D<z`T>s5G@tx(;jTM;(U!G&QaKFkgDEZye7dI+5FqN0ayfZy~C-(}=TBGl=WryDO
zFy4rD+^f1hN%ZTPr~Gr016^jFINJYe?H;G(y~!%cA6EYRb9|e{u6I}0zf0QIQ+RT1
zHP5>p-`CH~GIE`o8eH{AHRZ|Vwdx^T4nA2P$aZXX*-`xnmo4k>f7=*y|KTN<?&*<%
z&kj#r80~uajI@~MDotjW{)3&D9NhaG{}rp`|1VUD)0Mn6uZAa6ruszUJ$8@E+~6ms
z<$_c1u}4(q8b8^&K4IQcmHF)_k2&4!y!5d7TKy*B#6#@9KhO6F2rT-?v4Exa;d8l}
z+$;W1*RN$+CX@3{`nu)xve~*BwR^X3<>6;Dd~oYh;)gx@TY5FMUq!6`dM8w%Pf<my
ztL&+j%%-(%+57jMf9UqQV@hYD_SLt`vRA%Jxx8EMd-+4w<=s;9_H&K9H~(JxzU}R!
zvY5Mhj@^G<{bVd}fBBNOTFhR0{p0h;+rA&2t!4IY&)&!{o$s~uh0j|FAG^H!nr{5r
z)wADK9bYfJHL>^H>*tp7+;igXN)%p)=Xb}~+$i4u{JhWmD{o_W)!edP66l}v-J@aU
zEWg?{Pjb~YR^R+R)9;qwkJUD{Yd-K@KH{i8dGcX1Ssh*3Nq)7ui~sAYyIg&(c&eZ;
zeD{h%qjz_Lg64Q?UH_u;^S0hqTlE#ry!#e6Hg4BCUesF7`S0zy7mw$89j|2BKJAy1
z{VV>!%@!U#23~vYc2@{Txi~sW3S6?%30m5*(9*MW|Ay!b6GEM&FEKfkY&+7nrBv2n
zqUDX|7$NP?;@-ATTrP*y9&es{`s2dxlP4Z7tnOwITcTdj^dj)A(l_3TJ1>~>pP0$c
za!PaIK^Y0Ipq(5OEj<;Uu(5ZhP5H3Lf~!b)afPx6>)EP#$rUPwt!X^XOUwPu`>*Kz
zOt`0a@8$LCjb+uG%-XRZg!dUuihMIaMwvy%K#Bd?#Xpg5Tow;nR`EDL4Yb}@^nKEj
z6SH^S3O3(<^nCIAkhhUGTRrC$&&jc!=U2UBJyWyG=Kk6JOU=TYJlb>u_|DFG%HjOE
zS4^RGi$h~FZ^YB|KQU{s1l%fiDV%jmEGbPSR5U^~^+xupl(i4L_TM?RA#S41?~rv*
z6Q@XJ$)1Vxn=mVKg4(v=m2bsZqocpP=%1?dw$ggigC9pGAMfGaRdM8kdJk_~#gU-@
z2SoZUUdcy167>Jae7pXZW^(sq`?pgz9{f808^6u}%>M_z&o4W6L!hHvqjK7zz?q5i
zD-UZ==Urv?o7eX2tMygRoxMC|s|Dsa=6P>U*!h@cyYQ!g#KU_#AGy0FhCO|xEc4Lk
z)WRO_QxVT(9)@x}jo4DO#7=F&oYn8oc{9#k(3!F#Pt)gOdHRb*!O;OHUqqb!t{O9Q
z<#sKh{CP!UNm?7$bSXx}MNHj4g_T=&UgU~|GkuLOe3M_Ct0`ap*k6nFiApGg_LTSY
z(q7CfGgzDazV}_6bf&Fxx=?<dd+5gH5u%sx`GvK-JQ07GX;WH}ZMy2-=bm|HYLgN;
z-_>*P;JoqPYr)QIM>Ru&r=Hono$YxpFW(KJmJN~#?;Jn%s0f#Ho}MfGq{O=}`oOxk
zs_VanKW{No&v>49dR3BeWyaz^yw1yBv^%U}2)?LqpTQ~b`sX+QM<-YRUH*&mD}(uW
zo#p6N=@NWVY%8=?P;-Xh%Z*h>+N=JzRPC<|73SN@Rkw7e=he0&46MJESwAN`<#@@6
z818G_TISxtzn#Nd-{x`a2R6af>4_VdMbgVw%I~%Q{#b+Mq>vShs>cJ_{s0E1jBN~c
z5>o6(G*1gCX!Oa|NocX3DZS#d^j=A+o8q0U8;lxVH`49Yy1Mp1Y~Q2*pzz^$iJm6~
zVUqJV?O|B4Q#N?QBimF)8?9M?;xE`JNODz*{oA?0W5uS84m)`oKYg*eF28K1`<Elh
zRg3573%^yaTKrgFIQvodozrLkniWo**!gLr=|#?WqTjOGSq{ArdG_F!MEdr%b+2cM
zhpwE;si|l5^mW&+Nu9Ae*B^<PSkIp%zpsx!>hs*r4GVAYY<aQG#PMeH$>~=#pC-mn
zVrP<F%@!s1m2t+jD{iHicNxB1ymH}Gww{yPyo&>-mVMN>$g&e~uTgloxytLTrKQj%
zLncFmm2P}ncuEtE+>@QX4%sbI5KPwEI4Q(EV^*8|e6#m5%T78!SX_Sbdr%}Bm+q8^
zz4LoFE6>-R-L?O@<uo-hjgtEU2iE<nwMh8Lb8LfCcrAyYMB~BwwFWu|osHR_dM0dP
zliVS>tiXwr_ud94bLMaU5(NtU*}QvpN>*7ce%z!OF2PiA`FFty7Ph9fm!3aZa`}7Q
zB+jqg5ledyo>X~jZ~AMF`>JJiUo{ySTMjb#Y5bPky<CfT>2lB2OHcb=p2HdKm%UYM
ze`IBJ$j_5Ywuo_cuPSSqFTLXC4VMeH^EX~UtJHOG{y(4MVy-{4p52}5xUYV4w88Cl
zK5K7HDh>C(x^>r<duri%k&oXzzm!qfrZ-XaT8G2s)sDuYQJGwk@0S@XY*>=r@Gg|Q
zYl_?k&iq|zd9F!Y^_};85>e4>UlDeEQ^tdH=7pxdzyBXpobf^@xN=^p?sx7v-!F&%
z%$@Y3mZ?a?DWNUx?yN=MHx^#>`R}Ya>)PT)mmQWJ7V&+5B&TeClfU-;DZLew6CH2m
z#=qRD|9I#8ld>(hs`UA!c+)$a*W|Cd^nCV{_nSQ!(z3RREhyOhBB$f{iL(;zrEA-E
z%5$sTXGqz}BkJMCdvL+3`FRpE7IIHs8gP_V=B((#M?zY~jLON!Y@Syt@8;R+_Pr<N
z2g{OQJx8kib{&|kzS8Oa+-DBP3>&Zg__8c}a?;by_pV)kb71YCr#=Cj!WGvqd2sRa
zw*C938=PNl{&hyr6M=@;3>qumz7L;J+F<YX&F%O_;i;bgZp`6MT&BevKeciCgud|n
zCy@t4Wp29tY<?+QAzg7pazCSWkNib(<7~70XR<B+2AO>cYTMnpM`!VxoL%+oQq|fS
zCmDlZPdZf2>XdDnJKrv4exG%Qz`uDF6<VSDm^%E^^R~*b*mz=U+Puw{=T+18*>2F=
zBp@Qjx5~Xw?6pJ4+`Iin0^xhtM>Sl_x^>(5$?L;^i!!f#a5a1L)K2Hf*|O4z8QWXB
zc0SZr>#FTHXwsAOjZc5VyW=C<M`k6Fm3ueNJLeNAcwM}bXOoljyfu2+cCRcLCOPip
zJJ=bPw0iEY+%wKG9?=Yjx@{)9&rUV@Yt3WWv&d1qx2Emz&45b}IQ-w7lMlE)+4aMr
zgx_B-h%JA0?`>lE+mq=#`xS3Z(YmnP{p`ZrueQ%>c&dMed|+tn<~kW4W5#jT{=>y}
znj99KX?lSM?u!E4R|x3u+~OP)!Nl^4)kVu`0>i{TZ4MI|rmlG>e!F%*d+_;-(|^q<
zc_2M~-@e8dFY>IqkL5hpNVl93xot{hneV-ed*-@aP5XAsvhl7%`#+8HydQCYc39Ts
zncM9<t|ZOvXD2h!=;x6(-`MB>^}=UXKQMp89=`j=P0Qkh17<rH{|Wv7)uHmPsBZa<
zAJ4!2v(j1r#m4_!-lOSB$0fb}#NHIt7}^TOWqU_&H+eqI*ZxV!I`#f_5ASwrpI5!o
zcPGz%+vCW4=OsQpzdg|~{kG`4=iLj#SBbrUHvRVV?^EA&-B*4$@&81@_a40Kls~kc
z*FIfnzwoSs?}3Te^Uo+~o-S<L*FNE#{^rLIn>OeFIDXOO>g(7i%q|b3I^M@x+NrJM
zRe1ilBuJ&0jccA*#uMkfPzT)ub7txZYJOa{(`b|FlveleJEZnsGt4`_?!i~nVDZJv
zk4KsAWbIoNR=(?dYJ+qfmu<{!(GznxPt?hV$jrR1s60KlZsTqfzN!q}!@mmyGUt4q
zo}GF~Yh6UBOTk=Chn~fk0^CY}xQfrVeWLU7<?Ut4&X=On--<mwFzK>3_p^m7`d+U6
zFSG8|qsMCJek|9sIIbUO;jnK~xo_odu{D;9Uvd74czE>50{fZ&zXvTVe-gX!M)s^9
zm%n658YFBJe{KADiuDAkC2W_zUS3ppF=FZDiWveWbF=DWy~6%xrYzo~%@vY2?MJbh
z?w*_H0)NO<@BCb{!@Dq;p?yKr!Z+J}_Jx&3`}wLHPgSYDu|QJh+Pk%-JQ|lJKGez`
zUmCH&bixK6myEZekG@}?u{xN^_SH%E=?82-OXi2|4*PxiV7isVjWzByOwraK3Jv|w
z-z;%`ZJ_w9Z_i_e9c5p)v?knHR)5Pf+{UhHMsNQr^)E9F9|*E9U^=siJ#S$}_||W`
z&mLShJ=~YAg+XwG?$XSevste;@7#5L(d<86wR0c4oINyg|LtW_zs^<`O_zGLY|{Rn
z4JU*jMqZxMvF&54m8k%m(GJ<4r<xqEpIfSY{mikPPsK?~3nRDW9ecR#p5TJ|n~~0j
zxtpIjy05=zdH9gq;ccy}+P{5$^NQ=cP`djvF<srRf3aNk?s}ejuWG*B`PjVq_qC~C
zBYPgKQ*iUyz4%eVDZM{sQFZsZo+lM;JRVUSk!pMQ)93pKZC-jhSO0Wdna)1*+0Bn-
z$_YOCCZ4$;o}c^i)-k>D|D-MAdS5o|{5t*m_1@EuE!V%hxHj&wUCy3O|8>v2XW#hv
zY2K_O&EL7~#O@r-uMTQ%pM07-nD5-y7p7l+28M=iFiMIIzmxif;lujhanq`sy_VUW
z51dw=vQ3KdUc0PTP41nI<?A2r*2%au<JFUQ0m^xws`a&7<@9}TSzUd)Z_?xSjmv|4
z_rH3nmBOnpo5Ue>{p@PRPu4v;6+y=5?-h7yu9<Vb!_Ceo|F_Er=jf8J8zTS4nn@q~
z@I&p1#>PvB9R+qhFOX^C=l9q1%w@Le_usyj{r{Uum$UCqH(t(npzPEZQ~3!Wy5<=>
zO8G3dJL4}>b7<v>(mypm6Had2TYn=(_>R8K;oZx3->d38b|6SYecp8cwZ6`FT9?<}
z<eKlMxH#>B^7}V>Z?AQnDd6J_`u<OK_l%hlm-ewuZs(4gBe&*`yO+wpsT>m)$^Y;U
zoBsKt5&QNw<!O&Yo3g7b7Ji$=beGdrs`TgA4&T<h@}Y`fV~v8O`L9K;6x(t#@yG6|
z)rDE#FJ271XEA5OtHVF1?Y^<YnJ@azj<m%&)gu0T!q;AOc9;9J<FZ@HpXT=9&(B?r
zf|cL@TDbXn<F@seE<e7%Y~@y~MMB>V*~(NO7P%GkE_%I5M%^aa<j&;#lkbJS_&QVf
z;Nd+*Uyg*#?3>e(^!)6!x6kHS<tQ*bDz)snV8^q1OQrQEZU5e>$Bp-Yzn!hN;g#v<
z676IDJN;ho_gbU9Cd)e@Yg=K}{9})8nU~nw#cnm@+Nct0VO+e)c<GNWvFgN=S9VL)
z?GsSC-JwynJ;$Mtk7uD`%&c!OUWkADD-|6xD~nBNg9+b?mD;skS!_}pO#D`?TwU9j
z#dbg9)o!iqp9wkNR^)^-Zm?1`oc62LF7av&Z}h9fDeqRsw)sv~Tb?m_Us+0YV?*Yz
zhe15vOI|8l6|QSy3X*ZIf9on7%ROg_*1tnj+sj0f=N^e(md$u<i<V3L{oSvsm%n6Q
z`jUIuOYtW;ON-<7$mLZSrtY1zgRALM=<RQ!`iHlfmNG0`x#_%Y%!J6peUrWk-HPh@
zS9|PH#wFH&<?rrBcd%Tz=JI9wBDPK21sEr+ZR8NI+R8h9)e*ah9UOnJPZ!9Op3l&C
zrRIgchfj2o-J*gA-1}L6&%0b-`uy0LWB&`E?=O>1_NcAfyVv1(Vptz{_w{hoy)1k7
z2sVm+<o@*A-&<7Fq$&DfoJL5Kd`Zmh{q{U68$QL|oqHzxZvoeK)|XQHXL`@vnR_Q^
zcl&HlPtU4|qOI*^{jb-)v&}8@H;#K@*gpI4J)67REZ%S3CT+~69rx#^W!*#LdCTjk
ztt)m&=6gR^@T;5r!2^#Y+P$Z_WvSoY_R>jQbeqAEXJ;)xl^qp$>?RauS@$EU{^sMV
zNUwLiGpkA>l8(OX72f}C{XXY2g-_fr$$j7d;~x9+>6UgkKKw0s>0b7^WARsy%iaxM
z+cqZrP}#US)O%tg*CMh13QzW(DLVOc!I?Kx_*I>BCo-10?g>p=U)pBz@8JRAcQ1GJ
zGZ}a!PAOn?Uf<fO(cHPBX`(}*q~+HIv+s!8DNI$$nc`9+TmHeR-G0I32<bUBPuHDM
zeziF1rm>sKi>40#o-iJ}ryh(l>2qH!mz4Ir;1pWC!o+Ru+{+S`HM=!hS1i7yvHa07
znc9-)zYZn6pSevp#{S%HbN4@Pt)ktp?x-8i**@j=VvBnU^$&B(OY0_x3Heu@*Sm9>
z@$Dt04}I-N>R-Cdx3A}zb@S=u8?#<6)ReeycVo+Q#(2?^jD{zZODbwUKPd@u@x6Sl
z>!_yPixWQ|uW!42Rq%|87ITUB*6p%8mJ3d}wR+jV&xaj~Z?g$Vi*WIsI=tAl^Uiyg
zQ^BXNs@@k5HPm3e6?*h)=!^GZjNj+mi8jXtyxS^XZtGzE=Uo1l(!<~O?fCNRF8}Pw
zqFZm*%{N{1%*x*@b033LUzuZI_r9fd+I9Us;s(;6f85=d@A`9ZbK&wAOZW5NsC%;K
zTKqab=?iu9msi?dHSE<>-KD=bbxV|)(vHVYQs-j1&#`i!<K;b9?qM40y{`P|C%JQ)
z8?sjHOydvy`)t#We=RxF?ssW4PZbYcV01TDLr2Q0NKZ6SJ)=)_`kBhJ`Q3ZFJ_XLH
zUFUkl^Fa9dI;lCSa}K}n{1w@3WqEpXSNTk(OE(P)j<LJPMLWzh7pmF4eU<U=>g7v?
z4}HHnTP=9{yxZ>k7c73WtyIaYXBO9$SyESKE#;W?S<zU%NXmEH)n|8SeU?94?`-3}
z@u~LQM%~~X)>YyOr$ek5W~<)(9D4rXv=!U_ns#s8U3TtZ+S40_yIwi|jbXcQ7jnU;
zMl7L3JF+Qk<+AVhbPots#ajQ|!WE;=z3Pe0@3lcC?@hWda+jTR*2vg({(#<9g~{!?
z*H)eRkY!ze<-v*-^L^%r>&>$cd9X$z)-k%yq{`akdhiA5g<sY_lQDD=Y1{bp;irr?
zr-%Hrw?5#SnzJF?$Jm5BQaAa+DVH3kp9`m(Wr(bq^<&j@{)i}Zx!+HwzWGwy`teCs
zyPWNw*)P5Bp4O{W+oPBN_)SPz*%tYo?Th9YPoJc(ReRrlah%Gmhpy&_F09|^eC5s~
z7rwoE>#Nmv#a;i-oyW6g<AaZnSZ^n!xUEb*u`=bv%B2SmU(om@&eX7?;J^MJ)&@7H
zTCJ8RbIyM3y8hd%@}Z5~Ccl28Pxh0Fr@sBm*m8YOa^d24bJDD``Oj>f<$jK{?!NH>
z;ra8XoS(XUg7NYmYwcvi=SLnd_&e8mp+l?JwHBp^c2fiV&ethyymRYm&*URLqDQ9q
zt~j_OIAwP1k?wz@Oj~?+L~csCx+vFH{Z`YI5T$^{9q#(Cw7J%;TH`S%<n;21oC1He
z7YOiASrQi_`ZAj7Yl~BP>#uFSY282PU-Ugcfn`zG(bZ<(B`Q8Oq@`chT`8jFuh?>E
z(y@KLEnW>=qWoHmJf_`f^ybWWR{w50F(k|Mx0`Ob$o}J2_0!+m|JDx*FTM3W{`sW%
z{(0>RC;t1Wua#YDr$6=Dx~Tu*Ki;+<KUX|0X5-P)W&0yGZDpSt@75=37s^t7c;Djs
zQu8=z*I?(`;>N9avtP`w68E>C|9)QoizNH3^1D~8_|<Q&4rAPWC1fw(!>bES4oXZf
z&aHnUXjjUZIZfX8qnr3_|7#DH|5ly*<Wh*c?1Rfn<_Ue4>gV^&_x+QTQ@`DHUR2rn
z*ggN|c<oTmFmJrc>gIX9+q6EpbIP+7JLa^UEQ;QD?p%e`m0u4-gO4m)pq&5u%nY@i
z#Wx;Yn7`w$_a9d0Xm;n{49c&W@^?PDdsBah_oG8wR&~$QcwbrkUfxNsT|2$I&PeC7
z;IGNQ%>V1v{Skb|*~w|vwo5VU^lsnT;_LTslbd^PX>nc6y<LBf@A#1T@yhyZ@5A#H
z8}7fkaOijCrzIuNRJ>fBzL(W3{j{oed+_P+ZR{_uZS;=yJ$<az`DLPMTXd4fyWp}@
zEa4fo_hxB&znP>~F3jcix}Wvp?T6mW4qpnobmKhRjH&K9t76jMN3buKdb<Ab*S+i;
zKU-}wE%>+Y|DGRNTDu?4P`xm>XRrAu2VRv5-7hP2tW+NdNPamUpSW{t|G`HS)?Z+~
z!oca(G3|ivOG`ePHEGRC*OJXUn$FZtd-r$YyV_})9LZ@LRDK9`t`mPYn{nc^Zr10L
zm!xM-wCt1D*`JrYX_rjw!kn*)GEw}EoXg*=n_(q5fh{|N>#V2x+@n)pter8bI{%(^
zO6qB+&xMn&S!Q44EnCc8KIij)&GvWk3Yj&p)~LCyxVief-Mk$i=PVRmvN&0aQTgzx
z%K|f(x!Rpk-5KzxXo2fe2W2ltV=qQ;FUE7a3%d3up5VSG$*Sg}DZRNpT;c2M)@O@r
z!h3j27e8aJXq^yuF(tg`Shibo>Ee%Ug>1|fM+~(t>a6PFesx%X2A71~A-Ue$)sg+1
z<mV?y{)o@gvDjk1&@+*n?__S6$-ct*{#*EJ1^sU3)(QsQ%ym4G6k*&fIDa1d(*@tA
zRbCKU^|s})%Ny5OtOrkBIr;Gzqeo|GQB&t}p+}Mr5>JYK%UyiV$5q(DNmPYL<#B^k
zr^bH<2M=iu--$ibFQl+l9&Gud%(~z2q3i`^*8TUtPJJh)n>BaoW8vIt^<CS&vf@)~
zH!M5-XxW5c*NT{;Z@pMtvZm)o1?R-PikMr%zqh6SxV|TJ*_B6=65o7{>GwXR-f}BN
z)3xGb$A&$;E0VHvJ|4?zWMmZ+>iWU2yvJ5e+qg9HVM*%ywPxB|?TbUFHvLOIWqt70
z{6dT8tKVeY*|hcBkvT`JGStFvChiJJpQj=(KK13iO8(@}(Z>}2-$@C0Qq0Jlzsxgj
zk>}!yn8`-VjStEwZsDH8_4D%TgmrfcEs_;u6(jDMZn?S5+iBsK`%lbgPQ2zkS@xcf
zl~QS4dZy*H)6#acKdZ0ReZ6pkz<1uLdy^S^ZL<HVir8Hg{`+EM-E)?zFGs^!cNbMn
z4Su)n@m}ed){|3&<XQ8@V>a)dzgc8c;^+3*;_G1zNf#<s^JZ?y=-JxY@aT<9PgqJy
z_+i$YE2~nLzgCpXI~IF$RZ-F9bw3a2Zmx@2mey{NIa}9BHD~+Ar4#?#mpZXWOPcIm
z6TYmV!`1D1L5D-xX8Q$`?@IM-XgbU{>84!mtK^2evt9-pZ#u&<Q)cFL=jYrNUw-iQ
z@B3wx)Sf1_Uv;UppRfDHQ#!GeyQf5--5#2oyM1*gzYCxEs>_YXmS`WDA=qxH#F-i}
z<#PDivMJhU&MdeeyW!c_*ygBX55Afd+AaV2FNlNrHoNkQVCN22Hx}g&>r@$-)SE;l
zF8y_8GB@G2sTZ8{+$}kbQHRC+gwN!!g7g0L`mrQl&`Vl4<GcCfH;psOea~!uKZ`MI
zW%sdv8yDth8C|&9pINOMqUph)wqJeQ8>uCAmH`esURX_0R4I9|wsVD`y`a~f4GnDu
zcAPenod+XLUO6Rr%-O+ws&ye#bIj_q%0+w$HJ$<fJiN*iC&W61E#AH4<plxegcyaN
zB2||ns@@;`?f>rOLwUX(39D{iRN$CXpxENK{cy(I;#)TyFV$9`IN{1JV{^h_W5pR;
zzI>G(HcW@?*rye`9<Z_uP>abf^C*)HSvt+^_=0&n7j$~kldisVxcpRuN6~fnfsJ3c
zzC7o|G&#SUt$u>8f(l#4N4wYB$2Hyen*O?;Xj2v*bl-HP5A(0=)8>H{S0c+QKAbO<
z_R-yce(8EGyH0N9i^pdNt`@)V7W|2mRlb&2>&e!soD-%cE@POSmRZYdmGX6}=Y)`e
zXAF}c)=zmUKbOf<G?DK@<g{zqM<z?2`E>ZU6n|Io?~_kBj}-1<n8zypJL48x_N`@;
zop&g`y&e<L`~QCH^j|r=s}`#oY`41KXZ6?Oz@cpuq$_8?TT(b_F>|<nwpT&Q4W<o^
z-C+t_MZVk@W-$45kz>X5h8|^;zpF(Symi&t7?oYQXR=m_e^KbxV~J_Y#QI7a?oWSn
zM{LWD-oH*c&U1g*p7MI~=EwDek$J1uYkf>`ybu?>^+&AB#>GeO?VYBQnKFOBgGeS*
z+r$l5cE8C~Drgq%6;NV-RTwa-W!}_8wQ{S|WmZ$4E_$_o*I~U~M`Ko0`{dP5$xps<
zT6s@d<Tl9}HdnW*rwHru#F*?pxNZ`o@l+?xZ#*7myJep)?fu_ly5~~po`3w0LiYsy
zv;3V~`)t<#^~+98Ieemav9<d#zG@l6FW+QKIrwv1gEA%OSDx-Tq8HDlQaopZqE$nh
zp;+(Plyipveor-6)1til+p<H&S6(Y_(z#yzeA%*R>bB*-pL|HL%(Z%Jntgji_4=Y6
zMPGJ({qni$!j`Y!E506nczI#r<+l8lJB9v#O1{7S>*kHm{yb{3(|Q~J`TDotg*U%$
zJZ<whYuBY<Zd<Rj{q5JM$;JzBdHs0t$?pE=-<~~``);JQCS7;;?Y9><hu=DUzWsL#
zfBmYG-P_%{U438ruBtW75n1z7<(TWIi5@32k21%nrJMYmy7b%Dia(QI&M5zO>G%`I
zeAm|%?f;(zdo5qlegE*q%*$CG`s>pp-+e3ZZBM_NpZaZUu-@r2*PPd$o?F|sIHhQw
zoCx21j|TpC)7jEfGZL>n|FvYJZvGF3R)_N77fVwXu4k(FCcspDo2C7V<nEisvHSKu
z3+?XC_F^uHlbo_q;MATrEtxgjBQmt3UF|k2)vw~w*e>K{lu>^0U~ya5!VKM(GKset
zTd$eE{$=g!rv0b<r)XW-_i6vP-H!PpTQNg!$M)%E>c_u-zhU(0V#rTJ-#4>#->c>c
zy-sNfZ%Gt6lG)+ebDgtQaNg0%q?pgCv)XP{{X6$-<&MnB9?2d4FTUCRo^^@M{#WWR
z!}NR8S$00&(HZ{C_IyIvm&<!jzUwW%_WkPe;@__xRb71f`|kJ44EKvf{#PlU3tjna
z^UB$G*WM`Y&-t(0%4Qd*&L0)gsA(%GFiEXku|a6MLypJE$qOa+oH!73sbL+<<Bpz{
zg@5NZ{Ymo)b={~t;jRD8xliKe3#1>&sQlb?$ak~K?N@1kzCMb)I&+N;hZfsmQE|y7
zuQE=SKag3ht=jZLgX#Lb8Y^X)sZ-^?WH#Bx-D)`?Cm?iJPMED_+lPh|)2tjCL}EL-
zC94;TGpI{_Ox#czdZc@ASHi?8Z)cyp@-tqs_(GkhmDc5zTlT*SV#wfm^X1>$w~QCV
zs>P+tC!RCg6)t>>Pw0#GqqAa0D;nIo)#N^2eN^im&OB8>_2S3dH9Be&R83lLOnW9A
za7n5@Zq=(v@qd;-Ynzzyftl~&zkk{yM_zhF<o!Ci<UjX{|BQ`K_H8@3)9gX5@^4+{
z=eo=y!UC!Xop-!w`_JC+kNKg8M4p9?Ia9vHGB0^vn`2vI436tAb9arCZJL`jt7LZ>
z%Ve&Xf_7)xH}+g!cc|mcp=D-vD?WB~eY$x3l|kA~_m3IPFWW3sCCa`m<dNoBbc6Ys
zlZ2VXfx{9a3z=FTa>z)m{8^K`vbS%_mXx-MQ+f`c(r*)J-N5GCaxv%N=Y>6=E;6<W
zXfz5iI<iTL79HJ{JW0PTg;)QMP{^rY{5B5Zo0l!gIw)i+;CZVf<*m!5KBbrL6L}u5
zVC%fXtnxqHAXebh^al1n)9aov8hxuMt9q39*3#Q!o{p+iOx)ItD+<!)=Z<=p{hade
zKIg%wlk2Z46*RB8t24cWeV%20%%P?2liKqR{7{}!vToKtXYqhbnpsx<x%VfsYuwZ^
zJ^WvrQ6-G&X!hb?@}Ue9R=9d!Nl^J{IJr}jbHQDn#_!MeyxqF(QT^G14^NgIY4rS@
zXt-zgcUe&;wZGv^ANr3RZ{+-t_|xi>?~-bLM!R$GBc@H{VSLXsLt0YD^Gn0z<Z>s2
zD}p+AlXtM#?Y!XOvR0+RDdAq}%RY&cddAbrTNE8v$(|76d>1$|qrKp_GfRfx+vVF7
zqZtd{xbiUVls|K`eMMZw>htAV(Pw3^JaU^Gz174*x%Bg-<u@93d=hL6I2#~k@Qk(n
z(!#IH4ZCb+dMMx9tl9XYcfpzEhVOzOEmO8kP<pP%5~#Gy@ZZusdRMslN`L%6Ccn%i
zg~z;ErNZ+Ahn}0^kN>h!5)pYE_lnJ5etyyap5<G?gyr8D6CD1}ba+w4@U6Bci($US
zug08p^>OF!{&Kkf^08RSqwVhle=Sw?WuGyr$oR|hO<#R8XXqOnxUZYA?19?)dCMNS
zt(&m?gWCFe%RjgkOjyRCUN~<VgL}b*<pS!3^ZthhPgu6;Oj_0PvfO|_-n#Rvmzwf!
zUSY|`I`?3D!I61P(Yv>w&rCmZC{N(?<U`v_zTTbdz24kId+t;PKh4MY(&omL8*bsW
zbGzYWogH$`Z1SVky6)S|CVOh@o^&(2@!03`)Cg^DE7q%bUhOyazdyI}u&>*<O+522
zG9Em|C8Q(2$?nneO=}7sXH=+`F}~er7RdEh|Cn!D>)kWbajkYd(n+m$V!mtF%@2>~
zE1!4UTlBS&_(bbHO)K}SPPTjS<I0|@Dep5*Ju$qWU+!-=|5jPeJ?V=k8%$ke)SQ>^
z-zU3&{<hxNZrXuW*0y)<Z=cO>X(CuRWx09Te)+pn_2%`L>wW#p_bvPQNz%JZ=8|pn
zluOl>R<8|CeBL%;%azoyyNhb(1y%*-XXm8{%njlRo4S5psT9k}@S;CeKW8ngnZhN%
zkAH65ysbi+lCJq3EH_IHZ(pqty*hOX+Xb09d(H^vmUrgldS>$bMd=9KjJ}@9`@P}i
z!>6A<#mtvvym$Jg_14LpC$}A9a-X6WH<__mnr+Gt8})m|6Msz+xvQU4Y`y2{g1_GU
zGn%uXGUU(hj;YGs7^mNBUacosTeCmz-mFz0<69(BR{h)+e0Q4qeVcC`c1dL=btWv=
z6^|b(k-dL%>7!%YeI{l4`R4hT&FftD{MsBJv8wah1-BEo#fIMAs`|3%>x;0<YJxj_
zgBMMadA?ufe)X2ppU+o35KstEvlKPH^k9R_g4$>71p<jDj9S|y+K=0(NWRYAx~X&W
z@43A#tWx@+@i8m>59>;7Qxv$q-YTSKo3;(p#_O>iQ{PJKN3YY1-gL5>X-;GN&6#>G
z?W{UmmreN2s>al@$@JELF9ttl`G3l7H($Ly&9+E4db3Ve=bUU&NikECsUmxIcV%2?
z{t|e8qSTg^ZMMrAb9sX&tl4SWax^qU^JeCaUCpkWmWxeU@4aScgt}KuQERl<?swv!
zukMj6n0Hp7FU_g_8q=G6gS5NRud`)te7?G-S?Woi?zNj+K5xirmRflyYK`%#{G6aE
zX~!Kuc^)w}5vw;hk%_VXnNzXaqtoBYKr;M#r@vX%y4OKB-^b1{&6YZ<HoI1hH{-3D
zzVG6#XCBF{v5RFlEk6~u{C-s0hqCavRnD__M?~8v?}*>bH;dtU{k^?`{{^CIB#zFk
z=Ih)qe_`L=7YggQ&w813wZA9QrQDW7v%TlYvK?VpX1VmN*3Jx_dU>6}mG-{iWt$hc
zrY-ZhS^Q)AZuj*`OJYj$^tY|@^qXS%q|$kbw9wQg%9@_-d*nI4E>tk)Q(rC}<U8@P
zvxX=CLJdhbrdje_PfP`Pb_NPObyq&w-4rB|x}($H$kic9bedt%#;#>Lt(!f>B?S&D
zMK4*+&zCsyZ*}H_pLhS2vNLV-=y7V}^=@0^;Jb=%qAsJYQ^)Tst0o`FQ(eHHFY(x*
z-9-6bGRHB7Q~&c~3*Q$TxJ~4H*=AGi)ng#i+#t+Us!*$S{Gf=_z2drKXTvVN7ChY3
zJjwd7H8WpN;g=Wv%!jXsil2`)c9ad8XSq~j)!sExc3u<9S8TFg_W54pOz-L5&!#nX
z_3Nyey87;C?*$WQZnS!An74%a+>)8*lXRT2CT(llB*^|Rpy+&x$N7|<KW=hX+iWnd
z=4o?yqLLe#kXQYv&EdrZ&-J@2D=T%+uitup?S7km*LDfjn3-;fR}ri@pl;+Xksmkj
zkKx5H?gewsl<V6q{FS-=$eOb1M0pjd?TgoX*F5h#dgU&sS?RO#z{XaivfwQT*Db#t
zUEgy3aissbQ%v5k7IJVNZ8g3qw5~&}>2R~|;ngC-Pgcs!Qd)ae=)~0Cr&FgX9n%mf
zmD|{N`cV1{u1x~ZmOtKm!s}Y^jAsF^=_maPx!O-|RyAk&UvOUXd>oV1SEu}Quac)V
zZQLXJtl6q0VY2Sy1*{qt&$7-L1^k_;8$Z3BXKsL2^TRy`qDiHWQMT%Wn!b+&6v_im
zNp5;D$v~zk_|ue9{<;1WmiqVqYn$z@QK+`_zLECk&V}1wso3b${(bZG<2}tPTlM+Z
zW(Mlc+VkG?$h$kMWcwq3Iz>6@yPGfA?-5*g?vk+KI>oF2w<BvbUgs>?c1Pm>ifi@r
zLaOG;WW14Xc{JtLvkSXY&zgLW{pR&PBy4Nznk#AHneD+j`g;4GPPiev{%T&}!o&9r
zG7D7J75xdb`zB(ar(sumXW{PM-dZ7d7(J4v%bouuvvhsyTJ^Uc_DZ39c9{H-SolRz
zugYJi&R^u_S^v1V_KS3F7pc}TOtw;<zAHNKBCqWANs`x@Y`jkP9n6c<^<<9R@<1nS
z*NV6^&wOm6PKDa(PSRCu{eJq~;^p?1SD!Acd8+%~ZrwdYi&eXN#DfEKS6PT0JU0Du
zR_V2GTYiTr2G8CjEi15diF|zDo-eomTGpJDz91#P^5mwil~e9|e%#8tX`!sPW#8ow
z2cISTPRyQMEID!IB^&Y7g&U4-n$DcJ@kO!Z!L_07zNyVurZF3NzluKQBW<dpyV<Sk
zH0w=8?iH!qbmgW^xv$Xppp|C|=a+UC>39*J#X{$rR_Tl8Xt^ic5!Vo2qdmPoaM^~1
zUVi-Q0q^dI=zU%il;*s2(lqxKH#RR@^l{OmjSCkA7H}vmT$LQMQ=wnxJxhR8I8Q^9
zs?wTAHX;!Q^N%&T92FIFDOA0Zt0`=q=k4=2Ng>{Sdj&tQ?FtuxORYBBLMrFv)UA0p
zHDP0pulTPmzuuMBhZYF^oRqTDZ$rc!p&J4nY=ujd5}0$^yTzGSwM(7;@SRQG`is8f
z>OkEEJiW_H{MfywN9^V9Sy1Au{_A>`W{1Z9B#(>g!6`n9+AAeO*v<&tKeyN^E=MAV
zDbenKM2tj~(n~k<O^iB`70VWE3VZLy`6l@N1kucgmKmSFK6@Ndzx|}}jE2dL-WLlm
z%S?JDUtgqmt?F>Gz>)x~xgJ$pv|b%kXRPWwR`&5r_Bpk*ug6x-Tzaw9f;mn>VMg5(
zmK2p6EMFWt>~rg{H4B!DCbU~vXP&>-yzqqYr^l*^cTBz>t@&A3|JrxT*F2-|dv=~*
z-S9SAQ*7p%UGwTC{U+IHC;r=c+Th&jZIA9x+Z27+U}oLfd1rI)6e|5^V}4OwRDILx
zx$Adj$D7&DzwfF%=UdgK#WX`Urv6O*uSXGOJ2Y-aZvNG0{99M%dvI#G|1aCP|FIU2
z^v}PN`@eU`C*#T&Q`5iu{+gTfXX>hdrrZ9R?mK;%XOWvKvrtpILk`#F6sIFUKJ=eR
zw+;F-=hw6A8gE2@=F82U*!Z@-&S63FcFU$G#nIg#Ot-UnU7x<t+5BGV9z}1L4O!X2
zS?lUsM65m1I=waL-}#dM@A~u-ru`YS40^5CEasa%f63C0FQ&66@0>h4`nKCT75=k1
zA1?-c@7DhO;&1KU-|eN-jNWrR{dH>g-pA>G+m*ZHO!FVR-@6@~>6NqP!ksmp+e)t$
z&Ds@yw%l4=H|yV0ZQJYZP9py&D*4~)e0lfItKXB2l0&nNUU%zyTdfLJYd5~RxnC+p
zd&&D%D<$JX=1VQ&;=0gUaGoKNLv)GUEB{Otm!5zB7v7%JT*E%AZvVF2*KZ<kr{~`O
zu{Kva9eg;!w%qFXmG{1f-L0P&eRb;3y0l%BLN3kxQlh$l*ZDJ2a|<gLmuA=9I$yWQ
z*Zze3#YXKfPq+l^u0EOH9xE@u|JDAiwml`*%VmVWbm;oFe17}bydvqIc-4J9c?RbN
z&W7p!?$+@uLnKXvUU6~Vx0`hT)^?X$E6Ykw+TV6y+vlPa;IYb~=Y`ARmPh|pdO8l~
zYt8y9IJ=Ga&#FI5Chc$7aQ{`qu^9@?$81V-KX5GM<J944Sy=Hj%E;LA1>+R&s^Wr`
zAH>gm-mqWi{zt8?Zh8AI)c&u!7^2|5Fi)cMYy?}vbeFxqtWy?rJZn6)*q;4v*|$x9
zuki1f`f>m9vfUa7Q=;OhcsKOE+ZM>IamVWOxz@i7bHyEZrq_Q{|Jf&Xqxyosl3lu;
z{@!=@^geGrs%<zWw*1`eu4wZ;yToqW+xXqm{Wy)KDD8!hTwlnfY@@Fi`h1V2Exo?3
zZ+VGTOIeD|d+R-(5q`7!_in3raJKZem4(W^Qw962??!PI?`<sSy4YMcU45CNNs_AJ
zHa6Q+r+76C<{o&yW7qdo;pMyj?TztJcq_my;+^o+xA}?xwaEAWJD-W!U+$Tx=CSs#
z)$QO5bysibB^fKO+Aw$SqqbFZzD#YtHh24KYvUDp6FR!~-rzmve64Y!%J%k2>RIYJ
z;fqvO*}PWg46#ZGJ0Q90!NY>B?GyBND@K0eUv`;s(W3C>hmRa7d!uD47@FxEYSwnj
z)g^pFo5{uKFIrcYyg0f_dimP<GrZ5lyU+D6iN3W<hP&#dxV`)w6ZPDKI`$bXIX6=6
zZ`>?d)OYM#SXH-L$&T)wKSRw*)y-#LV9A)Klgg(OBiFJ&md7(iuSMJ<;p&x*HyHw(
zT;sz_i}OyF=lZU&h_XDq$X%+nd}_jTsoCyQ1?48Jd>0=*>G|BN_wsP!(QgtZ*H_u<
zFpEpBd9U~Bo@B|r9re2_PpU90tDW`mdhXOJpUL$`@Ly!Ba?>31ypZjQncGXf%ynn_
zH+OaxEUdg1e?G;orE2nz*wjgEb1Zr<U98<@@qG2s?faQlT|Oj~z3YXL)C-|Cfo*|{
zl&mMd(GY42T>Rlw`_-U!4h^9frCaT<N*R_tS`ZZK&D^k`Gw(|9v(CexT%TA?3Ua9K
z_1tECe$@>wDc>?~$F{DkHy^Dyb*`tUIK*@3BqQ0*mkO(jLMH`wPFgd`bynmq8>5?I
zmsnCS-IbBF-EX+)PW-$rzs}8@D{o~oZ@%89WAiFXC*AID;CdEW-!S`B#rzdzXLZt!
z%@4i4EAxkBt;FrqyN>Pi+5T<+tt!7QH*+Lwg74?Ad%0CtNV4F>|1PtKXCgJCrQFW6
z&FhI4bXDY*zBI$t=#j)f**4|QZP~q-Qnq>?Nqp8-w4FogSR#)=tFWr*S?6^Jey{zy
z)$455!9FHVbBi@m(dJurRq1p_i|1P$ezjQq={`HHj8?YOV&_hX#joETyS%H0(coXg
z#;Y6TPVFoDc2H>3E|$Yj`+81Axw))g99+5me8;1$b}C7eSq`fnKcw1zP*uKsyWaU2
z#-B?*#V>W*?!^+J8WgSDXB@>YyWp!~UgY1T!xf3&C;Db<UY<DVPW%(?CpOwQqSx<x
z#Mtj>V6uElbi3H|y4h3j=Bc>Mc_b9DT)pMY)*`#9GAAZ{xiFz-({9I^;i-=v7z7)H
zPF#L+=e+j<GtZYjt?p?~7GFN2Hl&CDlaFFtALstdd4WeCeqpv-P+X92afI`7T)~@}
z-52eqFI1M(p4GKorF_G)jN~ti???n?T{*$lGPNLwPvVzpM%Ltmg;64N7*jqU?{r?_
znB;5g)S#raXvM9-!|P6NxxDYGSFEhK+PVdAA227rUQy8fXz{c3l~$`bla}*6y|VQK
z>(OY}H<MM3dOzP<|2@+|X1b<)VAG7l?7ghqqL+_1tiB#18EDADqIF!UPJ5QW>@Ufl
z;~Um}j(GQcx=l#f&JaVdXg9Yg0bPE*gNf?8$)cOP_%`!h54xb)JI%c7nv(jlTZj3V
zZJ+gYrT9iRm#3_*QU8i-n{qF&cUrd6?b)ht;qJbQZm(S|m@hP$=mdu)AC7!CdFg_=
zA{%n&%fJ5K`8z0pDI+84PG`8)O<tjevYzW%<`nt8ve(%o@neDNOBK7>3(hcq6S>YQ
zxo3H<z|zZ#pBHs{o3bqblHc%o)<iK^*0sOWMLq=1`cphRP^X}F+xcYgjm$eHJ#}x1
zo1J&9Z}pWw=gd^Ywoll$_}5}l8&94mP5Eyx#whhqU0}Pj$%`wCxBdN+-<u!u=W(^C
zO4ew;T|V7^&z|j;HcIY775~H}Dg;@CWNap!l-rx0ClvMg<1E|DC+F==w-ZWRet_#t
z!Iy+-J1WYPe{Xabmf81a@zpaMoX_)}XRUjcCU@*1-+hC5O1}lRNqlDRQ9LneX2+ZO
zdqw8fQ<Ci_oxdx7I5zmgrSeFnBlFVvY@RzVdvQXS@lel{bvOUKn4tR1MsJOPTi}z^
z5y`U*eg&V8_Kw)NW=W~q#;H#nPG7RN3Opdit1(R?`Q?JGhgYsWnEE==HBM``?53~N
zazpjKY*kophuqur)A(O{5KsS&ORY}DnveRQ{CZz;u;#F%O~$>{LsbSxzZ~A2v~=}^
z%;2D0*|qDO8Mam?EaBI9!{2s%N7!E*jm*CcNh&@1bsIi%O9)&EX4~+u_E13GZv#dP
zuC_##6&(9Lk}7kvRd$=Pa@8svyJ6v`^6<{JXr&0Qwte-B|G6kQKL~LCrSRk6pH~G5
zCqhb?7XQ0YP``pZ_R@w6juY?i37aH7=eY4|VVPU2<70k?aLhm4nDp%AZjSDRyK&2{
zub6w(tNpc;p5|9%({FQP$-EW3YbsZ={+Pp))oUd5?E5<5i=SriKd|xSi`x?%W#{?0
zZQbo<!Lt0=W77-H9#87Mes}oJ*(lZ3C}mRZ8F)mx;Fy*xZ^-(!pZB@nVBct@(O^_i
z<@oW4vB~kSP+qZJhB_4!cg+$>kf>u$y7Y#9hGv%@*ZvJLr}sLjHHXzt)ml*Fw`k`%
zhXm6(l8!mn4_^eGI@hi{hn3S)SjXvRv0|6QRmJWo$L=V{4ZlCNUp&UEHlL5JctOyC
z^DobxN}gnvBw-?FoFSE0<|JaOc|LoZe#W~ET{$k<m0}Ad-z7^ju`GYPkm2_wpKnLy
zzOS{fP;5Fb(>kf^UHAscfBN;#HzW@qdU9y4OaDZhgZvjwqEj|V?^&z3ZTI%SoBFnN
zp3_^}Isfy|o$ecU?EJYtVugl;#{td`Z-vfZhfGu$BX`SfP;?Rrn3(C}p~m=U`2~fx
zi6+NQUMQA)lU{z}h(zRxhMilBY_3#lu<<fy)*e_aXd!8xJZ*`V>TU&5%c~paT4Z)K
zr8F@01Y562s>s^r_3TYReB&IO7zu-SPxS+}Sb|If<)k~-aPN2J;`+`n=baNellA>E
zmxMUca>29PHG%?H9o+W)MA@Rr)p`vFzX++!n)iC{<ky?4t*;uY@6=_@IafJll~&B2
z#JQ&#`F8(4xUtdJSZep{d7W?7xO;t9#zY)H@0Bg`d+W?!YDSk%SIFo8zp*nhZ$(l;
zQc2!lYYF$#7t&0Rc7)i(yb3m*FsV6SWHBq#HIWU==f;cdW@WlE<^NqhfpyQ7<m@$r
zL^UU^HVE9$aj{mzp4&cR-(90GQ@<;2+CNi1<l(~mI&r!xPhQ%yZh5|Tp`q)EchSp2
z-(1mHyCUk(Y42&RMzOg&muWBG^JQX$$Gm%U@)89nR%g9AQC+H^-z(T^Jtxyx(vA6w
zh02W-mrp^lshmdj+YFUYpE<)S(6)ZD!_@Or@=~||e0{jTB82J9nmH0PZDgb!X2}>d
zNLFk3ELzCc=ep}YqwL!A@(U!Ut-f<)jw{pF{oX8&Zc`ad``;-$*q%Kp+$-Z5lIFU1
zg3e*f6ZX57GpNcPXWqN0$y~%ry<g^0yV$WDeIZtH4}r}JK29o|-Y;33D8SF6+}kpv
z=~H=2Wkp}wFC|S*+i9CF-|A%#ndtM)s#9jp#3vuV?_-<Paj#4)pY55z>G|iUcM2sh
z6aIbtTe<_^%$%u?QhA}*YotoTFL!@$KYaV=6;mH;@zzC6Nrmq$Ct76GPpH@6u$SAF
z>w9%aYHQy0M@}1Wg_!mppS)WB{rf4^r)p(h+)rEkwf&s>ek=J4&+a~-yD4|>X7(Mq
ztUJpxsyFAY;JjM8_J`dSb;GYms;n&IPS#5P3`;Ls^i?nW*6bDAGP6@|zIr#udS!jx
z|1}>kUcT6Aw!z=$`HO{n@9zCC8`UXk75n?$?|H@5E9I;GChid4&9&x$jJwK?GcS)Q
zow-=I@|Df+n9K?Wn^y<oH?J#c5!t#+>C)!9odz#E=k-Mv7FO=OFYlw0GV{jQ3*D?I
zUH3@ty=`LGTeGI~j;#HZKPU3)S3A`0ozrgqM{`=#l~o0~Wt_7<@LB(V;&E*6J(0D?
zndiSt>;Cl3*37nZhT+kg-_t|07t3fz)Q6RnXC%v=pJ~5c?$^`$9^MJe^Os}<-nk%s
zLHteJn&@RNFHW;Y8t;s`wXEds%*b0=$sU*P+=zITEST3N`tZ1kyFbJ19k;D6-QUQQ
zmm)Cp^6p*XXV#X4l~)BZRZIvIPc&MWsejw{pX|niciT;Et{&QJ|FbyyMI8UkJ)Mp6
zhCCHKA+|sLxpF3MyeA#sexB*{x>YY8{|x3hH*rg<lCjd|9eYC_HLfps<Y;u2L+NP6
z<dnX!3p|ewxy?5IdZJr;>#b9}V;n>MCY(~sKYY;J+x2?ys?&YbuCiCYyUu>*;PZdo
z*EjEW*v_{|&VF~#tD+mt%{%Yh348S6iks_|WuI^K{t0_75q!<ZDA7!I{;9pYXSuwN
zaZZ?C+~7LNk<HEJNW@8j@Zibr^R9D0xOb%e?ef2WA7?v1x;^iGucPxWY2D*Tm&z>K
z{I+7*;^wl}wCAU)y|izCJ@L={gur)EdG+b-AKTu3db0Wb<`}bwrgi4+*NwmOeg8E@
zpHcB3-_`W9jZ!L4&-e#@G2fbeV7`x>)1mpdr_cT4^NH83i1jLOuXX+1PoKYw#&bR3
zGOE57yh~pD)8B=iEJ||{Pc*aKx$4}sZswb7VP%nrt7cg-_icO8!e=dWFH`*mYxb5y
zn;gVkrW{&zz)g0MPSc^b8E+?Y9}-`@o+aDF{jH!)G|%zh$Ez<l?bxV#jBnRTtEM*F
zjkB3n{n2oky5Zk$iKYogi62&IigA2vuiV?%ILTbp(@*Zo!zD6vCq$)%PH$H%cw(vf
zDtd{@MR!x@vuk;KmY&LJyEK=zU2Ts~+XacLr1X31nWr#wJSmw`ci!GmY;qlk&*$kZ
z_tllBBo%GqF#OJ`o+Phq+)*`MYgM-2mqj7_dF&QT{O9rC^t<`I-N_gE?(1$g9e5U(
z@}<gPbFZ$CDBqLdhgAnAs~kMIfLVHXMfpzQ#doak&Jg_cU2KECP*tLcZ`2*Vrj*T%
zANT(LH9z4_-LJ2I6`C&F*8Kl|irKpR_OFS3ZExEwy3YIXUP{ls`%NpwSN?!~&@G8G
zZ?mp2Ix%~1kN+An$MTNHzKw|zA3hh_dq>AR+b$Gfp1EsQ{Jw3A)t0s0oR~QOo#?`i
zC!fx<EZy+U)#8}I$L3wk_X92|PF#6C@q$z3)|zhzK8m?FMx@H=8~+J-c}CTD;s*JO
zy~{S8m?~Pi`NGGDFRT8)==r|<$Af1FUKtk`J$|(_`RCs!6AinkANo`IFXs8isMSvz
z+2YqN_bmHz`16tvYn<|D?W_K<M7!zLugYJF%Bx%+&kJ+vKFaAbX>Z5b^i}Pp&vsQT
zxL`RqY2jnHMNAR(LN(jpW`(og$UXYhOnSxTDYt$3Gj_Ay@8;+z5N+f?%fFFJCw+B>
z`n+SQ_j&ah|Cg=g{Jv!8RHifEf9vku|Nh}p1@WK7+wTegSFe0tVt?G|&D%*9O+k5Y
z6S^0BShgq6pDiI0=~E#z^J~oP!<L(7Uzk~P#O})iVfna736spc?dShoWBhvOnfr|}
z9--28{Eik&9+vt)e0lKbNj}N=XptbERZ-U&?#r7@`55p_<ZHf`MvEp-V2SvSy+-!)
zxI9bZCEk?vJUE%PO7TRYR@dSm->o+aUr4;8`}PIniND&0#SBV{XR1qh_Dj|0wHjRf
z^Dg?Hb&1!5m0@q>zPwoLZ*uYTuS`h+{q>u;*NQBC|0hvCEvsk!kHeB4tJGgH>D*AU
zP_fg<;5g`}&VDwcCDF6&{Mzonv*#{enDpBB?kqdeDevVz9`Nfpd)u$gB=Jav+AFU;
zdtMpNYbz{W5G1v7t<$adaUm)E?5nQ7?6EtuN32G!WdEdR4#5*{drpteUGRptCAY*r
zJo|+7i@5X84v2rat7N&?{>Pm4e;jJITJcvp#H9%yx3rD6dQ!zMTvZ>VzCyQlm(7h=
zZ$D?edhz1JnMLOh_)TAB6<w$Q%dN%a!O7#jIr(+vd+P<8%>Nl$8tkvXWp&NaH2kT3
zb<94O@3s?f>R8PVP(AYY;mIk6hT8?}7t2f#m?Pwwz4#E%|8+CO8{U5p+NvZJWwK3T
zcJ_J)0qy(Woi|Kw(7oxoqcZdLsk%!!E%$|Ul>3xAvd@XJo|nHS-;%zDCoORM{3{#Q
zhyL&X7+#f|RI~f$>VGAnF?TnF-Lz&%vix&+N{}VfVVU6Q#K8D3-+wNt{By|ZRhYWs
z(a&@KUNBKO`uxq`3m+7YzJBxdV}Zia$NvPymUQlAde0{LPReyo;js{DD`!~_*4LNo
z{ET<L?-iD5Pg^S%A0gE?=cuuK*W%MrN#@7?ZFsdb{>>V5$*6)0KdrU7yo!AS;&l#R
z%Ww&}!242;d1hHbfH+f^cH)ivjg^<aXH0S_ZZl-cmeJWD{$%5ovy=7(J}gydT(>*@
zd2K>^L%jTof3G6_AM@4-ZL&O(GdnzF<(1DIN*wRzU-)?4hVON%;KAt@l{zBaHtEbC
zL+wO37XCZIWVK<V+t&ka%Z1kLd$6oKB~&~>cj2nkEhk^e{5#_~k8zpwy|u=1ACBiv
z>#8q$+#~*FzE8rgUq&-$ay7~n7ERt?wQaZg(~mOzYfINI4QmY1wR*1`ek|Nyi+ycC
z$+d7z_Z3rOK80&O{&nARiotCywF?GUg5+MF`RADP@=T-S+$&)oSHzoZ6JDM(?4Dws
zdhPtvC+#1s6c4+#alLrz@u%8BOE}6{J-zOSgrdbSeSeFvFQ?@E3d1Zk!*<lnd1o}|
zQIJf>rv=k}Oj9fNDx245-V@1MR4uL^JhOUPl-ZmcHq}xKnT0!}cc)KVSs~V>#q(mV
zuk`!0(mjVx#lL8jQr;aYJ56ubR;jy>pFh}f{KeB(7vt)rW`5dvJSh0i!pZJgQB2)e
zB7>v8S+wUeoC$Ij-FYW!+n3iSFU2i3icdPrcj?SCZLKR4Z(m?uR%^mED@Z4E?nL!^
z_Z@e0j7qnEvO2g=a^97)II*&fPcaKU6<&DU{&&~uUm2U-QZu;^h5mKl_g;@tQPyb-
zJAT5Bk!|0$YOc3e<|-J^Zwqpqc1^Q=-j!nv=JFp-O$jpl7aLV~=T0QY8n*<N*Z214
z>}J}LcBL-v?ZaOWBHRUDiiI?NDehkzcaXEFW@gn)>C5h~8($mnx!?D`?B2ljs?Lb_
z>J`(P?Z=YklH;$Lo#Xy;_STu6wj7qS%j<sB>k9vlzLtFQN$}K}if6t5Z8ZP6vs`d$
zxnN8A#ICrR?Q&a|#LZ}!cWhGB$8Az6foFfQI_@(JSrM$tUn=7NXP2}phrDCU{WBaV
z^)hU)WDD?e$e*;AQN1bptG)C8gh%py6JNYf=CUbqUTW9<CG1jjK!vjL9G4$|FEP)q
z`C9F*|DDNeOX@Ar|Box$I?q^pzFO!$^S_bcF4-iP+y6_h9L%vYVEVl@>eSu;CXQiE
z7HRetUFNB0Ze0vJ+41gt`@IMbA?=Q}8VPIOJ@pN?<WP!Z4{vYjRdna@j!zH&{o!^0
z$3<=Lx8>fhexc?ivxZxI^M;aw7pyP$E-^T`>b1%wmi!lzFZWI{xS#dF{s)(>dh0|H
zHa-QHX@X*MR{T#-uNQSwuIz1A(~LHn{<uNpqd-lC$tm9xZPNd*r=%S=oU^#G>hL4g
zKHks8>k?n;2RZK9y&=f(_iyU}gD=xg9n3ry=jnG{``X>3uFlb$S7zw({6C-n$N&0l
zuX(PI?j`pA{JGR9XP=W|=J8dNZod4&?k$u0Fg{@YuWLGHK^+pm7KwT`^>`hcd}`v2
z(BlTqmpppT^r-c|-jc!Fcrf!3y8u(-jzbl10$gS@xN0t8<ai?R<B!FY$gTS?ojBsv
z<MyyKf$7MKLyIpJWFB$%`m}iO%G%ak0jK0OD`m8QnSPwcbI<HX!>fG<%{b1h<X-q-
zBIID0aA^%MQ*UHKL6Je}ImUUXmTV4PaKX-?TwtDx)b+KzN<qd9-MUi_XMN{zuM^>&
z$r+nbF~`(gY}SzuB@3gIljh$jQYljTKY4bU{Fm#N&x82F<MV1(=I#4qzV);1XRYb;
zLmEGx*|DjJHzHF<NbaVB+!p)5d8(39DkiIEC~W+p#rLJb;eUz@vxwLBjQzE1<|<3Z
z9azA(a&K+U>y10iM7naXJZL!ZFCbSWcH<9qZ7#)>8M$8)I0V0Jvfz-LX`gNrz%bKH
zb4zWGz+zEz5oPx#RrPk?C(JV{^_IrRn28;_+^(9|6|<OiZskOQY*x=}yQBOW_3I~n
z^*YO&mG(E@E%foGTW|Ulxw_Z=I}#i#xjIXF)1qa@`up@1Gd^%XS6G(y|Af$~)^mSX
zFkC&iI_r<iu7#f&uj*9g*BQ$*-`RO(y6lTI@4r=__ho0X%>7_cpR*(;=i~BFsekHH
z6NAHE%AWonm7U?q(Gw%HMkQyBs#x2V{T^0Ds$x4DRJLT=&a~Z9W9>B6_GOZ_cm&_k
zlsgJfrrwj6iz{(7H0anlXTm4@67Cj7nW@uuTikqoknhEvbmp^4`%kgCggDh{w}uD_
zc$+U{GQZj-&pAzQs$P{r<OjWu?gNSw0{88Az4Oj0>c-t7y%$GwTO#bWG>f=bmR!&-
zRnRK&VCgulD>Z@VL$JM2u=uo~!;*oAJ^KTm{&r|NzJ7n`l!?ElvOSwNS#28U%0r9J
za&PVl*m?DkfPPWu{4+Xw%XOE#czR}EV&4?LBS^hSQ<-JqgZX-!szVMJ9O~YG-`a3R
z<JU#a!YSQ9?mphRcU8{WpP7e@-^|Ora5CTB=j&-Z5sP0}dtdKV*tGJHfzEdATGOyY
z(J=>ShMtVxW#qQJ`B{gf`HHsLA)c?p5B6pxea}kTY{C_m+qjz{^1?YbCgU)-r<o6u
zRz2>!vQhEMCRgS)7k326$j-I?A<Mc!#p3epC`X;QZ?)SGTvbk}l)32J`K?d0mZ4c-
zhLQBmKhi80&+aL^edynFfo16hty?;bqVE2Qs=0XfrP!|Lg%KW)@9msB{m(7~8_vuF
z9~Qa(^I&0#(`nq0ATVQh#4QJoj)Ld6>(;yQ$_VhTy<7Y{sqU}SzrRiu_0AIMzw6F!
zdl|e-!p%u=dHHnxo&5X$rbbRPd}I55P0-O90*BVl^yt)aS825Fb$?vM|3qc>V)=-3
znG^gPLz9=^UU@gd=-B+E+h-PYrl)s)+$o~JBcarlL$dNza`W{1S2{Vb84`sxZeE<v
zExgRjQ7*m3N=W31&9%~Pl1rovy=0Cm9q)2c)GK&hFu75!oZD-jcSDobA+8{mbI!jX
zG1&i(@Ud6kw9Ig(1m_&DwcUYCm&#YBCis0$Y1+)f5ycc{tT%g`P~Fy9bveAr_kwlS
zNFJMgA^hU}gja9vbGjGotePjY)Bo@3^Z9k}Kki-e?^4y|>erD530}^RbwAI%pz0AJ
zXQ`TLUw-Vy!>?)!{siZBUz@z;vQ(7qW66qzN!I%UJ6>1?{77NWE;^XBt2(#l@!S52
z6u~J0Uyp~$M4ovVb7+BE{t=tsil<5vf4+F)q_;fn@yWO8pO$>Hf8E-iI^Sb*%i;Xb
z?`Q9xDnGmZw%oxzY=>IUTxj`Q*x9$oEcmj68qZP5Y@XH9|JKcXv}WQYF6FydVh(V>
zn{+Dd_-xMA$q`*0$5r2kd@;TI;nn$q|3yA$RO^oaxYC?xb@NcotS5c%`m33pk2)s*
zwBG&o_r%o1&wh_3ZKEar{ZPJrpo_Quvd2<?G5(#Yt<R==nYkSDe!&*d9QUn)J*3>E
zCZp(E?DBOJvj1L|VyHWRZ^NzI^{?{YU;Q<kGk)s(pL_jJbzD-{dj0jp^12u^(S23#
zgjb&1yZ+QyW@G>V&oqL%d3ejUW_|wjTzabT@-y>TCrn|HKWCGs+iCT7<CC4<!WU*N
zy)+?i=DxO%mz`t|Nkt$0{ZD7|z4y=V?CxLi_Cn{ZHIW(@PKrKg%8EO^-L&EGo8Vcz
zg8rx1?w*tIb&KevDQ`}3Xif{}aQ)L@yerfA*L9o5>_#V^g|drRF>dc=Xs%Y7bvg0n
zj_biT?bGH*J-Qo{7N^(dUhqgI@Wxw%n;|duzSF#R;8V`~*_I;zHmyGuu}z!x!1jqu
zEBfYs*N9oN;ZB{=vO0rp7j~&e1Z@-A%hCTTifNVM#vL)<+k3Wg*!3EVRiy;$e(c;9
zq?xDP-ch^#!{)NSmqG^SuTHB<YhRu)aqh2WeH(qYE;c-PV9QG{_PFd1PwuBx_brya
zm-eu$dcO4Q>nUrM&S-trb6Bfz#_Ow}K+xaAnMc&xFQh#+sM>lUX7i;3+I|&v1?C0j
zMH|X39)Fu7VSe?m)ADTll2Z%2^KEBd3YcvA*{17Rjn~Z?`%LN>DkSFZ+BIKtQzz5j
z*K@c}^RJrCecS2%`p5?J`s;rmc15bUi)jCwX=WCGYL-TV?VYCAF>|}0PcFPu-6dzc
z>PN}X%gnyLa(jHXY)-!TsnPhu6p<Q}7nj;QbILozEVj%oy>|WnihQZJWd^q9Q~sz#
zZFiqMDfN?p>uXEK_aUjtQp;QaJf57{o4=^|=<nINUTO!sG;f!jT$*YBAu;Xg+l)6;
z*L!>E%LInxKRGwy_3ZqrinKMw+-okBu5+FqnW64B=gSNyx6Jv~`7?!&%?hs$46F_c
ztPT#S4*1xv@>6zm-ooXRTtAq<UGs?f``1_B@9z8Z=6r4COZUm8Ur!6Z<#El))_ijE
z#lhYiVWz8sk5BtI_pP_^@nalM=V~45h<NVpxW0DTijoIMwtikd&EL5tzw~0EGl#EP
ze__vS$3@jA92M#UeAJx&-8jL&!E?)<hxMyn1tbmsKiBTi(cRe`IA!8oan;0mr{i?@
zu1-@|H~Q$4B%*ooUQBQO=LLfKhn6yOMLC>#ZT$30{M)bEE7erH)(L84Xnc6TzN@Q9
z^|jb3*~>dyyHA@lPh0%=@afZ2o+k6X&$;=gdGqO2%U6Fc*ImApuZ3y0bk^!MFCMmq
z8_H!L`^;h=t(%>HZvLt%TN%xlh*|A@`|v=}{oQJJ7TF6fDol$MVO?*_y?)-b3gerL
zzVMz-cd?V3w6DzH|Dx_D%SDFVis@1B8>_2Wm%od7Kj&rO$Mx=${XWKu-?kF(KbG?&
za9{7HZdEpI<pm$}|4e3i6lZZz@ptYv-M-8F%EfM{FS9o?TJqAgaG7c0n;EIHGJo@x
zzssytvuF`k=R6-bb4&hwo2$}x`Xz5?+B1t==bZe)8Tx06;^%fh3&R$>!=Y8Iwak9+
z&j$2!25V1Q7QyxV$Y-S!*#a~7i3hN=i-+ahNHR4p7B16iiQ!(Kbm*s&ho#%x^AqyV
z+zSo3`I^~yN!=>Nj)e_gD)MaKOI|i@Y(C#>x70IqzK;8u$65RmJaMIeA4j-Zs7<+B
zk#x?3O?y@{SI@+I)fr}2?Zmc*I=9Yd{dwU<To;S9@DE8zDW?EURvW3iHvOv4cwHs;
zE2(O3H2wKYZ}Wmvk*5V;2uzca$XNG;r#V54b;s^q5fe@`G_!n}^y14Sj=2wSGFk)+
zt+(t74scbfDD1km@ywUnXy&AIo{BEZW*Qz$ezcuqd#LgZp?CM9j!w7~*{1a(<GB_8
zR6gsk%gU1WB?$N|IpzK9(>gXgU%Ts#FE?LdoDp_0FjqY0`V`N{Vj0&BbEoqxPvc%W
zMQGC-KIL6~E9?LM&^4-3X3u+m+jG*5TbpjF_6oai5|UQ`Ug7>d^7r3emUHGm=Kd%;
zY03A-s?2T8O}X3a85tfedG+eveg-S4t<s9sK5<2F7yQV6*`u&l<a72ybE|`!niI~-
zvOK$TF7wBvoztiD{LPI&`upjWFWJ(ni~Ai^w0HkuIrFyqY3pgLgtxCO+t2j#=`6bx
z`ES4E&aMTXW{h$cOVve|n*Mt)v(wwUf~z(+Y3I&K3$)Udmg)aFU$*n#giR+aPHeed
zVpjVw<K&zfx=o^?e}i9N>3w}=_SDe-+)sNWw9F1K{mk&x><!aNj#lN#9$8Trm+&0>
zc9x4_P4MIi9CIU2Gc~1Zbx&uXVKPm8C!4^LX;UhWi5{<QdUX8x$BnOb&PP6H+LBv$
z+~wHY;=S)LMg8hqWVG<owHu3=_6AipE}GG_S!uU})R7-*Hw5{nYOmT9nYaH`j`&e+
zpJLrj=a+ZPV2$5&_V+6$d;Q%F=6@d9vwyx*IQ8bK<A?HJY>wX?SF!dud*xYyJBM~P
zTCudw+x=tFxw5DKxVv_*vFhKSIq&1VcT*T&PMX>|Y0VakPx}{aS=qGmLRizc?xs^`
zHMg$l-?CEn1j7X*lYg0hU8U`lcqXq|%O=<NnPqKr!IaO*x!hCp9P{#;-o5<9q9${K
zulPyx6@8b7?$a8!U)g&k;pev*>B~Y)7&(Gv_!K2hb*^IkkW=XylO%D?*2?93-n!dy
z0Tps}EI)E0y;V2+dWLMadEzo-MXAWDjq@H=@zrO|+m*g`+XLmR+uj{zmv*tf9AMce
z{y!%5!upFG0zEOA0m-u&*nb@1%g7S@Y1?(l_1~hNJ2@Sn&0Z9rzVoi<{~fQ{3>-3>
zV`Vn~SvH~N$7)-CtL5MBl?ZV8=JB1&nSQHosX=j8jcVT4+82t~Y<BnBHCV@W>{58e
z`cS4RXL0x3B-?#vS*xv*oc_&GD$nlRpT2VYUHRbS?SCWdwtSqrp!#=zY_aav9n314
z#Rt}V+ifou+2x&I)8X?u*5ZZ#dhSKeHq~|_#!N14jZ85OQ7ZqNC&%Bei~DWSx!2j`
zY`xy5s@e0Ces4EfG%<FbeNN(<JswUk>nuLyMO;3&T4w(BMmZ6t3c+(LXPjL=O<uSr
zN&1V$>OZDO>$wwVGh|gfHOr9Md}rUT126vQZTx-bw0n+C_f(O&XZ{KbSNhvbkE^i@
z{~e~wC-6CHs$3Jxl{pq!eQw+5E@i5&xRpCw-MG{|;fDX!MP-pGzl53WcHBr`njYCA
zZ8HD#{<1y)-rbygh*Q~?z1BodkVSx3TTxZt?A_sM>+kXR|9T|8ZBfswixCA<6Z@|>
zE^^*IhhdTSTjw&4s`l7|qqElau704Aq2#_H;%nD2cjvqIcb80_&h~!E&3EFd0(ai;
z|1$BT?B&HfQ#H1B%UJp)a_meOpL^^3#fW?F-n^45JhD$IYg=1Sx7?R2sXwE?m~1J%
zZF}Hsd;7BVv}@DcKk2MyUEp(p=~IcqQMZ2KW6jNFuAP?4+M}9l_;zo2cxoZH&bNhA
z<O@Vn3qpk4-Uv#bJ!+Y@!YF>icFsM2m6pUdakbeVQ46!Wv^xEA(2mJAfwAwrxV^qh
za^KOiz8kUl*3_Me-#46kYqs)34r6!Z{_aJ-;&1uYl)2N?rd#u@UiEs{nIj8xE->*e
znAm;PW^Uw(rig@&=hxWR>UDS?`ae-q>V%}p^LY{5-<kyO(!Dk1hKcI3isN6W{FUFy
zyxk}6%~!wFWV5HD(|#!MND52OZ#gT^erEmC*~h=FyTx`a@5SuQ=zRj=7u(bp%U!fg
zKK1$RF{ax((~oA`&Q+H_zn<HearX~)ho8q9FWTOk@3D4Lo3Z!$2gSL<;#Lb~mV5bp
zv0pziT;%?KYq8Z|&lEjhU&(b?a(?}r&Ffd3kri6|OSCB>qNGyzK}q1uC#;tr|GII!
z?B>kEGc#tc5PEQ`apTG}x)BF7HZXS;pK`9%-exRYH(w#hLg@VCYc>1rUie=5lj3=A
zzr;qD|9hnCznl;==b2bt;yQQ!-VBAf^@Z<TW$${tRFEjoEn|ud3CzCtLT6dj?!CtK
z`wRDUOkeY6_BpFd^_>f2k7-Pno^eW8t$y+(`IpxH-!95Lwd&`JIl`tV>};mWrYGb)
zjZ;yh?Lp(jo;fd4&a6qP{bv!i$@ykn>aGmO>(witWUl;kG{7_IM!@a^;tl1`V>tO&
z9FgMXU&A6jm1o71PG6@r;VP?MT&*{XvwVAR(czgd&+PkLwL4vTfnjHfc-G6GFA|0K
zKJ?uebK@6_jP8!twyk{gA9l-I$7#IjTgc7CU41icQR?O*{Va=pSuS79Wxkum^uOfb
ztZZt#^rr8c@>10zt8+gtD#=J@C+rbi@MfRHd7F~xA8#9)QkYKO{F8VrYk8A_&pD~c
zmpA<P+<)*?^WjXneO8etD^$-HSxs5CZre`#ll;s4Hi@1sb~J8IF-u8sOK?j~XiKZR
zVE%CSly|dvG|UCP-f|=sxSH%xdvX5>Z`S9Qq8dl5eQNXW{4#I&^?xHv?se%e+a^vm
z)n1lycTUrky_fk{vj!YHqFnVY=3CuGwoRg|yIwpwb?(3<`G+l{Z!~Z9-Kn{8KX)tR
zhAX-|86sXSi*by2)fOWZ@oHC$K*XzAF@aNpCLJg~7Bt6!`&iJF1I2AYGaR_vf&>&@
zonxN5F&4!<jcQbudFs`uB=a<gF<Iv5A<?N`t1WfCm<mtuzq{lh`&8WVt8GN1O4WuX
zc`8r&9e-L!G%l^b(7fc2vIakodrw^UX_I-crmeBtdd*34;@*lk`yva4ydO`#X?$x#
z@vfhSjKV4|kKeg9I>i53-R+k5C-p;RnpWJg6?Qxq{_Jd6w5f^fcZihlL7Q-o*>N}R
z-ZLI?>bJV8@F^fp*MY;tRQXSW?e|DNX@-;Qr=*4Ht%>S*cVPWT=^b|SUYIYsI5A3?
zYk6hz`~OFLD{BqTBna;Rw%_;PA6Fmg%IWPk6|)$slti{Z>Ni?>pW*BY)B8{U&3dA8
zGFoCv^X5DECVaXyN1D~I`$XgEAZ<497>U-aF%$T*O?UKo?rRb2-WfIJ>zqxVPuKI7
zs$M(zrRajj<(g{UX`ElV3M3cTy!gNR-|<qXy^bl#M!%nig`WE+zU*-{cU02ts?*oz
zN1xBEsbn_zvOT7zP;>Rl3k9oIT&SF2Q6l6j_i*NgVBSTQjt?J92#!g~k4QXvA@InH
zuuKVF&db~5j`kjEDlT02=fUZ6mRBcY%sMBEWv){b^1M_t^QZMJmYb_C<UFzLGXB`<
zwDkVZZHIb=V*dK1cmG>Dv2^M4g-VmQG;(<-hXhW{seP1qOy~6D<u`6z``NQ~;^A2*
z?)@)2=wzFCO{2x#-Qv#ANx3&(xgL=@!6z9Mn6#iwuz5jS$BA`$^CwJ6_R?Fc^})pC
z#**CYVj6eZvTlA$Sd<v#=<+sKJ1)1`Pwm_lMa{ynwHgQR&f{mZ{r!JlLe{tUC#Dsd
z?+=o!YwdAvai9Nc$HIt;Wx1EM4sp)?tN-NkhuZb`4Zbogy3g@d!)5=%*YAIZ{&Z9Q
ztG#sQM%mo1V&&yOLqGPMXgua%^1bru;kb_D9$A+~R~0?md^y9yYWK<H`^WD0X7IFV
zDrNtD-yq&{=95T=R>iHjRZDEuS&UDf-l=BipWS7qmRz^udsTfy{@m&3{@wQKns~6|
zYVKZd_hVxH!V6z7Ydh#zyP@^Tbg!F+?JXy{o;EyPn&<Fto%D2D7PIe~?0-`F<Brew
zeLc--%JwT;T3GLWO7@>5qve^Eernb{-}&?AebbATIwN!Qo$mWN+56t_y7q3)`!BCv
zNyq2DUsEaee#_<K?oM7i=da73KF#m``T3G}dfMBPJ>n0Y-(D_WeZRVY+qHG&?yU1G
zUW%z+uYT3OzTdrh`ueWc7Sa2@@6G0(&w2L!gp6+f`{~W;^LP1#F7>Lr$8wZwN8S6b
z>*6X>(i;TcFeqNwx5n_+^VLQV)wg>*m(4O?e)Ds?YJ88}&dKxMPZ#spThb)Qzxm9q
z+>F_JA;J8oKI`t~eYYy+@crfPzvX}5U&a2ZW#4RT>*!7OyHd+?cJIAaJV`li%9TT(
zmcLkOSN1!gcHceT*KhvE^)KA5+tBu=<o<_`H7k-H{l9DI&fC?m{qVAWQ{dEV>Gz!P
z7d%?8bX9HJpSVovDv{Kh<L4GlJbOs{{GrGFC+!~o`KHD15V~@5NM{AF`Zv!@`VO&g
z+cLMY9{Tz)T9x7F+C_~sLsptBnf<OJAyIOT<izh+Cd(M6)Cx>K{O{<ozf%_cF%<j#
zb+@jZ``bkB{tlsA;T}3Zo;fF+e3rOA)GvA~vt_E>54Q(D<!)>eDdqU8WgwT9rqAS(
z+gA7Q=EF<uN$vUNoQqg@pVAjtSoiD3n~O7B>Uvp^Tz@wGXsSzi+~a^{)873$_B48`
z_N)XBrq<(2j~bu9|Au|u=Z(>tk8A(cC1>Z_Z4wiBtzCEi=!M2Jn{Q88{%L{Oj*OZA
zIBNcQeMl2?uqZ4x4c(Qvxic=!Vwz6iQJd&nE4RE_`r!TX`Rzy6EVoOqnLEdN$G+E_
zue1G?`~EyQpSl0W(wWv(Tk2n|w?B7J_m-WmZJPb->-EBXAC4+K?_sHx(z_f`9T&G&
z@>mb&(UOmPHtX_CEdC2ihL`ed3UXALpXC0o93IZ_=GmPO0e9zbyWY-xV0Prh<DVwm
zZ8YVTR9f?Klfvv@8hUSTH6)Y;>&P7YvEe~%?i$XwsmgBO*}j;RU-8~9_MNx<YVT35
zqvviOyleOA%<B7{2@g0GUb$@0`mHX!E!?QUWyjK-EQ!yv`p=(!cv^Dj$Nsjf+d}iZ
zEFVsolsYA5;-u1$Cf>*0oa-05cE9dwwc(wosB{1Lxx|^<)4Fvln^=QaS}jvoe){^f
zcfT+1?yW1c0vAqP`e*5Mo4*VtweOjvKm2t2EBOB1uETRZZqD%xlfKKW_O$Z3ZG(BB
ze{9gRhe{0dcAWTk%=LW04LNVd{<q>zYzhHw-8G`!PsF-elGoKp)MQ1Z)UoV&rl4@L
z?%gg0tqFy()_${=`t}Kj+~?rg{6R0A_5X`)Aq#7Nh_3!_@nc)erCrm!=1n~{x0mZu
zblse8FBa><*l#7_)4X_QeNB1e6}X{7f5MA?%}C3#8LsgYCjFC(YLY$D-8hFc^J&+N
zUAt!NinTaw;F&V%(!GNHJ-;)LmfFkym>iI-s@1r-tl9FU)j_WxGy5i8{9F~FTpbw8
zc;NaEhtU6BTo;%0mv8<2Y4`biM;;q(Gsw2vtJtydki)`b2lky}h%Dp&{$jz^gbOR!
zj20jI`1=))(!(%Tr=S7@4=IHehi)wQh*@<%TIXOg1Jfp+EmGX849{Aq-g~;-!{hVz
zs|%Z!zWEvdXp``Tm%;1V$^&k4UcCA<=Vjg0r5bnaC1N@I4DR<hXUkkyxf2tlUg=VE
zQ2)+s{tH$Hk1q+|Ha%}5oi39d&t|us<@5pDoMgFTpZTJWnn|BiHx+DgmH!^NRA`~U
z%!MUQOU^KROX#l+Tr0IIV2$m2-LpQcF3RseF13GtPe6wb4`))~+6$(>{R>4_c}z0N
zc*OJKDUV03$Yi+*JDzIB`_2_QH+8D}e3O9G3k&>Bm;1^JCnntgpIxT&`)zxWh0Lwe
z&P#Ld*&MrRvVU89mWr3`{iTnjG^DT3SpJ#Ae9q+EKJ%t}_WC^xoAu6V<?@X}=VvKh
zHPC;P*tgl}{H60+LHm2yypm;pvP%TN(2ScE+iWvYJ}Oo6wZG)eq)n?B(_52_%jRtT
z-*HnmjB!U%=f6MmW<ILPSh<?(Q%76JCf%g-Y14dPFADYCzAe}DyYlm@H<fyKCtvz}
zWb)Y+A$`h!9F|q9R-ZXlC_V4!%abaZ)2nT7pP9HJKyCA#P+7|lQDQn%%g^5R-Di=%
z`}@r!w;3uF*PH#<Im+{I#)%CYf4`oZ`)c9FZlTNlWv?V`j&{}6{&g2FH@jwK^ZUr3
zcLjeIS#hNrxu{qs|4nk2oyE#)k!mz8QL@_h*0d^%>>|5WBJT~j*0J^Qo)ymC#GYz2
z<)OX|ugJG22{J1kLNrqjZ}6C96|-_vqAPo|k)G{hH<e|aU(BknJq??)KFs0Od#=6P
z7ENY<QMR@qcI8hivAJf3k;ikov%KE6s`vkUy`?H>J4?{rSIo~l*K#)f3;yiVk;t*}
z;h~oyx=b!<TpEY<f)4MgSLi;m$45$2$&BYjQqE5mgH9JYJ_XY+|JZM}sF|otOkC3D
z^nbzR3o1gH6{hR_ZkAjUWYn0qR<U-i`1H^7a&P`s6u$UY?2+!_xb0!h3D-lOJf7Hk
z>FwH6?eD+INnN^V@4EgCi-ihXr29kJQ%~*Qw`sicZ&-O{-gmt{Z*sL`cs4{oeV=k@
zU-z0=&Nn#=UT*luaWmR6CwBMdb-4yrefv0)x9?mezWTOfM(o}PQ~TUwr`b7snJd0|
zd$-cxY`e3;uO)l8K38s9&+u7j9@ps#LyMecGuA$QwfHal;kw3SnS3n!REuOheoWiG
z(C$>R>5g}y;a%S}p7VxYIG_7e;@iGYGdfm3FMa+=^XHr|Z~r_$*K4q7nkm=W3G2Py
zFD;F}{Y>-o_3O%a=l>AwN}T*fy(6bnFs<iJ?}^zFm!I!7<=JXE{q4cOGf&licifzE
z>B~X^;cb?y&+^~4;Y}4gbt2h1@%ZgMTinD>@O_i$cyi!_a)Ps8la)=}*SCI00v{e*
zDHD}w_{B0VP(40zx%9<jR|0sJ<}p7iGfk;E_DA4SjmNi|Y>9hcz6n$$y1c3h2vpY-
zT4(lTRqEzW-ks0ZvREW~ec8#7633KsuS`X<$M|E*W1ZTzolOPC9A2*k(~f>V8zg*1
zm4T0GZBbLR))eP3sVbXyT(_tHb6Rn8pPIF?MCylW-oY!EyR}y@uh}fX(;m}mV5@j$
z+W9%V&Pn(wbai;xiFp3lTW}}8b(e+KL4!$O%S@cy1UGCy`rYu&tEV%T{!nU~{%fz;
z#7-f;<dBm67CvpM0YNUcxeF5S#uXkp#rS{c>l4Cm+y0&Lk+}KVXocF1bsrs{e2JPJ
z%bL)%y)GnfUiyB)g6-ZnW-PxQcp+!YU4yE5KO4Jmeyg4Atk+VkP?7t{-t2)XThNWg
zwQFzQOGx~_aq)wXOOKQ;NV)99;PdfRLSjpVkqc+;A`6+L6P~1a9{UobGt*p0a<<W9
zp&zZzOCH6y98KP0I=#2>WrfNb_a$p`pLj;*yyA4)dNgjUi0Q9u(iMD;k1I^yI4dxJ
zIQ467@{9<x$qx=@&sgNP@5ZtE!`c(s68lU#{!aR~xL-fHh3#nf-8WJtDo4y*->vsl
zh&g+Ex_r;ikH!2`f<F5^uKm-bcJ%5)=8s?Aawr(_Bz3p^_#bDG-0!<HA^Fz&k9V(>
zf0gvV`lI06|3?9b?RGZJ-}Z%3-g#NUOWBg?`*?Vwy<}PaEK+!!mjsx+vG?HFK7Gph
zkSCL67f(Gp*X^*lgpk*+^OLun-26e_X~k2AIX~8VMq6{usmXZ27suJxcvay@29sy1
z&?D1MfjL=sOTE<1_jiY=9a+wy8FFLI>eWm(4GYdUO7xsbVAW|^;NPavbtEC>{F&y1
zKijWvE_axBtdT$Y>?dLUz}<QRmnZh;oc;9elf8ON)u9uTQ&$SG{!RM#dhf*f{nu>S
zn{Cs7D)lbj`m(^gxVTtWg~|W^JO#_6A>Q}vt+e-Ku=31x+BjP=?^FJb;?pgfdm3Eq
zI$0v-Y^bhTb~66em%w_iT|XUFW}ca35LevoReM|Of5;p$Rzt&=8b6P&*dBDpVn&+q
z+Ry~O%GrYYDb|Uvu9oE2dKBvEa5BmT7S4O<x7}m1^=3J4BX12)5g$$mjhiKh9_s(m
z68nBR!K8RfK-WgrO*vv=uTH1R1TF3Q`=eUI*Y)n=DK1-+iyo`$-meO+v-n{$^{DDD
zp#q&b)fPW)|8UtnNzJ2A^LR<X%Oiq``>xc;g!uifmFs%G?`WP;^@1)3=GL8B8SJM&
z@`Zihw(PR8(21Fk{4TeMTxKiaId`vCPU@5}S6J8Cwr!V9Ey}kE-|Mk>v|`zkr7DWa
z&zH<P_3GZoPv6yRH(m%#cq077>cpR*iwfu2w&?LH-G1WttwSWzqiE~jyEA`?2Umv_
zhjF$4XKB{+5nU)f<MYwGvo=SbSfLThda$`|^Q6K~{`EVre7{pYL4Ng}>b9ni{5x)6
z=5x+%>JnW2Fw)a~pP#k&<5_y!a$Zi+Ji-5E`HES&t+I<s#XU|lU;89~I&WtfzyGV5
z<#TuE7qiZNqvu`nTrBkRYm+}W5;?<ncBckCRG)od$>+rk9{lhAe$mOf%hRoyUVK<f
zE$gt%ORJraLcNxrX1VR{ZOF3Cu#e}U$jrYpU)XrB2`#u2TJXl?!%y|UOV$7W2(5kc
zZHm^@QeV-|pIpl9m7OoU4y8}D5quLd+2pB5t83RzhA^jDn!%^PE<JpU?bL*(zsf)N
zGbQ@J<S{<EG9l{Hl9wwN_5QM`P*CA74mqdwfGw7>fWtuL-GdXVHbEw5How!IzSZUW
z#*NE8w2yG=YJ_MzE?y&RwEAW9CYRC;BJLMN+!KwQ4(#at(?4tToiwJ!)0oPhF6)t)
zJT*jdjepgI5AJRs1P{-5o#5;8O08_7m1fE6Xyea(MwJd5n%uuX(qj4f?4X9EV_2G5
zbj{;azE76>K8Z;SnmkSLynnkg+u_9#*3%xiT29n%>~$1js}y>brcv?I?ICAFm)+Y7
zU!?y&Oqlgxf*1eu4|lf2)O~mpDwljTn0M-rn!7(595$(!cUH#k;kD{qVO`M?l<l^|
z<5^Vfo)co*KWwh-?TB0DAZRbywAf&&)T%i_hED%~tJrI)iq`b&t-Ii$XHlNM#)oU6
z!pwvfvUg(i4|cJOcWv1m^IxOQLa3BMge%9GDOAPgs%rTqg{VfKqE?m1nL!M7N+$z_
zIJdo>e`b@!^BY`YB~^x-P8OYccg+64%l1>ITwOLyJ>JDu)3ax@NVDp^(%-%Htj5Pn
z{+!Pz#f6=ka`5?=lM_?Y&mFArQ=2S4dHL5<tg@=95kCJnZ79^7B|39uc=LoK<}p`#
z_8l|y2=Ul#Wt^xsW&IMvtomS=`rw~;97Gv3T9==aI~V-_{_J^=&To@US(kt9vBdoq
zx2>zLo-1lr-kr-YDtCKbL+099zb9*Ljs4C&eVOK*h6<yJ6$V0`)kc0bti|V!<gw><
zufOf_^HBUBo%+g@UqW5iTh66viO!glfBpNLHy-=i+zJ+zMwSG~f0s6i*=9U>TXJWw
zyTyLq6Ncp+_jYanu=u*&Ql`CP3?K6N)lDwz=9i`)eQP{_Zg)uEuGSm=a`W;}i#1IZ
z3H5e-6+BP$M(Io6Rc9KOo!07c?6&m1bwQOmI{lv)%UP~~hR@5y?223ueyUvY>zeOX
z)1ub&t3vt@R&G1mynoVyKvBbzlXtE~ZU_q7%q05Z%B@F=F`gHeND1%yaxp;3y+d_Z
z*4nD?9`y$=2Fl<3a9gWb`*%UDv)Pwb8#KG?AM3u=Dk_aCX5JmBUfrwrMQgujdwp_4
zC9}u_%iVd-FMc=Qc=gugb9}dKkIb9A*U^zdebS3Gl+E)qOjx>pKRIl({c&(%yW<Nf
zzy3*QZ|&+lRO{3B^3=c1ity8R`VSs4R?J;?R95O}U)iOV3;NCLD`swA)L?nZ<x#+G
zk*vC){k4zx+UC{x3ECd1N!O5$+wZ(>el?fdo!3jhC+`y4_Pl4-)$PmM-_CzqS#vp)
z|Aft=$MKz&)4x0oKO-jYzW9?WTjC<2>bl>bmht=MXfHT(;|ot&sOiy_SMylc#VubO
z&m6t~fLXmlZiRMk#q&7MFSg8#HeYpZ8l@^T!#G*i3Z$+PoN0dR)jBzsh$_1soG-X*
zKAXRLcRuEt{=71&qD=yk^-}yB!i_CMHKuvl*zFekB$i&Ud2+6Y$|s+hHzteRi9B8|
zcJJD2qcUD@4#`#PcKlo-kZ@Y^TIA)k$}K6JUzSI&`_CEdcR@huZrQ|}X8Y!QSI)g~
zGN$IZz^2)}skyFs1sVxK$)6`O$?k6T7BEdX%dmFmJOA$~vwua;dpmvd^K*w^GT!1p
z$JV*Kd+yxh&Zji;ICAPVJ043~-?4Qy%c{58os*x<UZ{QZcJ{8@)iu^8mE7rn(#>}y
z`Ch8sX}o=<<-;GVCgoWct;lSxF`pAwf6Dr?#r?o93F6vG3=YgPaohLx^Ly@ETPU=8
zp>1tpL4D_M@w1XELc=sS?)JU2Wg7nzUqhv>yo~;N3nS*8yfS04(d@6=CtSQ3sv379
zXmTgVz4tp>G<q!ce1$w-pF1|=%0)YwXO&lZQ(gWW&7K(6xxwOMhJuQF>Va(@D&73;
z@mX?SEV2jUGlVh>t_mhSl2~`7Ix7B-;3K8ElG#?pdX*P7O_zMV#*?L__rhcOeZ{TQ
zPFqSnd}zi|+kLS?$BZL(xjftX1H1kkbt_!m`1?Ogrtq{kFQT5Z<V?M+DVphM9FlR5
z^=#pSSP7G7XY@_gG&)WCQm$J}njsvr_?WENt?b{6=IM51O$pr}xZ|KXL(K9uSud5!
zX01CN)Rvuj)u3a>>Dx~f<EpZSvyST29F973C~{Sj*uR~>>ta{3Tq|07tYza>D-+k(
z&68hEpHQ;4P5o+q$*S4@{l^!ZtjyNR;qr}NyYYO$o#*Yh<Cpmtn*4hD%XePs>f=63
zf^V;#62vcYUrldLuWR79{9oo_Tx%^?Y~7IYH(Thq@$c^VBj2B9bFY<UU8?tDN71`(
zR&@hC(<xS~uDoq?oEEwMI#YoFgFbTs1H*Qv1O<jbHUS2PMAiop3~$_g_O&i8xKJP$
zbnJeb(k)rTUbU{}#veUfI~!F_wohd`s335M@6fXN&ntD}S4?$otKBtM#N2jP{kynG
zixtl94KFS4Km3}xSyrF-#p``xOI{0AerW#G`bFjBA49)s`g`}EJNLiqT-)>I7iT}b
znVI(0sLsQBV&{bF+YY&3_g|HFe_UbpHukLi{d4c<FZ=lG^QWhV@BUU*)m0hV+}mPN
zHSb9L)%U6M!|z+$@3oSSi_6uGjy3vOVcl14FQQQW_~r9^7yqhwKAv{6=9=`p{k!)`
zNhEnF+FWI2Uz&YKH~o0OzxYR`&(goLV<*Qy(cpcZ7w?s<@cnP-ifLB+WLA{*tXn^e
z_vaipsqR#xnYLek#oM1<{_*bRNB1ZH{x8P+C*Ew<v-rz*R$f2<Z>fET#of)piJ3V!
zdZ+2i-=6xqx;gr+`EJcW8$Q-W?>TeqR$FxS|Fd;^!LQb2njN}if6uu5uKOA5HGa2z
zk3FuuRkkfg!#iu=gtfm5_C82CeyzA_o5<4ccO{c#Plz>N{WM+op8MsKu|>1@q_ErF
z^<Q*6<x}dWIX1_;4>H_RY&EUVesFes-hxfHT%380+oz?;$@9BkeEIX-#&vq@{C}4O
zT))qMw!+SLt)Kf_&pmf|?(1IscE8N$lp^b!*ET<M-Z}Fn+dZBu=C_&YTt@JpyOPtV
zH!t2ixAc|aipqt@V|o8hvD_FC7~wmi^37hWNoO<e-(pxBaemb^W3S)t@7FEbzIgJ(
z*$-cyJoixlzm09}_50GstBp20zcP;7I$wU$-$hybEmVG|UahP2__jOr_g&{r`?LNr
zM#jtT`C~mlfA!(N6WppxH^^U#SkL#>S?Xon(W>_iYrbn=`^}zG8?e#NLgDq{uCEWj
zo1V7w``5YrcqD7(?7hDB?(ypXJ7rX>Z_8>eb-(y*^W@MU(mFAF&Meyf^6ih}67&D=
z#@4cNZilzOcM}hvDNz3Tr^!Cw;Nz*EM9kyV{@0a!U0=R@_i35`WmSKE@4ooq&#L=3
zKb<}L;_bSptNlOge_Vb1NtvPK{wj~VNnelq^M8DEHR<1!p2x07;(X=ebFbd~`*!*7
zkBbZYD;rB(%Hww5t2T~$8+_yItzR$Jo&I$GLW$XfruMkyf!+GsFK2phs*RkS6R)Il
zdroEFzYXhlx5nS}`gqpguk`yzZEI$}?xyQOAD9;lY!!K=putuCwOpb#`ZxQrgRd-a
zEG+y`#aEXhvuNI9X*v0o3lG1I{l2#J<;A(FtNAaao+)3lI`HDU`x`b2e|^-TbzF;i
zb#g-c(F3m~ek2-pSheNnSEN->H~W5a>-UVQ?@nHOuMt(da)<K@#YBnNxV?7vm9+~~
zGSkhE=etjL`eJ=H?)}ZIjj?~jGOMqYS!LaLzsmn^($n6BJIt*f-J8%|y;$|#g==M#
z`7$?d+A!Jc_L*DOkG>w$idUVwJ7-ekVTlVWH>am9U9M(k%KcYtnrvzAHRtP6vBkc3
z)vt@qU6}gg*`BQ6ts)DxUuSJk+FTgPnQD}*COgmUqL8Vxjr3p1y}v&@?Ov90TX3cC
zo!rMZcE9(2N(jw#cD2o2nwU7>d9l;QKbe`UvV3PBlY5^LzJh<I$rj0-@|V}mx_|Uy
z(x(g8SeM_-OAppw-McINX_IYR?DZSh(%y)!6F<ADD)0N{kfX~rp1zy8|BWj1vY-;%
zgHmp$%X6olY?#UzDaos?oS6Ie##<lZ-t^N`Rd3F%$+Wr<9dDob>e=l{4BZbN@7G~x
zFSA|#vdZt`SEdtM84;|kt64UANribHe8m(QD-|}q=_>Q4Dw8#GtgHD`zGg<$a$RFM
zA$4H`o5&i)38qUDc>^P!_p5E~KBe}s>i6|@Q;$w7ot*w3vvQuqs=4u8^~g(3xsj=I
z+$gA*dACv9<3Lr*SA5FZQa6v(s9Hx>Gwi7=`tsQ7N9x4Zo2O1^KYixg{(@(1Qu*HU
zixb={wRS!ejG1R8;uRL=^h8W@#V0xWu+D|1MnBd~Ki|0djgd05(W~R<cIihQPT%6O
zu|isTjXW#oVgqfpmttT1JbR{E2|rlwn~-1?sd2OF$%6CMZ(A>~Gw)b<u6k42z3ejI
z+9LucsunXY&r9ACw?#oLX9I_*$+E4p!ov0jnu&%;-w78LX%`9kbT>S#srgh-_kw5U
zVNvQqB0K>fi{eAGMS=oe7R9?pi39~aEQ(hJ(Kn0YSwZy0qWGtgB0&Ksi{g)h=z~S^
zOF{I`qWIQG?VzjELK&8bGX9;B#vuP##WT3+L~mI>f2yf>Q{AM%%2dhA@!Na^Bt-%f
zl(@KD)^2!_k|E$)zM#Tw;Xcl;3oeN(G<uj^vlbPEh)gnklC_8<L}b#&MWVA}9`TCW
zuhN{oV8V|o6`rXrDN9(YRAi>Mq%7Fd#%He;sy+K{nJWkTYd>$vMcId^WVr;C&tG8u
zC{xS8%0hK5m!PpG!}U9&8`P6rTx23lTxZ<>G`}wX?-{m6X)XmNXV)b&e#~G|;tFx;
zlAO5nfOH*$``aI>5=;^N9H%=HJm*|@-#4|J)%(%0#?-E*f#&%=4U)Z++ooxX@w6Ud
zjTS$6Xme^>cjez@1;^h_`*wV)L}1N-f#-$N%(?pU|0Pb}(m9@1zw_YDfF{wsy}G6|
z4bD8)c*eN*ueRw-1D;kT;cK^-6?K{~p6J>Yb0hVTfzTvQ-&<h1@ljOzp<~-;$u3s8
z!g9#?RLjRtZh0!*oD=h>aB{mSxw(kE5U_Rb*tNZRk<E@UMed8+>TX`wXYu{(wB<bC
z?%9S(<;KU-)bpROf3xts<RQaP?w#-YHyx8N{B<TlerIyH_?nk`%l`b+JvZ%%(e#5V
z?_cMCT=R}!bwjMzlUH4?3Lp0bw<+wHtXJg8>gFNv#go7Cwtde81&Jwk1<p2}yc3Q@
zGb?C%GEO*>?W~}wuBiGU?mFW{D;Lq6NjI;hI)u3$@p^Djk9|{-QW%%Km_wN35wCz7
zMX^t!7f<*)Wl78XsuSgIi7g_@m)+~sINUr8m6&(GTK(_YVQ-=9+;6?ss-+44nEd?4
z)llCvY6U|5FK6m9qzRunFz;<$NbT-ysbq<~-M_D#DB$|P?QV8fibZSt?RPq+Msp4w
zw>`1#(=LO<WzjnoPO;j^dz~=g)O7P@P<=9^MdYxzx%c{;?-VZBn5cXTxZile(8r4D
z{g<=yH@EI`4O*zUbNZ`dX(<VBhb_*xuAf<^t$*!crPaP7<?fkl)YxWR5}Q0R(TK_C
zy4d8&iA794=Vgo+e2#2CkzVWV<>u!3a8skIs`}z3A8uTj6f_}_tLD@J!{t*_9#2XM
zU^^o;@uGrI&xwX;r6MVpriT(7-Y$;K9)@DN&WvmyuEaW>y!^ySp~(NdSdVg3pvBUB
zZZ&~}8Zm}%PE3F1xn*BZ#%=G_^NnmL+l5F;-EoP(eWoxw_52e4<aVBx;|3o&yaW~5
z9~S!9G-*X@=$PL!y2N{5Vz!xcW?b3B3$@$2JRDt&X76yT&MDm8xnQ!*XTPKeEA3Qn
zG0Og$wwUwtM^4928yqDZ?yEjY*yr7mq{zLng=exrvhL~nJD<;feD>$e{@FU!d;e9d
z)tA|c@Tc#8v-6p}#5{xI9d#csR6jrbt|qGbnd~3i&lc}D=O(0W;yRncUK$<pPQmS|
z#MGWSzqj)yKQl{wW%@H+xc=nrH8!gMFT7N?yf4pYAHMKnM191+#1C#!y~idf?g^c+
zlFKNUmARvd(cvJ&q6CM93gMzpZgKq*V6AOvvU72q@8q~pOJj@Z7X_{=23FgSCb`z8
zpwNIU?H5iWC5&9V1XyFaSYtyS!=oKJ0|S^urU<aAwl>XMwP5+$1q~V+3|w3tO-)XY
z@u3&4hfQ!6dBVVI>Eh_OFkyj~M27YW1umn8CZ0tKivtWoM0vJ~mMCypF|f*ZH1REJ
zGGDsq*)gT{I|Dz@lD@oW*~2h4<`kW&R@0kjwcc3~d7?K{?9vJ8gimZDdt#nG4TzJU
ze0})?QLXh2pQ>i;kz-vSQmH!S`q3w)nia8AueW~k@`>|2_<H3YxhdfZpSZZ<;-7w9
zxJPF4^xzL{TKNo5Q)leyX)+I~bk<nke6m||vFNKOtAC5>^j_g|_dT@rlW%+6{(>V4
z1;J;N3d%Dr1ZS13u2_5G)SC!doiHQw$fH@VVR=WTloqz7ELr5FwZ?;4<x)dWNSMdk
zyse5Z_r5+qs9dVOWS!{Bc9&NZCsv6Do$tEhs#JQ?<1g3Bd{?cB9;%X=0gAk9JXnQR
zDk$@6FIgz6>8|v&WTUfbK+?2{LSY{qjz<Y=+;sJdXcS7Dvt&=dE%&myCey<BX1ZK@
zHT$md_W-+cw~MCvt?qUEHIH4}H>X7E{(2#Pb5ZTTyE{EzUJrk?<o|n-)DW%X&#iyY
z`Fd_&S^vqLl)O%#pA)uuJnJvqRP&P8eR}x%^Zs%1bH4P6olY^Te)08uUf=ybz1A1}
zU%o`73QLM?Zb`ZoP*fjK!+cbC;SRGYH{_?>aNzXTSjc<yJG-iRz$GECEa#1X9d}A=
zTo*cZwXNtI`_tS7Hr^Wk;#zm)C+|=Eq&u@Fc3DEb&%rj$4JlkDGynWGY?WSiVUp&R
z)KA}be0cVdWpl6kj53Ff=PQz=10vi-ZYds>Q|6l1E^@|fS;8&HqjJey)7VANn5{~<
zm3UNcG1s(q(KBZ25`HxvmD~8~K+{b(F(2zKH<D8(MlO4nx|(^}$(w42qh4<Qbz$Z*
zUd7B?@{yC$zIbP`B|Y)HIjcFc$iSD+^Z3EgzP8TGPimZO=Wn>;n(^w;%aVy&?OUEq
zm?hKGcR18C#+xsE^5KP#KZNj|pLF>0V~&>wHKK>NANlarfXS-I;V6fWA(JhSJmcg4
zs#lM$iO8u4Gd6d1QczG(FtRi=1<?v7rp6Vw_Rfr+yvRbN;r?Gy&G`=!w-+Duejgn_
zN47jP?drT)tJbX)>AhGccChQqn;-ARKO9<mP_6S|`!tv0S2gmMG8-BnZWfT3^YdIA
zW54c-+W%iV?*(13<DHkkf4gtOAC7Bw(OVAuOx<>h@APBE8N3}2M3olBxP-`EyuoJk
zB!{!@K*aCe7e2;{@o!Gd_|up<ZLN`6&gVlGKiDJE>>8vi?p1B)`{OQVWZuJ9B-r9n
z(8qFm(t|1$7iG?q69poLg8EsqRW=r>Ow;KM?PtkUdHKY{YLm*`DwPkfRBk7@H&2(p
znDndLD(}a$3WhE7ch?_yuPVQ^WSLKjRQz(UXY(Jj-}7TTwMlng?7wHfdv2fHuJ?P5
z@Z0C7B!4MB_CB5(Uf{i3lx>&1#EK0w@B8t^8~#?ERbU&|7pHzE?{USwrv}q`4y~TY
zzv6k#8{_J|^Y6c|-nw_+`WvsSFYcAQT6W;&LAhn?4*#4N#PO@NHRe*a-=xi=Z>P7e
zwaG4;r@3lF<CUB*Uv9j}+}5a_lVB<xYiqV^R_+8YX_vEZ?)|-eY0_b>yxwQqmL6|g
zded$BAvgE4Y!{Q8Gqzn^yWwK!=Eko#4$R8^qLuUIN{)i9*@QIdzg*I_rqTiZycMp}
zfnMM5Nq4`0>QSO!F4J{qzwC6C?>WaG^S{6RraW$vz{$@tYd%|)d(9T9FmBEe<lolQ
zUTv@?{qydVkMDjFl-NCC{a?|H{(W{mZzUCTH}6~e#P7|K4iSlo9Ljmq1k)upGAWBC
zx|}td#G@RTprX{XA@Z2TL8nOdVxz6KI%hU-IqYv1@J3wvrI!7I3EFmN#kw{gXcgHp
z!9e`l(bn#mh(>PiV#U~)B_~`(c61ns@6o$He~zJj@bZ%p8{8(FF8}4jCM5eqz-5I6
z|4#Gk&HS2+bku_$x;V|*k?1$)=@G3t5go#&XFNB}acP~*QFy4$lAG6Z?vz#Ea=iW0
zRjM}S+?^i(RCK;;(b32hXRREMxo@Syf5l{<+mI+~rgM&M>&A)YIWDceD>iGsJE<9+
za>`7K^IG<nM<FXNE_6wsH}Buo$nAEIduG2rRam9*)cI45M+3k4srFzyo%8LiKLQrl
zs618vRO8aXZ+0sD#opzbonGg@-Mt*K==G^9B|kqe`gK+Jdj5GIk9nM(MvA^ZI}Wrw
zovC*%FEKx6^X9ZJ?ek7gB0FCE41BdBbgQl88TZ3C=h)TA+5EAsmRc@)@BO#wr&GnB
zYT4}may#QwZ(rMF#=j;qypQfUZR;v>6H~nVd8%q<_x~BXhk4DUpS3TrO+C$__=w>%
z!<l%=iiCOWZ&ZKmiQBs=mc#9h`sZ7omyR{Py{2vd>sgtM&OMuHM@;WzxaK^x;pNHy
zdq{R)fBJv!AN!B<TxvP4JiAWTC*8T)N!|bP?}H{wj|x1#Z@4#ifArLZx|z4?FCI@!
zJ9svGL!x=~yEoU~oz=Rf{yw~J=j?NrS@++W*Q~&E_ik6h>+OrfH>Ym<$Not8^@IR#
zW)=|!1_llW*N&L5f<vv1+Dr@#&)66kgcukYLh=iI^HWN5QsawK67{l*^Yh+LiOs)d
zAky~!w|3tIwZP7rNuNruJMQ*nTGh73XO>!u(DVx5H)qO>B97M2mvvxaTYUX;ji74X
z{LgZ~e;ipKzQFD5ammL*b{Er+1*>o_-YU0eTGYz#k=q`n2zs0D{m-!ccBbUIx4S;H
zs<h}ypHeh=Xy$p~dIN(z_Ze=PnOl$D(@oH6R6lc6&Hrtuh(=Ptj9aHl7OvY9b<cFq
zc~2q7%~!)JWp+Ggk#m}Qh57dDyCv1;ay@K}FBYu&&EUBvwpr$|?Y!pOjLYwCzB})6
z#<s{*d!xX-Opmw^&#iZ}+AN=Pfpv>bvY!eM=h^87N+GKPJ}YcFe@Wz`hx_MERhv1u
zH!U!B3EU*)((tkQ<IEGH$HEg_TozO|iH1%PIA5m}r)IQ|+wEXiWY^jrWk;v%#w)w)
zW@MQjQp%imM&@*g>Nc~fMt9Pd-!ALDyK1e*nH=qen;ot@y$&j;WZiCFHKkko^`^&s
zse83_^$(jB<wXCJ<PqMf`!>Zjb<MN7hbzK@Ui0o@mY>N#`Rp;#f2H?5R7Dg%G9_=d
z&sE)Wbz8~QDXKpvyz~>1vYy7)@;G1;)BKRXbG#-!s`6T~<61+lkI%{#`CF4UE%bdK
zZw@Mm`y`+5?_2M2Lq_#N`YP_W9S1mjdaaX_eDn`8dVbiw|Ddz)-m~TXaV2w){OYQh
zo!h$ih4s11md_5%b37)qc!f=1{^jthHPTaOujsMJP?+}i-=5^h|KAo1?W<7u@9?`s
z>+R>aS%0Nblh%BhPb;Mv85j;SF)#?DB(0?U#G(|vij?HJffv0F8;I0?*Jk>)>)6Za
zdv3Qq6LXdZ2e>#*yOWn_%4xoG8{hxCC0xQcyLlBYIn}?<DSoV2(0+}vZQh#IQ_gq0
zp6h;E<I1<`8n3~w&FdXxxz?-R?doV(z1g(z-ZqVcpEa-cok}}@|10}`JH>q)nL;mV
zdVYw|_RN@*d@uXxvLlO_Qhu(9vYB|T`O&SK;0XSC6_Uw3<#q<kturpA`L_#8GJV=`
zcv}9gU45!-R%Lv?C5P6X+FdhK^s4=vnn~$5m6|wX8m~uxE_zsRera)!U6wcB!tw*x
zUamT0{BOq6CpX$OGq*^Zy_j?KKv(L|0{=Y~&GH=zBC9_|mbtwsDoUHO+pexZ!y_v>
zLF5+8|LMk(k3XhOId15+{qdB245(qLaKNWwiXsC;!zxAwUgWR@h2q}1uk)oXryaFF
z|3{Ry!u|}y<i1s3HZh-JTB`L^^k&$Xf~s-@fi0VMJ<g3bQ(3BhGvVKNRd4?8&^;k@
zmA8p?&plR>U-9eTk?ND)a@Ue}$?QEBAGiGT)9(xJd%HakpZo2_t8ahwei;6I`Z4p@
z!@tv~KY#iA`p=4w7M1^BNBrIT&)#15+2o_YPoB=%I7i>@;qT+muTTG3^l_hc|L^dV
z8nXJw-{&vCzfV7F@$8RDmUI7qd7CJ7X0!dr<@4{Y{{Qs<l;iFEi3b0_S<PQ*|LAai
z$<#MrR<-{#{LlB#@Tb*$|Mb%r50`xW@%qjD`nWf2&Yse?=jtjs>vZ<-_p|>qN6m4W
z9nU<u^k4SN?tjscUzfN0u;T`a|8}wepVnB_{kV{Qd}V6O<jDyio)%90>)H70eBp=J
zC1!K`=Qq8%|7QC1$_;;vc*Bye&i_(<f$QJ%RGa#SEv{R(O@Hv(i8Gq5-*~BS@Av(e
zPkv%HshBGJC-y_BS!HEi*#A;7mcPq&n@)EBVi#Ypzq$SR&GiS3gntO^u{$R=VU^T+
zufOK6%BOio^{%atJ{TX;vh4B6>2Lb~M;rWe{kulQXQ{{kxBJ;1cLwddxaNQTbp7=Q
zFMs*|GI+uN9M_otE4gJe{+3@~*!FMkt1J73O<bC#oLY4&t7iV$_RqZ4EvHC%+p*2}
zoA$F`{dK=-9apB?oA(E=-d!Q=cK4!!%-t`OQkMMtHvh~2FQVJO+D|I6YnyuN?9+N@
zEq~3a$2jg<{FI2_;(mAa?FF&C|2F=8%QAWS{S7fCWjkiA-u+KupYP*1sgie-XYa22
zYT%jJddVcPzSvUMcT@a%Ytu`QPdf{Q)vXHAI=H*%#-ra(f8XAB(_&_M|8MEPkg3M=
zo8?#6FIo5h{r=|i$L;NhuN2>um2N(F>2JNQo_hSU2qvDJd-lwX+<NFtmy_w{Rg1p_
z*Dv{(SC{nFKHQ)&rAtix>W7@~<{y$juCEXI_cQ-P`GKVo!I$T)Jj$6YyxGHeRledg
zgSHt)MvbQ>{6AmhdYDo8QtDU-_hX~&%*pDj(k2(3zT_b3_)1By{j^5)*_tCtCP{+R
zXC_YBVPH1*w8mj$_ETER`p*0_I^|}U6l#%VCsfBdWru;$YwN&!SyR66{kC&&*foRJ
zlwZ>euYC?&eK7Fz#2?-dj<im^dB4$kl|@>bY_R(@2EnJbiYKpK><Fz@`6r}Nn3(dd
ze9@V-WwNQvAX&*&#gk?aI(~ZmQ4M%>=){}%4wA1TCAl<a&#>CWazcZfa~r4o8TU*2
zjY8sXX?5(Uf_*>Do%=*nt?$e~rIU%e@*9FJ66}iVI45n`AT{%ctjgCz>Qky&(r4Hi
z{qHt-zqsbixd$_Tp7^Dj@aWKqEAN|TszwN=b(Gd*rEm&l@^PCu@7NsldE!s+2P#u0
z{=9$Cm}m3Nov(VMJCn}Nmx|<cS=5|#=Yg8{S$9?qXOVEXYmDL-mnlhcW-kzU{r}3z
zEQQNF+=k7+bxP$`7x4JTNJetRn3T5L%6xtLvvX_Xs}xUx&Tn0X&9`++<x>~%1ja~4
za>ux2-~3Y|aMa4NbdyGh%kHB)4$4m7)o&Egrm?PP4XfXxyS6SH+XCk5a2Z`Z)TY`Z
zt<NjJ6s$L#e}mzUGkv`Wm!{?L7+q$YTh=w#%>2X|P5;dv>VDPp{Z<|}ljGIP>@v<t
zkPEc)mH!}omu<T7Le68H%E8N+m)<Mw_|7Kcn{)Z%1EFOepYQE4U(n39{>|)|eJz=f
z4(%v;xoG9<`4)y7W!)!xZ&5lGSD19@^e?sPGeF6~Xts4gSgfVk?JSd>TndY1W~3`x
zC4H#c_fqlwbjMEa$CLImFD-n1aaPL4TDQronT-5C+qk&%#+@;@RrzORk(jtV=3Dur
zEoQI&eQ6WEoie8=)!|s?JHwJI52pTE+5RM+O<k{NH3Q$AiSy<rEjsEq*MFyx!XlYj
z-`Pu!JUDzenE&K{9<LaQaK<?rv8KY-rFKtGHS}zXFn$P<>jcY9|0}EETq}B{uHIqc
zk-oX-cL*sg+M}-)@uTGX8Rtv-%|hbGQbDOl-1E(upFO8RX~!_(!^L+q+vmi$sp$21
z|KXeT%f?%UQ_f<3;mvrL%KUlhFFPI{-<2*msZMfQi;><}>x8zmYr^#|9{FXL9>XY*
z`Ax^<;Xkj4j}v})KU7&#`1AhJU~`^Dhqk3m*SyBSr5&Rwu&}KAx6hvx^EDMK7?&;(
zy0jzcLBp!&E9Mk#)C-7s$klYBIAi9Lg59cXDl}M@RtR0%QS{(}<h9M7uQIkLuU)X=
z&{funndkRxo65@fZfA=q|I-B`!7);qZKXzMxmGr7UgPKTJihwGmh40>^EjtV%H77Q
zOA1vPWm<gR^~Lx7dl+)$%{_^)4y`eD)AO}!Ot{M4FB0vmy38)ZH~s#?*Ia8%jP~bG
zXgey-7v}N!%NlF0Zho0H8{!(Yc8cgH+wylG+&#m8`OllX!X>6ZE!@;&am`@&+;y(o
z+6n`jZZoA8?Yzf${e^Mb!))8yxr;77o@CoM?c%Z2YImi3Cl9hN@-^YE-grqW(!$?F
zDM<G9V%El>ODC8V&tExf+VNH9uIsKeu4M`Ob+=-dO?O=uGU;=m)Tw}_M<zZ^JZ!pi
zx9$F2CpN!*VdkzHox44M(vr`GB5B3j4RQ~<yg8b_yL*SQn56K{zO6S8bx7vMOl4qy
zc*)j+WBQhZjwfVlF7b$6j^DifhMb)EdjH4oAM9vXyWw>3&5P!+-{(|29SipbXCJ>4
zwCcpe?>f%<ephWb_f0KJh}wFg#(U*7Ha8}<pljQ;nD(BvoEmcFL)~ui?OBowT1@o1
zcQu$9Ex5$3?#aaU#At)hmdVBsR&RKCwoJe8s*ww4X3nDNov-$7oKO%PAQQ=(GRxAx
zn@jRu*7rwYy3Ug)xLspn_pN>Ev*0G%(TP_bmi*i(VYKJuyQ6EP+SC+#by)aj-Qrcg
zV8ecN;!=ktm6MAfuAcDlZK=NBRU;G5%oM?b3ppo>h2KbRm=-!QP5nFDL@vpi*G69+
z1%b>yCdybK@c*UFmHm>xLuYN_UiEqI`TU+&e?D+2S+?C25kLC0pg_P#e0QDtFRdki
z%Z2VIU4MS4t$#^Q&gL^ujOGbeUuK)xH!+@jWzU(TezP8}>CfNzx>)gL)6~p+A{mdQ
zgD<ghUsjp&^ZdhKCl17YdmR1YUd-WYKK&M+`;#Z>MM$VE>^c2RZ${$PQc<IQtor9B
zhDAueSbLKpF!AvArAx%c*t|59S2r-PsPl+^6L4(%gQb?2_+LNB%-bAt<wLJ#W=CtK
z(5Vwv4<1Oq;#(SGeeiYB64`5xmvb^!&Uh#te2Jg?@{y?<+akn$-QOPJnWC84HZ`&A
z%w=X#qndcHU5SY^T8uVv>_~W(cWv5IPT|b~ZA)as+A4z^IHN_KFY$99R+&;L${^F?
z{p^84j29cbV(=T!*RP9yGSzL?QSn`JduG~B?O)qgbY3o~jxX8%@P=$()!Vvi2Ct_@
zD=c15x)5>i&-tQhd+Of$UbW}{cfd1W)95pwKzV}JR)#qHm$Pi$(|7XTk-F2QzH!O*
z5Wf|<pC?V~latz}yd>C7Y`XVclX5xnEth4Qq;`hr9J1l>&0X4cOQ2V3`gX@@8?>x0
zu8-gn=Pch7@$zngf!D9{#bIT$FD$v$c*SkW%aD1Cn-9snO<?Sa*sOU%blTCW&D$-b
zBKEj_{hE8>(VZiCh3-pEhb+6nt-Z70>xF`!9{+x89@=z*Ng?>o-x&|tmU9a4{v<R}
zELiecEn`}jTkq+=8a@$X;S2{HchvOpI{mUa=y>8t)ur0HN)ElN_C|Bruixms*<q4o
zHQnqc?=*|GcW#B5T{kS7B|5Rrr*hv`pX0asUrX3C^+YhM8$I<%ZC`dl>EhMegBG^J
z9ZBv{6UBv>?+i1Yy+$kVgJHsvU*>^}T8#AicXh0bepYy8i}2BNTa@&losC_TnPC^U
z{1MxHR<||T!m3^x$-#TOGqx@4SsZK~_$EaA)1#Xvvzb{JeMn3=vda`?$R@cHF51z3
zl~W=f+kAR-?T%|@pw5C1mI+6sOarI17;O?bp<#Z1QO{xH-%pOrQ|Q@aVS091PGZra
z^hUw#i!PmyPDNNR>wfWS<!=iSE{$&@iBFEs$P^3s+*%@P{=>mQNq43}pp#@I#~hdY
zzgWx`J(%1g_>yVr#BJRk50ejeZ0%j-!I>K4$iV)v$l8Kq`n-dVCvvjOxDBk+j@&Yo
zy_~naP;UA6UFmTaJNM)@>N4y9^C><$`F6s#j$X^`vqzT6Eq!w#C+f|vwqG_?wb_?0
zmF>-Iv}M+3I~%(s_H&IlJKz18oA3FEXfEtIy-aV3b^P~E?cP8s*Q3{s)<q{gKhPa|
z`OUJ~*Q-LleE4hm`jX2|p;I@u?0^2F=&G&9ukhEwr)|PE)IK=6IWtMuC%k6zxm%`z
zYg&vpnVo12ogbI?o1M)IB)ws0_ou~`ENhwD@-IcMSL>P-;C8L0*7(cY#0&SH-z%FY
zm2%LmN&NG&N}X7R?)+<>_tku+1-ON=hWp0a>WPIPnpl^1YFgz%zozo@&ky{`n%5<>
zFV?>8<Kz&xX)NrQzn<<ZeCo4y;;Yr|!8(&g->PpA3le;@VMoxR^0&P5R~NEGI!T5r
z9&p@|!q0c;X=Z_d(c4*nS>AAEm2CLBX~UbBE>({+>(_m>V*Jg)dw=Jb#hGt+`E{KA
z6}V^moK4KdD_fua`pcv3v!VIH-SV5~=FU_(V)j>KpSPdnbh}ssbNS<&FR}b)yB9nC
zdh3jbvp+B1p=X(zQ&xVV##(>lp7`3i4YJJdkDY!UbJ|RC+f#SLxS&&fW^qvs>+FSc
z=FUsFd|>zIPsw>}X9-w;UTmRnnVKS5aN$mkwS)PiwV#D^#45JOZ!6y0)N*5@{L#9T
zn#$gd%`cucFS+)Uvy9W@@iL#!yEbe(`u)+8i^9u1HI!dBG^bTOi{M$fm+_jv)}uWd
zK7KXKepc>@b6Skn2{bepSjx(@oIdqHA!V9rH1mS9&jQXbO+A&$KI3(McBEDkR~fs<
z@ufB|^@_ExO}WuLd6{>?rNC4F8f0#rIp?y*(&XqZ=Fs<kr%&JMGCgYk@M>~}u4ift
zSLx*#+sDaEJGZj0x+gnboORX*wLMil=T%qsoc&;Q_UqJiYu`2I0blcW?$J2HbFVSH
zKXI4J)QP4C9E-nip0e!{NZ*poV7986x9gVtP}}1*%O-I_i_s<?iv~B*%HI={);4Or
zRus*Q6A^Rzz_#brQM0HfwS_%8%zU$U$1`p7^<QHhkgB`H=)$2dE#Du6>aV*f<iMGl
zqFTUs_wT=5y&5+ZS8S3C5`0;ySn$CPter`7UC)-jrsjf7S(z5^a}N|!Hkn$p*dNy{
zt6%Wye(BANxjPGT-B;YY7kF9gaG-g`_xZ2%uf{iY)h@qgk$>#UuMcl4zgpD!gxzVB
zymlyZ|8}`opC@*GeS9h^W6MIBgHvkLZ(G#=wd7XWmuuhWK3U{D`wf%QW{==LmlIcc
zpJ;L2+?~F8>VCV~M+NVnl|R1iWLL6xD^H~V!>ciM)jy2-cWyfv(`-1|^jM?i^T%hD
zI`cpGCqGR&I`Qd|#>n{9cf+2&^)_ieRkM5Lne7XbHXZAj^LS6D0k`VD-|BCd@T5D*
zL<Y#r`C6VEHudJOI~P_Nt=DwdNWFIO)q))FTNU94y3@DHq&mq&2Fgq+-E6=5jM9@=
zY(=7NKG!4!i?sjSxrw>&34U<&XcV83V&58dz7thk>$Nv`z})0^L?&9>_@3>Dq%7U`
znF7bB3Ks~h(@AKapcY&pV5C3)PW%zaPe!wS)lW~iv{0Lw_q6WOwq@7ya{sz4Exp2Q
z-L*tNWM0j)^S{5xAK&|u`J%SM+=UnJJU%+nGE8mv%Ei2rI%VsZdfjqz-Jn}6xu;L|
zo}5DOLY@dGndzaj3FhZlSf%My9Btjw)|DW<S$%7t>^&icyI}d5|5G>IK9_v9%Gg?&
zJFZ-D??R=UH>^@W>Ny;C?$z*|CU9Joi~Z)+={H1gdZ^rHTCJ05AbN7!ncg0*xYsXV
zPg#+&L{rrD^s2{Mt<7(L?S3=uw~%DmhGUbCg$rgU9q+onv8`PyP)vJW-`9PoBEDLm
zm^#%xe%8i>sj9IXlx|(=6I-9MgmIda_ln0}t;}zKDet+|!|JW`vVH2tS!>&TAAB%!
zvr5!l(_*#G$6~{}ef%r09Zl-p%aE;;*(Z8YEzLcG^?j1vTp@X>^^=xGOwrZt*B0a3
z@$1&*XWC_7-yTyxFRrs~NqE>3Y4LBis~H}B?b&j&sD`sL=4io(t76Blaz);`8rSf3
z+Lv=(CDDi89QBsUocZ*H(5D@T9xmAZoA=Z&Gu!7sn_8!rT<WSxZq$#v?IEmteENjr
zqWs4T&t<u8wLE^ZdGDL%UprnYHs#KI9~rB+@4Q;V2@iQ)rzw+;PUU1jdDrT9naOnK
zDt;!_+^u{Ij&1!|tyU!0by#k}6rFWO4b25VL50e>2MRHZY%O<I&N&m`RCh}5$i}=V
z_ue~yKdeq=z2e{5ZxSAvzt-aGtz&1RZ3ON+tg9@%@@{6$5+kGeRvX^M`DNcS)8oo%
zihPj0=6Ki=DQVWCj}sc=OV(6wy!y_y>-3{bD<?ni<6V#$eM)QI-zUl|CZ9fGbRmpy
zMNmsy-|71bfllJHKcy~toqzA9R8v*ay#<>mui;fXqhfD8U;e^^pBl{7iH~+gq^}iT
z_Tx)))68ScYuF=_b+;M$UjDSB!sGa9!+XiAD-Ex|ORQ?J+N7}K#H-z2dYdA?uRnGx
z*L73wXU&e%HxJ%Lo$WroO(oJvHd10v%J(yoYd(i3*KSw3S*#toV{_Q&q;0yM(*oSX
zIK?Att=`?aqOmLD{(85lg4A6rO_dMVZk5Wsz4V39s~uI0e213S76|ASHaAc3{Gl?>
zXPN)ypQk^USRUQQWqK{^^^50kW0E(-Z0?_Y?!~iJ_3Wp<%#91ayvk;tO=jSW_rE!I
zP7Ntbh})WQS7!R$sJp_F*LMFCRhwLTvnwKc*?C`f|4ydn=SO{_nY0)7c(2e~^Q-QG
zLQK8P`Ey(6mmGNJH1+gJqw80`%vt^7;q40jXE*;wa3<#5D-S$d)u6Y^Q+~6>)d=ae
z9HyF1Zx=8894PK(&{{fi>cPg6zg}T7d*@a?>xjF%=y%{X!`BX3b23(6h&?+^QNl)l
z-;KWklBp@F1qoN*v#gjoD>po4-GjU-Ur%(!+-_aDYLWQDi=P8Uy$V~W7A|F!Y4QI2
zKp|!l8@uA>cPA5mt#Omk-+ykoW4%>$L;3>KOZu<o)LXx0I{iobPRZ9_>{DJ^ubnqL
zv-IbmRVI!53ok9?I&0^5Lw-U?`Mfog7c4W>xLoeHSHsCS*`&<fW91wJy~S1w*Xc*!
zmOpvPCv+i8Xpv@Q+N@c>{Y9-pdoNrl)Ral};z+){uleP!l?nB6uExFrOHw)oCaSS6
zImy5~r@v~$e2q2TCcB%O3tqx22-}_2^K9*G&Mlw(^zrGOKO!mN2jlkdIkRj*1M}pp
zk6Tn$?U!wQdv4Ec!8@N7?=JjwWVhKine+XVpS(2x!d}-Ba*EU1V5*pBnbO_~TlX)j
zUEIItz*D}LTvH3<o)x}wRyE0S_gFH==o+sU^WBZy&l1Y2{+jJjR_tA1boR52uWHt>
z7Pj8gw>12`q^7?<=(wYXkMGda)B*vci}OnC@3VZo-hO<#LS0>L)t{$=wg*&rC$DpT
zc`9yyWWUti9f?*!U5>5$j5Bj)c^^B!-b?t`Z#yTor7aWLJjKsnZJ(_=ulB<_)t8f}
z6fRq~HD%5A+Zh-7CU|JZ9zU^Y$yPy`meY&W)eqObj$JPwy4WFi$MVnd$Ak+m`d9JE
zYInc&zQVgYw^rgi+v0=gU%ue7QBbk%_;kjjXKs1^#oulJ{?#nGXZyKnqN`dwd(ht%
zPoGJ6Cfy45dEb^`-?~NdRKR7KD~wXM*BUz<kETwvyun)fTqM3}7LP%CO79j$tA=Mv
z({;o%UhLrE6H+bN=o#;}%D!*QFOh9uFE(DaX`MJp)yd_SSy{Q(;fD)92XcQ?QY|SI
zWt3?-{r-YN>7`2?d`{1z_P*iNTYu?+{@s7SU#x$ypx#04>UqZ#_cy%yro7`}<o6^8
zlPWE@)R0*Z_9e|Nl~=mlC+xN?$T;G#U-}K+X`k0$KFm7N^72>Z+xraueTxbB7tUs$
z^m@(jfIl<0_Pkvt)VkgJbsSIo@A~u}Bfam_{w@^k@;I(&!7+WsLB}0Oc=()_U7h^T
z*Pip%Y5mJTb9V9Un*N`)&wJUq+{Y^eeK>ZP|CVa4xgZzQmv`X&nX7v(zV`TjoB2`r
z|EIst7;BuCocr~8L5>5ba`E!+m+xu~J70>X<*%$Zd?~5>Na@OPagz!=qfE(F8;q{?
zJzsP8^}HGXc5PW&mc4H8KZe&GSNGod+;eMge_Z~?ISVg7-ehrVYT&VDb(Oo`&ARwz
zv+2vPm#YKRBeh)CWY+CcD=tWmPCwhM5b7imDI*im`+9EKs=f1X@0HV-q9dks)n)CU
zqT)x<)pqWWpD#9h!|v^=tlh%Bwr<agS93P(oge(hv3FPb?8K}|e<vR8|H0<{S0d~%
z^OcEH4;?LEE~xzZXpYZUtw?j1eVvtu_H`=!DRTWQF%P7`^zhO0=Q3Uotbgp})myyB
znm_tymGzHnZsOX<H^@yob)xIxp<SPY7IcXEolf~Fu<_W@Ej9~;?i<Q<u&+?=ZtH%(
zE<WN3_p;RYdPi>j@jGbMP;t+?P4FW_Y0BAxw|V7KftQ|btL2;DFrm*)vLI`Y^{cnX
zF6Vzeb$0rHXXh7-6W%b^G+1pavUvXfoHpOLpVg(^W%?1@mv(GVJrgf_V725O<qwb6
z-sztf;3lPW&~e8de!fGhc?AMSobzjbS=Dj;y1V+ppUgc!nE0v^@_gUronNol^K$x%
zEtad+ESqEa(oVDY!|pYu@24Hvw(;8EkC`8Tt#DcB`ch(<OVsVgpI4YnPp`bW_2HJF
zlX7NKt)^!!&YS;b(W~0~TiE{DKK6CZGq!c4J~fQ#+GBNT^$zi(Yw~{D4>k7g5|wP`
zj=%KjMN-bXn(Ki_u1{OyKPky)MY4C(?81;p!@g@Zx(yq@hrZ(7)YH*mz3gO#u+tC6
zv%AE_(>xEfSZ(Th!@TF*l~758Zx7PveogOc$bZxD(A@NOT+K{XM&VZ{q&Bf{*cV{h
zu}S^I<=qbxrhIwtww9-Cp2@FOk#kM+x|Uc!J3Yy2if29Bv5VdD#r&y3lHraA9CtV|
z@EuYuED$h~pRaOx`SSJtk1H>98}3h>`z<N&7WdXie{S#nvdL@XZe#sGsgJ)muPF|m
zQ_1{!;--5)A~T)p@40)dpR<A4cy(*mlJk#NEsnT)*2HG>m2*AWbM7^3PTg8yb>P|K
z<t__5Pj}}R+ef-dP7jLB2#-JRt!-MlYf*c_lIg+AZW)@JR)rmKk6rRzxYdgz`SZWF
zl}kI<?`K{j&(NEbuex@@w_6K*HidNrtUp`2t}k|d@3C|4i5iTe;%;K>;#aE5HmnNY
zCUnKRV%emnPAyfD4OQ&^9Qt;b{swR+ro>LzoS!<oMAyWAu}Nh7N{`Lyae0A`zkArv
zx*Oi`pDf@e#&TRF)_%>E*U|EE=N6PjX@qRD=?GZQoqgYryKioP(gt}g#U33Fz7>ha
zw=W(EjBT-MSvcwGK}J*g-HLM7@<$WwLn0!YzpS_Vw64<IGva$)-}(*W0#a+(!;V;K
zOu2mivHJ(Zb8Oj@Sa%wo+Hs44uP9@l{=cd(UOx5hyDkaT+q}q(KivQJs>Z!%(--G0
zJAbAys(I^vC42d}I}3mA<0#);$tC(QgL}sbaqE}a6(0}I+IetK<sL4@nkm;lBsJ?E
z7Z-Q8N{X#9k3YU*&Og)MZL$4I@oyHacf6IAy3hIbpH}}%QcFaqKlJW+tkux{{?*+n
zTP~G6Nqf_?{-9Ky>o(iso!j{2YdWrdNcyOIe42o}7$5uXvr#r{R^8G0w(zpQX@F*=
ztINK_cXWF~IkGD_YDIdBYB&p19u|DOyEjJf+&!yhlfN=_msIbp4q44`?IA<e$3N2+
zdv_?GZfjmQZ_h&w-ut`aT(|KCs7BhmY-4_XCilU^&w)m#HmV+(n0olA+3wJJVcR@!
z9@@saO67I?iEZhrw~ritzBpz|vUi8FciUoSv6pMsl)Lb5WS&-M#hN<lsB=qoUTZbi
z@$=oxJ7i*<WFigc6kNZ3cNz0LNsY;CWR>o4Yu_=psk1xfYPqAuVpGbAg3YQ|U+$`n
zz57A;5%0k`(bsHcAGWSt;j~Bo$<hDOd<KjAbY%K=?XGIHTYE*e)SM+cbd7ezk?Q2R
z`xNi-eR{;K*C`%;ysA;Q;yOR;vW1iNZ>&m+J;=B3+RN_k&3k?{cz;s8GV$u+gW>xV
zh1TV1w{Nq4DSas6aF;1tcgyZ?l0D|Fdn6jYh19N0e0tcmVyoe$?PX6mdA_ZVh~Cig
z{hD3l$9WUPl?y!gv{-GbvG^cWmL+L$W0Ml^A)^QDWDV|#Da9TYNpO-4w?E*>r_0=0
zTBUyJ{FG4l=ksshTBDS6IH_RjIjb2*<u8_Q<T%1#{OHQH?&6(C`a43(GXyn0@%nFm
zt+vrFf69xd?viV#bS~}HS;ir2UnBYE==PXNdJErOkV;s%yQ)*K@6gxml5e$TJbITS
z<u^BPDEyo+>|CSx=IGX#Nd^o1w3+k{W&c0@bFQ&{bN3gvNOzZg*S|^j=r>E-X}Npu
zYq8o?W${BQpVzD6psUsE1hJ2Cv*H`?vk1hqRd3Xpe#Co)^67Tw{3ZF-uc~h@^bwC)
zXnw*->C)c}|8qa2Zgl(ZREc$xjkJ;}ajyNgLOlG_#}FPl?a9|t#bdMod{`WP>AlZI
z)hiQQ4{tqq@2^qQhJ$?vC#PP^(tWgdtAE^|FVjj>j4L=xQyvyPyn24!dc8;cg+Df>
zpN^Yzb9cr2w^POK_ouGC$rBeN`}$bfgS&I|!e1>8$?b1pE9aS~Uu~H2o=NW6y_m>$
z0sF(LytjA~oMa<y<}`#?-}mxmychRIi^0u*#@-!U&uZqV`p7)mRF}(UsNBCsLGRcv
zfgs~Ntz(ZgLwn?ms}1vK_i&p(+pO@&SLxb5UqiJg6H|{IjJPj!@%fqC)6eVF9Q-#`
zTz-3HN8<d$72O@BMZq<kl_{cyAMTbc5Pe``HTAi{jl-WFy))C^Sh~`6&eKi*^12Ka
z`*oD{j_sD;Etqb2<JhO9ulH2%Y<@qr<8jQjH@<>uPbRt^ag6X!n!9wnb|!cHhKWy)
ze$9Q?`ZiMPov3N#ee<K!C%B6Vvd2H3t)Y~-X6Dr|kN%r+-Yzz|qn|a2t)S09v0q1#
z@6hV?XO>RA{55c4Nc+aVu*<uv{~Wuwf#>0}z|Rr?3d7_gU1WO9!`Fq*@>{%?ck2m>
z>}=D$)+gS-ecq~g$1+Rqw6ou--^?*jW;?fUF!Ng7w~zhW&8&ZndPUaBJ1Vc(OzWRB
z)qR=}`{S?0eub{8Id5x)B-|tNSHHaLYj>jdOqT2sjyyTj{-^5cPbV%ta<JmB>3x$a
z_xJZGui1F@OP>1vjru#3b`)Q+nYM7wRQGFw><_+PJ+(tf^F+~O<wLWgecvzpw6OM|
z=)t>-pKZ)}y2fT*Uqf@j)d%PQ^P?``xU^@{J85eMhQzZB4E!j|H;OY73sT?KR@Q{L
zZmZ8Lw~Ddi6p@|AoLA_4Z0b9mhQ2<<1_P!-p@P}RQv?<pr3El<pIK?Fut-B;_3wSk
z3h#C-e6;WVqDO2TN*zpk4PAWe_m!^tRTk>;{jK@?&%a+!|2}Wd=e^7QLgz+rzn1^i
zdi9nC3702#D)-6XwW&H&`P6y#Io*7V+$S@ApZ<00ldrsVqR^Lp+Vy#b&weJm&#$`m
z<5S4_l+;S!;^Lh_$EWt^N?kYkHfPyn{(HJn0RbD<|JmQvob<{5B=<kAoeLJ6Khqtt
zLh`2-H}hVl`rF6Uw~4-g_1>U9Zc*+2S&62-e_yf7-mcF`zW>jC>Mz#LZC_2DrQGM5
z6@8I-Slv14p_i;>-jx%RXM6qiSd_cJE%`@bwf!s&xsdDI3j<>J|7*_n%XluX_Q)$d
ztJ;2<y!n^)@2~G)xHo=^#=7K4wU^P)wtH^zfBh$a=6m&9*_H=xv6cP0Z)C6c)*?E2
z`iE}ew-&D%v?fk4l#VICvfvh9UFM=I5t>WR<ct5f^iR0%w~Mv3)@N6@!k>|c-YcB-
zxo9$F!i1unHC8`TJA-%)FP(iq<3&vL<$&nR>+9`h*L_*+C)w|F@v41lU3%n&<gApg
zeTUh<WLsq2IPlo}j~-`yqC4+oF4dZ!`N?xxTJD<$E}GBt=WqeTT_M$|FfrLbu_+oQ
zFP9#7EM59<UFWunRIk?$-mA@jt)$`dW4HHwu?_BvR4y-?8$YjO`Re=I_wHA})v(Sr
z_I}yFxKD*`ySC>UYPlY|&%S<j{^L&O*KFT|!=AjK{o;f_JFmoqj|<Mcm)jyT^Xr6P
zxBk8T`*+9DOxuUwJ+^c3PW`$6qM=RJjn2QprCSeYu}%N^Tm2UMsxIG^^$bdkhm)nM
zO26>?bS#+jH>kAM-(p#JeZZnKS)M)R-}wDhbRIJ@tK7M2uX6FEX}JHcAGX4&j%THK
z@168lv%0aPX#S*ky6nA1CY<}7u9dD>vn)$?>)}`I<=?c0JL^8p<lX*#b;y)9lTVw!
z)or~by+!X&+$Yu9&r1)tbMD{Hbi;uu_pe>&43;+j7mws;X*3(GDfI9?yy4&1Tkh%q
zH@Gx?Y&&Rr{M+>c_W#>AxxPGhTbOrqbA3gFiln7dpw|Cfm!j0`2mjCQRXP1f`QtG*
zvuk{7B(1jmf8h3W-l;#c9{j$->ieVivV8I`qphoqdTZHvvn6a+Y}^0gx2ji9liSUU
z@8=6-I;dwq=byk^e&IrLsA5~`hyG34O7@=`%Uo5AIF8yWZdTgAT`+Ru;=qZ*M%tII
z%FlT*rE}@pR(q8|qYd#NDz|=aS9`>(Zo;!tx@*eYKf7FN5}bYQe+H~P?(jA|`HH=S
z=-XXq*1KPGmU{i0e}!z#79-8i(mFlACnmlyOUagqTYM_~5&!g9zFXUM!aM7Av^7$y
z|6AOgRFav>aqIVS^{%BUS^;n7PslJ{vF_uKWVTZWA02VoQ|AzH&EARk)US9qSII~J
zI$S1}EU{W^e{-Vzg@gH9l=UatE1zRFQntV3mh!}Zll%UE&cC;QbyrPlZs(UaSg^K!
z)1)>&!Mfl@E}p%-hhMQ@J>@lblE%8VE7ynXXI!|j?cd7I8y`!*ZdKp%)!+L_8;^aR
z!~1RjGNx5+{rdk<(0sFhFFP}w|2|0CZ+UT9*@uD}-9!IZ7xgJ_d;eh9ti;?uhMg;9
z61@Ky3+;26eD%1xwAUZw%UwPHBHcVsswejbX-+M4d#OA1qt{9gP4@KVqAwrFEIx2>
zr(0w5=blTu72nODuMu==g3$kbsp<Q?|LoUZx+}=?pL^8AzW=v1G-v*quT@$4bVAbq
z_)asOZ+XxCKU`r^p7~1m^7hZ_X)8XhjX#jJitB6MbN^ETPcL&vuJu|JwDez!|FiJp
zncjc9BZ9Xb+JD1a<TJa?l4aZC_;P}6wgg|Z`ZfK;N`7<JylX)^-}Ju<x&AR!{j)Oe
z!ZNl+(XXEQe@^+ocK_b0o2p;(Wy}KpRbO%Vw7hzgxJmNG2fJ=9He7Z6>)SW+&s41n
z%p^a&`^TeyF?1IHB+KnSSFg=~smk?Q^}@mVs_F@~sZ*s(n>&AR-s`ev{Tx{y-OtY}
zI?GIX)p^#geP1tf{=}_c#i^H)v)I4pKaW3ma8mx_^23F(f3^3x9N(4PX38g}RV<@c
zJn5|7O^bwv59_Bae6)Suns?#%cTcXLI$glD`Iotz@s2`|<g*`}rBYg~e}=PXG&{D|
z_xkAAn!b|sR{tNxue?-tfzW>|{flWoE-Y@pCTniu6nEr*^hEpD(^PMFDc7}6u}}Oe
z?eFfgvf_sD<0A``n$*Rr9tnKhr2g*Pk*D)AgraRf_Ngm9GV}NOykfzL`9`ljRr22d
zW?j_4b&9*^fuv$miw5_+$|sU4hnmtSIR}^tgcNbya+7xNDLi7)^B}OjqIzEH^8YH2
zR_<r|E3crNTcjko{{ch&AvKm2lXqMYRzC7RMaED3zku@*gNv>l8?Om7AJL!p;i#kJ
zM9q`xMz_?IU2ds)uPM<IomuvA(itP~sjtt8=KnP5)_Ohr)AYJehnWR0ihiB@{^9=H
zwuaY*>&5x29{KsdQJYrrh~s9$vx=mR>UFaN-<I6H6so1s<IYv~OMdFr7JtE8wnyDw
zy07_uM5A!J`mfHz6Rw_o6zP9w$-nQ({FVDA-27IO^5%e>#pj5ab*F8<#-A_}nAvgW
zheQ2Ksg#->HJ$gXA1QonQ}+}7y;$P;33Ka^u(~$&UEL3pD%_@}K8(*gEj}&$@wH<D
zQw&*h7xykcCedWnwxDP6hL<Axr)$>#@?SJlp7lTbitLC5Ik77in1-}{NvMCR)lxE{
zrZT=lN%7T@H2*zMI+->e6rOujGs!+sNA2;+>Y491ZtjeEx1j3Sv;U4IrEvl!C11li
z9dBnmcH$6u|Awvg{}blVno<7`GGCwe|5Lrf;rb5yMAi2C-LJOri<ws?N$%77`SVEX
zeAC;)?%gX^h`V=%8$FKi(t0BK|9`Ci(%_Zmm+a!Qt~$KZ@>=Hk?nz}>tee71{n*C3
z>@7Pk3D-}WyGds4zRyQ|{O>&M?6--0-x0CIYD1CXN#WnAM;65Xkl3>3e*KLvOL{xD
zZ`KM{U|hYGAtP}j^8~?_pVZg5&z|w>W!L`qo!&MZ&kFM|I6pb|P4T=pkK{f+xuM3p
z?!%Mz-}}40rd^*OUSY)kRm}8KplAEi)VamXMq0Lim)=*_+H7;t*ROcqmm@{~UxXgJ
znlf!Yb$G+K)lwh&CNtNTx;gB6E<EA?+2xZ|!)_%W3tuAZlwmqgcXsry<(K)4lh(;P
zT`+rj&){^#V%cXmle3bqmVWCf-+nJ}b^WD)?}B&OqJ$G(EW7*j{{Ov-=d<>?F;Cu{
zev{R-oB7D}c`tZ>7Wba8Om3dzcrvngPKy1EpJ%tuG2f-Dy*_O6QdgI#ndZy(ubbw-
zdBvUobw3{WNbC}}%-`*OmiN54d_|Icp^e%^^M~5nV&5wl`LsRxcP8q6_NyQN_p3kt
zVE<#@x9-)#opb6ht$Vuta!|wE*(J;C|6eb&S@}m|{v~dSSx;C#)ofX3_ekesy5pj-
zfBt)SoH+dIwa?Aebdwdaf;~3XS+b{@x+GQ7f{yyEim>z5xhuETnd4T3b;|^IVejqk
zZv~pnuJI|ll=r`X)n@eB->xjaaM=Xwt=y^qo>rV$|HXLErSOe;`<Uh)oPWe2uybWc
z&34<PujkdQbbVp(Cs=A7{eEfu$~7C0dz||k=U;!Q{KS{iCmU`}ZvOl35xc3qT(jeU
zgP;Db9*JAE)6cHnTz+C_bkK}-p3=8BKblsXb8L3u?mKU^!mD(({;pH!o4Wez+Ibas
zCeJ_nIm@qRUCgA?iQLV05<O3x-rk=%)o=aDsI}Rrxt{(L_>}b|@wbQW5^JZqT4!eI
z*zUa+-|*(urlkdn|FXX_zF(qqyvt>sQ_>^hoH=jQ@4E=!`k9$DcUFd8$mP77FWxzt
zf0N&CojdvI+l<ErZ~K}rf65dK4U6WhaQ(OGr~d*eb+Mnq?|xLqE;+IP7h~l59s9rB
zH5X5qer)n1=h;hMXnu6;5LC5eFkE${JRy3;k?o4dru+~)qNKWyb7QYieESRS!hc)2
zl^3lQ+P+uw=nkR#4UUGD6XoWeSz$7-vO}T!V&IBgJIR&%zkH~2s}h%!l+=hn-eSG>
zl0D1ym|vB*cYb-Z-gnM<?J4S88{1}h`^Vk7Y;*duw!U4mjc(iJH}jOHE&n-fxw*ZE
z`<HunQl&C0qY^5AJ^NVlHg4A3%|45D{JC}gKL-kY+oDiDGiloL-MaqV%>L!izlEe!
zMx|6niB#-T-@e>z+VW~0|NehdzLgw&HfLVV>C1bkEe}0keB|~o@BFI2Uu61jMfJVg
zEaU5Dxx=IQ-pR-%JD$F*JAK*s{8H(I*ZYf<Cp@yLvHjCn$2!IS0_Q>YU#tQD9Msw6
zU$h=%uX6m*=+|;W{za9_WBxDR9Y?)lxHr0VW-Clf`<ZifUH_WPCpY<BESW2};nkPg
z3mdjOpOKp@q;16?HSZeB-Rs;t?I#AEzU(}0`AUy-^OimRcIDisEjK=u#6NiX@6Nni
z(evcC?cRRi@}xWSTAscXJbn4mfy;+?+LmsxvCXQCl63iF+;K<Fp7YjY<;`NVzU`1u
z+J2l}p{P2BW8&?{;uXK|$fYws{bs?fwEg%@ft|MJ8cw_|v~tPoFP>1Ssos3UuXFmL
z1$%|AD{(J8BHd6H@aU_6u-6{Gq?tnNTW<t*UUzu2<j88pvam-}1$Ju`S{5m*u5%WP
zooXS^c6UmJ_>tHt7W|LadF>H?l;^dF`O!PCJ+hDFy!P-W?G(yy+Ob^dx^nm4DLWWm
z-1CZIE}5Srue^=n3Ae59z6%k*TJ6&IUg*EJEdGVG#I8-|y+*4uZSpFsUeDgLJXK(G
z^{b4^sHDm&>knW2^8DxO`1?o9ljA<t<{x<R%{;5<dGof|?3JIAd5M?5($c1Mqm6B9
z<t?+?PRZ1~yT8uM^qnZ$a;4}?@`H@S(_;E|MfJ_wCZl_`xu>Kg`kYYdW*J*yi%Bc5
zpGw*=Kf37G&7+?_uX+01`<rt2wohy4<mZN;zF0hMap_B@wdW-l-qo=`A6;j*>~GB6
z%}KkX>*6;2$?^UjIpgwqxoPvob?xn!{rxj#bKKKu^QY_B?_a{aSFL=(_boDeb1ZL7
z)VuI#j_B>vpFVdzO@9>j`1!Xvb>FuA*^~8ir}EqMI6wQ}5p{8!|J<4Rt=Qks{&!T}
zy)AYdLvM$C7o7NLwfrg%_wGNnPi91(;M;wq+;4fH<ci|TnFn{;E;4FnntSke7~6Wb
zzn8mI|7cx&>DZ(3F}cIWAbw|FqvQ<z_7lvXWjbsO&NrQ4{(SnwY39#93v3MH*(S0-
z3r`S8Q!Ov+KQr}?b=b@Ke9Ly8e<>H=b>8X8C41(H-uC&GyS}`Zi_7rZ&o@_b`oFo~
zFD<>_@>6U2KRuPlm+X1dV}5A{PoI%_^r%2()JEBDiOY5-SEu+D-{1PG<l}ViGurib
ze@}fs_j#&$)cQZR+RdT|W8Pd&(EFyL#v!w%U9sqbT+0c^-SSH8xpHdFGFwhJ6kS;6
z_+-IZzH4m8ibWU79G)zQWsT;$<$f^cjoy#k>8f>IPgPczFB9FLTGo9p<&k-!WKrP9
z+vewg%uPS~zV`L)d9(j*d;Vd&?$Vn<j~>)^-V6<CobI_H!1MA_k>E=vnxdMStEN~K
z#4C2LKQL1$XY~@vphp{W4?JEI&*ir&Wubd?;LihHr%Gxn|6JPd+VEqkKl7=l`$UxX
zf9Y<1Ut^r}bLsy6zJ~Z;MjbKV#GBv$Nw4wxb1D9K`N^gCS@{24if_vG+}73bS3%q8
z-mfRqqc85CxLE)0-PO}og7&a0T~8Kt>HE(sQ_p#h{lwKB49sHT$x1Hkj<Iya^tNtz
znx)fl;_3_@=5@zf1$MsmYdVqp{ZoRhp?FetXv^zV!InwuCI_*r#%eEZnY3=A(0avp
zZho?&7T!&BH?epcmZVHL_iCc0_5Tx9x4$oZ_*&zm`GQ3vHIKTR{QneBnReKOS*bnK
z%7yQ;Nr%j`l?T=B*cZLK+U);_Yk|zN)6M=hdM<pIdj%|gwmUs}dQDfLa%ZWrOI%(p
z&;HJwg$)0_+a9eq5@~%IP?OX8=<yehT}2m{Yl&>KzTw@bD7MHtV7ZJ@v;EhOloIy&
z5t2Gj|FN;wY5mwVujRzXIwqy#Rh%w;UmqWw{#C-I@9Sa#%WL~z#5s2LGdzv3=b4!N
zH~U<jWB-D)*Pefw8TxB}v+vaTUzT-=)VG{idVkq(V@*9iul=GL)Bo*Vur!BH?Ah$5
zOZ5!QdVhaAdPlMuM!&qeZMV+fmtil%BbNSW^V{(y-ucO;{Du=t|8pr#{})t~6!WXo
zvAiJAV2W?siKY7ml&04OU673tC}E%O@TAO+RcZU@ZpAd||DsdfuVwaJ4PL+H)t9+(
z^E?ZMUDjRZ7ue}{-}#BvT8Ak+{q8e7wW^gplWFE~WigM-y31ZFkF9FCr@Y=GsdPP4
z*=5~jts7~pC(pb*C+lkF)X?Q;4<37VDq-5sNQ2XVRA!{su}pkj;%abuj`SI$boR|>
z&K|VMwVYHqH;rZUnf$M1DXW*7-#?)lrv3Yl)?fFRdMSrazm$~pns>hEkwLcG##^Tn
zl8?Dd?D-UK*ilpw*LdP#rKD2(bJY%+dE$yi6>$wG9#+~(%$d)A>S3jx#GH5zYfky}
z*7V-cUr!H8Jv~}dvB&0c@e0v-`fGUNj-?-cxYOi&(dYhaMOkZ~zMTBPm#x_`abEMm
zhu0E5ES-9=I3^_JLtND-$<xMS?hzX!(o;V~U3l#4YW#dzk*7ibY@suc?RfSr;a4aM
zu2jy@y8qzy1zWju20K>n5i^+@-u$mB`J6sy`{zwxe8Ykx^QZp%ykO;?NqJhipLots
z46E3;$>@hp#leGBH_sb4OKCUrhOw<~mSU-OylrFrB0KfN)~N?)Ensu(cvP7dke&LW
zcj`fLN8UKE^nf|(Y=77Am_<ph;W6S6OHi#$yKw(h!sMw3=N^=N5WDj{ga4*q&1b(|
zZ;|8`|N6Hi@b=TlD~s1Gs>@FOAl$d^XD*xMmh;BVjbUu}BPIXLIhXLVOZHiAmyNMR
zO6rHbQxASqXrBJVCn)WL;(4CB{L~N56B=XQ@A-A=<*ompGyiwm{FTrBc|YlAz3$uk
z<XiQT^Zv0%|JxqLSoPu7mlt0YUohN^emsBewH;?aeL460rT_nTUtV0%Jby8Bg{jrw
zjMNQ}SC<smtIKwut30;oT-Q<mFg9t!GX=a&JiDIEJZKokW*;q?!(~)qK9}+7%!Bf4
zc(z4L=J=&=_|jYQ{)Xv!o>yym>Nd`L+;hFOc#goAeRn^7xu5X!|J79o-1jW(=Grsi
zT*7o4V~MoX5Bb{7mC~2iE6jNJc2+vu;k7(v5t2S!W)98ed!pw_%4DZ*D0%m*hevI>
z@r(~qR?T-mpLt-R-MoA)j~-W+K*|R9d6F{usULc#9+W-U^P{Z8hI<chl1u08=F<1y
z53c%a-C@K1M=xUQd9G`FFE^LIKYwu5-{lEnKNiPrJ$~?1RKm3m`vdy#-^VcW@7Z5$
z>2mM>Ozw%_%bz(s`8zlML1X{r?aoiuZdjdg;P}gMr!(8cm+hEn6qX)Qop?TK<1C>)
zZ?9k0Pd;xGf2{M`=^tgcP90KcmcQ@2zb9AVXKGIC3H@YlmpVy%hbOiV`M1rmefWL*
z4BLnO+g8{nYS!wg-V^$l(tS&4T4#8U@Ba4q2krL{mv#J+t80r`6;!JhyfygJ@}Ng&
zPl!gJNqcfiH_f={s@P`9ojKh%d;aja-}}RME$QL8mNtEbvan^Vmu8Bq*6OJSU6Rr)
z4ZYMJ^lI6Xr$M(=PrnY{Qy_V_c+J{oUB{B8{gSsg-0${(dGyQe*Ylp=wzjXR7SjKe
zUzvAu+oKix<8}odE<f`9%Z7Dws|)WOb&yKoNpU)MK(53vfJu@$bb?_4b1YAb{V@lY
z6rMBo;)heF8~QX!9$s_(sKd2n9u>P|2W}M`27HuYcI`JT_!PtAVtvfvKr&B{wK#Kc
zpW%hq63p|@9d($L%=5&u^QcJlxu&ieOvfcw6!tW7KTk@qG-CUvoV>t#mxM=hPovK<
zi5tm!Y+e${3%U&1@@8~5emE-8k<iol^J&t8VneoB;>iz6cS@Xy>S;W3R3ai;k4;M~
zc|nFDo8PqV#PuzPZx$M~`3j3O*S8yfsFGlwc>L&rbBR1_WRE#qE;KC2mta<JH=Ixq
z!*fRJ*nu61JR;KK%=vAGA2KDF8;>15(3QxOGqWQrY<u3{<*cHH&sz-(5^v-j7Rz0>
zP$_MT0oyX}*>}_SuwICoEMy|qmS-5o_+{xvCePNt&C`++4Ud{8a)^kuUEAJbCdI)b
z+_ugvlI?<7GV3o6XYSrmhQAqW9QYDnET48kpN;8jb2tB{_ST~!&CQAe))G-Un|Ky<
zvN7d0FT5S?R4&1>gs1J<)|PiB0vh5xcP|S?v2^UNHhuTG*oSwAUcw0@wr4uY3r_8l
zu-L4}_GwLbqwDLW1($b8RBY8_Yg*ggnE5(s!JS<aJGSew39ajH?0ucI;OQ<2GYQGV
z$CQ(AWR@7_SniVeCKt;ydxBouT@UfY+m>`E)?YfhA@F6=jg2LSIkCGWz8S>wxC{3@
zJkex0Cvb-ZpLPt-axuNO$K2wFE!(;uIvhS)u<2ov#iIhlIi))!_-tc%mdoq4Jytzd
z@aBlbxtTFM?0$M}lhwr!3r^{NsB`LQfyUD$i@8OHGQm3~&aIB&VGq}96V?$w95}7}
zq0i|<O8@4qVgJi4ak{7R<Qa(-r}fzQHg`9kd6Sf2x?AGKSv|ISo4Xr7yh%#1+byAS
zL61#uOLwEl+oS~d-4Yp>^w{=o>29=mo0Jf+TVll(JvP6s-HkDClM*6#OT4(I$98XP
zcVolbq=cm15*j!4*z&e@H!gXbl#sn!BIC9m+rMqyjVInFC6w%zSaDa6t#5mG<D0ih
z3AND?K87<Tm=1O>wA5XAlP~0miu(08o2F?l-`=upYs<22U-;doWSebHJte6d+@$j~
zX~)`Q5_ua9+p@Fu+J0y2wRPv{wMpmdwXM$8YqQSNYdf8%*A|_x*Y-MJudTX3uZ_D<
zkIj!g`9YNdTTy#=<I{&p3#xZWRLJYGF?Dn|az090(6U2fhoT<aq>k=J-A73adUr@j
zSoAc`JS?%oLXXX_ue)*1<D`U_J0xCM>9O7G>ux;oI4R-N4haogJ+{35?#3sNlM>1e
z*{+EvFR0up@gq);?a}n^M#g7J3z~LHSS0GP70u{wRDG7TpnIo8MY0|n)6DKh&u2*s
zrtFm1k*dcwX=Zn0?z5x?b9YMoNY`UKCYYR{WXSevVs~TnlOzS@oe~kAdTdpbx*L~2
zNm9_+DKW!akBw_`cjM_NNeZSrC2siYvCW#?o#;Nx@J)zu+uBU=!*W}@6F1*Fx*_{*
z(v5w2jc-+S&9=nySl`xb)6Ek<9JjqY@$&7X8-Bk{y1`a%_@;fggxRrJ9_uH1ZEK6f
z56|1#o%s3A(GA`2l5Y5w8%{VV$?Q4DaKoWko-YOB%>H+dI!Kfo8XS-15h)U9o_^=3
zgI2lWhSRY;CdJ~+_wO8auqih*xDd+|Qo`M>`O47w^yRb%3cDpvoX}$n+thkg#P+5s
zv;WPb4iROB2Ge7CMAF2Wr{6s4kX2^5VRkH!NxC@m{hLP}YRU`^7TkRm_ddjYnZ-rD
zl3ec>v!)d?#ojWVef1L0b!UmgTLq65_#Zi1ka*;1LGh8J1+7Pp7EE8lIxVi4O(Jjl
z4vBR$cSyXOy+cB8-VTYp1v@0xE!rXRZpjXbA8kF2?I$EU+V$Aj7Iimvy-0eXvP<Gb
zrykq1Mcs|-UL-xx-FBcXiCt}RcjKiONjv@=kkE5AXp0umYkMuA*H$g4*TyZR*EU;7
zuT5K6uWh%mUYoawUfXREy|!#oy|&+?dTrfedTrftJr9{Al6QQ3nzZBB)1)2D&ysfV
zJWJXk`YdUO+_R(|>d%sP7(7ebVfid+htsp99p2B9ET*RNEZ^Gmu;SX$0>9Tu78^<p
z=iG^k@R5DE>%wo{h5b=Z^4nYN3KjOuTe~cZv8_2?{4nQ+?uQyT&OX{aZD-vrp{R>O
zQ8$I6t_nq6+r(z!S!O7sxm)7gwAk|1(a%0qdT020zc@VY!l!Aj%-J&yH_Vh|o`3Yv
zl1H~1c+N?9JmLyoQ^4K*V_omA_CpfymTUOLdTiX#A#d6)*0uT!|G~s(Jxwf9-9K9U
zdKW1evt=1AXBNMCNU843#=vl2$-|-{#||vqx}hU}ik`xWJ%0pL;*U8*Zr#vv|JYVW
z_vwZO<vSZ3-K4sI>`Pv}VtY^Hq|*@smA$Tiwyoop*xJ*WdHN^o(<>22`mN2nG)_x!
zZ0Tv-oSG=O|Hf9v|5FV=BuO$0UOS{z*O}ei-QDxhNqM@l$MTIG@w4g}6V`un-Vi0p
zeEP~Er8?{DY)e9pIfOm!X)2NG{_$2;`lxB@o82aCmsYmA);zq`;B-o2#nPTe@2o_@
z{mn~{ix^K?D1YgsgW%Q;9rHJQnW0o;#3HAm&iwiEA*H&ROOK0Oba8%Z$J8Ut-Tg!M
z@<sOO$?{D{R~oq9-m;<N{>hDjDn7>?&RyAeNitLCQF&SJfwd`bmY38yTJ^gA(d+A7
z<YV-$*h;pdR7~vS$&G<N9>)$$Na2~{!QK5MR#&#rWC`B~Im_J-R$q)b^8VQkjeAPT
z4~&i2m=?FX{)vr|z91;c>^t!@_picU*FUkc(npO_a@?i34=vi*v43_p^CyL51<|59
zg`bfTNABO<7&yn_*a6WL9Z<0BZ_jRi{h;UJEQ{nF;(3XJ`)%&(9V~y`{>wo7(fgxS
zRTFOB_T0n&`lVf6{I$!me&yHBx-)qEb(<3(@ML|h?_al=?<@XKmD+8P<aWg(Yz5m)
zB~zv!tK$1s-`nK!HSui-yYiLv`2q8j-M?-u3G<y1a`@G<d*9c+(tWcoDR`aVt8YP}
zH{3<HRBwExv0}rX1?}N$_SOB_vTRan@De56`zlY(g8D>O&*b_U)F-x@Q+n&vqQs4}
z&IiVv+L&b8y&$Rg^h@Tc8Ik9ntq3_?B<22gi_Y`>D{-fb!d#tB7hQ6d-QsV#by3T)
zr*pbaZP8H=z7lx4sLy%s7M<k|S0a~B6_$Oq=;PKPHBE6*?P;8)y3ecDPA)RnT|fPk
zUQk}}ni+aqRv$C>sx<G-pZw|Ft@Hgs_ndG4QF&UF`?-6{_bWg6G~X|M+8O=dvpReB
z%AkA8=RCIbJ@wn`rheFS`zx`hr+Ze~=NdbovNf>_KDGbirkF#Q|2>Vle>2F=;r987
zpBkg)X+Le=QnPgm|Fx$(-A=V<*kAYBxqRCC$wfh@uX|fAeJZ^qX5mxmr7<gO?<qZ9
zRn&KKZP2=FpK^oVE&aSJ_SD|3HSJS=PbrppH|5hpsrl+p51Z@@IJN)kKXK2W1-Zrj
zQ~EEMbuay6ovYlgWEFHOj&t(76>M&*dn%Uf+o1LEs_NB66{<cf7t}>DK5zP^JXuJM
z`vSw-hPe*znXIR+q*jO)NM=k3+rY8pem3hFcB?4u2%}30Ya7%Zowqc7>}-1#lzd^K
z)!GD=g~?l(=JEV>)Y>Sdwk2S1*nx_LY;Sp|NJuSv&3ulB_d1uK%!`PXKU8Z}9FJ$R
zKIbgi6IRgRsGh;PySXIE@;I;hjW6zN6Z9wk^VYU_zf3Udpq$uW&*{9gW3H^2-yq~t
ze~p#(e2d$w8i#ocCw~!^d3{miEyMGYl1*U+93H;6c$T-6bcGf4cr4Fiecn?tE3BZy
zbMe-u$!5R0zqKhFTE%Kx7%zTSl&5DAvUq<MEBieYw{XVjc_nRnrsphQ_`GGPVY6C2
zC%JcSg4F8E4SyXTe-VBoeYs-g7Tv^kEOXb+e_+yi?&3X%)k})EGS8{MP#JZQk#p{P
z(K!n)+~3lqEcR=6S?^}XU+v%8&er|P|I1?i;fw#;gzkC&SeH)u{voqzx#^ovmt7Vo
zuAigVAg2BFZpkc`wZ59~#V@x$ll&H(X|yFn^ZkxXu5O3t>BQ!kyy?0mvN-Yn6g@+!
zvapvyiyJ#VgMI4~m@k<uZj5oi+{<=OJC;pXsp8gbjjTMYpZ$B?rc^hjFXQ==$nZIn
zZIx=kvEoTCw~PH`BlS1V;|l)VYcegxy5RAph}I)kpSBnYPLH_%Z1e0XiSF|jvnkk=
zJ?TtgSf+BLb9rEA5~rN$ww0MZMOqbn=TzV5HU`}jTq?ODNzlY{?y{K|9xe58T^hOS
z*U~dnm)-Qzetgq^*AXl4Ga|;DTqat7v@u|A&8?1ms-DDre6D@klud`;m>lLZeSJWC
zuZy&ZdF0YdD?{s)%5;{$K9KF7+o)CcAatpl)=h7=CZo~^Q`fl#%;Vd0;u?p0sPE?H
z6^AM{^X)FnT(;Wi>r>p@<{P)`PR4_8mqeGHZH)B}pH<c>E4k~G&0&=p>)P6647M)K
z?rG!Hj?FglaeisFII++3`ttc}C*R_ImfFbTVLt0R*DQs*DJD6~E}eB_UOOSrF!kUw
z?Ymj?5>HRdGq&6K(tW!$Z;1J>!x=YJmRR?;t#zH1ZX)pNh2G*s?Mct1d0A|t5=?Sp
zFF7r4+~8g=%^N*;%ZZGL{TFR7T#24|nt#{zj2kPK|6ZVY&BAHH@7^|NwyFaa2Mt+l
zIe51oZ8NuJ;eF2^ePhdwj2kk`+I!p9##SB4xM8x?y|*nlpz2J<jSowY`_?@uyezc1
zajmbvgY%PvmzSygMjm*#Y`M?MgNK(beI_ZE`NhQKir5{As$)M`s@vw7O8qeXGUws}
zg@w<1+2%3tO(<d)Zi+LJ`XT&f(n)?E?y8#^4-zhDyD@t=$C*l1$b6Y~@xYe_*L&IY
zIQAx*Y;b+yyEyT3>pdgWLw#I(b4_yAUbepQC+OaT<>kJz1vL)uC3)2^Z{d+TCNU@W
z@^81pD-CKHc=K7qm0vhdw$8J@P?XVOTITptIirK+o9D~q#f{6n=Fj-E!Psa1tn?eF
zmYnxlxzW*6yQeMJJ&Kd}uGTk^%&8YQ2)fUg_RfjEn6)_3e8M#e-ssvdtsv3t-nO@<
zzb<9mFj-vccKE44RQ@T>clNcZuNt~_w;Z%N94htemd#;S@n6Sm4qp|!m0=Q-wb0k?
zaH-g>9Fv%oh55a0+B#q6UM#3=-DfVf?$!l<FX27iN0Wtr{R&Dmxg?g%<HViY+Sb;f
zoOvs4UZc6Ey%ewejW3fg9@xBO{=$c;&7GdNd)d~h{Y^62VEHn9aifm&|F$+Y=2hHp
z4R$v3I14L9F~_#4N%7ja?_!WDIv!~5Ya~@Mv1H-J19O&~bYtE=Nw2Bx&cS_4x_jB`
z)O-!4B<7TKUUb;8(0k#+ISJ~QLLLjZNX<&~Vmrm_YcAzsSu*qDfs!SXYO*^fm|V_C
z@V=z8xY6Hpv+uivm`gH?8z*}f_p)76i_J6np!kw&vb@B$lBFLxokU_&=QSF+hD-A<
z(b{z^V?pf2t!~UK8`l|2&Dc}2_amne%iRPMgZh_liyKWG%_Vt#5_jFqP>8&s>m|G=
z^L($+Wu}9(5Aq~DQ>X}^$>^iU^8S%g!_W2yZxh(Q=rG+;+9evf;vUDXTsLO_jy&^L
z#>pM;45W6rl`Ow_z->{b8?$`JJEOP*5{rJS89WfV$dt^vO<31N>Rr&qyB9u8D(LPK
zljN<D-F5s2%i{KTt!;9mdn9)8OI7(Aq`#>9%yUPo>Oe-q{R_=*%>P^EjO-3hSa|dp
zcZKJd4I5dCJLL?ecKCi-aq&RR!s9*SA6#A(E^gfK=-tD%jMX;Lq`>fnXT}O^37#)g
zD%q+ybWP7YC@fsw%httdn{0ANdvW^0h4YW}8daUU!dQOtMdjj$$0YV9nA{OvEZ*BD
zo%UtY#eyUkeP?IxgKN#Jjy`FyF8#9VV!`g7IOCTG0+(K2_^|h(lIq^XQ=P9TeOY#~
zfN|12BPk8xFJ>l3gr^6*kj>~|S$F2b?q`yEYcEu**~errOnoM)x9`I5XOiolTwr%Q
z>?-%`!W5qC9vM4NGER4Rp}e@U$x(jxuZNphYm??Z{KZn6WfD`e;CxS;Hs_W@89M?T
zw0qfBnXdJ^&T;Fx8?)}bFlk<!tf*v@3+G>QFK)cyo88OiW>TAJvSCi<+=~U`ldd^C
z-*tSqV7*W8fvrn<pGn@>@n!490`p1x8rw7)FM3O#HU7|$DQt2?$|vzwj!8l1%jjgz
zZHBe!pAO&k`gJcOVc#YGWpf^Ex+J#v;X2t|(>RB#3v(}Aa4N9ut}~I!koz)OQ@ums
z%jSy?@(bU(F&}KHGnCTM__F$<L(szd*^?TNIa!}E7KqJo3d!E#=OVmNv3!Ew3#P>n
zX9@WlP217qA}+-n9+PQgB6`q#Y1zceHe>T$5>opN=PbD>viM<5kDj4a2V2R?ll&np
zv$9Mkh-S>X=#akPr5p3ZMm=MxbN@5jE*=nAFw>2>y&)~rcW$KWqQ$fP^}pZx$XUGT
z!;+85ZOOT7{8k7b4O(ma`OU9og}#rkDlp9xJZmP(D%|<Z!TB-6_s(atvL2i9$MW$u
zGrs$MLDcPVufncN89R(!!X<gPm%YeZ{IE_aHreFPr-j+QZPgAXb1xR`?p$Xs^-lXD
zuiN2XwOto8c360sJ31F1_#3e6X2t`ji@MAB5*}Sx>vs5W-Y#A#r_R}rN_JmTvfZon
zf2XE@j`lIu&+OZ$$jSN3+3%|SGUM~9Z1+B^wJ#5Rx@COMrvA-~V1McOd9P-^ij2E_
zCuZH1!l%ip8OP^cF8OqDt`aLN>+X~PwKYD)@BZ~r*W!)tC;9u^D!enV>{q>IsrMw}
zUdR9Suj}8KE-L&oqwuk-;@6Wc)!7zqd&@h^jdn}@S+l$@%!OTE=KV#RqBkpjOVxX&
z?TbQ+FDs_cGhFwvXY#*GCRsI)D|;V*%-Hkk>I|XW7kg?S?e+|BKGu^jRekD2pl^GR
z)#IEKy~{WFPW|xm<e{)$spDpIwT#7cUvAFm|1mGJH>9udm)5TQn(sPoo-fzUxb}3D
zSD%3D7Q0z{Q!cHT686Nvt5@J{Mk?RpF2O~%YfmMHSUPe1vT;|?66{#=!a=&IVzrc_
z&=#xqz^@#EZrMc=U!@eSE{R+ARP_tY&B*OLwAyT<$lRB&W$yjz5r}<pI;_`k@_M&Q
zrCB$!UR(L@lS;iZRV~GERnp?TFWJ-Hth$*Y{!6u6(9Y(jTlv!6n^Ug0_m(YgT`M&=
zIcvLh?98Jc*Yo&yS#<}pN>9CP8rf6w_S=%k$exme-xuxN*`syzUP)!*_aAc8F1|Q+
zEKvD)pY8sQ8`X=lr%1kY-kf~%Y|tn9jrwa(K3vO`cK2nsOxn~FCHA{?ww2dh4?8nS
zOUU-c#zSw+G(SpL*>wB1Zn`;tU+1>0#mxl_zWq~=@7|U+S&048;<f2ZUd<C>zqsbc
z!H<7+X7}{{{&Q^N;$!n|H{C2b#eR9up;bQ)uAN<MAD^2wIVjMw#qNxCsMnVz-pN0g
ztrGCurSd*xs*-DP(#ju<iNOnlM7QiQSg#VgXwtJId_C{8RV~}UKUw=QBy>ram`Iz+
zI+3d-o)M3=E_T1;&yLZpy4-uS_u|tHg+X5yzF!>Kd-1EpW9OH<-yD0<)bla%OK!yD
ztdG&VR(@DeQGVSb`QD_+7l$*GO?H>2t?XYQzli<F_rkTW&*o@qxXXXE{<X)TSgqBM
zZ}U>+mD6)9AOEx3wA1o+j%R6?XGx&xmzpi<f6T+yEk2iFm%PU3FrSu@b^E+U`nh?6
zP1l5$xaihKOI+LgD)RTm?+b-j*ln}V)nC&gKSR}V=})E0cfT{tk~uxE({pjvi+PVU
zb}3BTd&#j$%W%TJGlA_q**oN2_CM>$oUkD3&%A5xW%0b<-7oiDcvszdaEs!W-*E-@
zEo#Sh9krgfd2)U@&(ixl`b%bumtHwsyJYqbi?(l*``>ulKiB-{u(-Ut{N_z%k)`wg
zDW%_BYh$XrqfxCoa&3sTq?>r!_Mo--7QUaJ+(~|OGx?ZF@7mz_nbvDPx{_b6^x#`O
z#iDOonqko72ChOI+lfa#Dpv`pU$`u2`T9g!%cA)n-`$=l%sZ;1`p;wfvx2FIBL2sh
z#PfxVCb9VTsyzN3Z5HWf`tqy4$^FU9c|Sx}%*<HgJ8|!$-EY3^eHs2ceb$4MVtJPL
zD&-Gf6jFY<b^_-Y^+F-tt~cWP_dS`~f^ziSE>6Dp@8jO$n+Kns{4zzoy?xFyjeOy=
z{Vkh)JA*SEJ#KRqYU%Q1Mr-;lu2jse?!H)(ey!l;i?00_CarnM6*t>r?z|6Az8JTx
z?20hHE5(^RPpE$B>`gV|s}vUrBxe-mZC+y0oR;>p#-RU=^0_7Ad%|+R7!-+>RX&c5
z-n>#Q`Q@r>#+RCpTI9%Vf45}1g#Y_g?ar+K>B;)LO4VzRSboXrzMkwG^5vDodgk*>
z^OcH{F3;Nel53J=?pK>xQ{yLj724^Z*7uzHLwfJ@_(@qG%d6C*ulfpIcKy@*j{7n<
zn{Df<C;Kx?<5pe}TYbd-<?KDdq22Wt+16W~57~Q!rT^OVxofu1cyV!hoYr@XNq*CW
z#4Ele#94m4RW!+c_MTZ4^Y5H=>$hB*`6j|rJpav1%Qv0ewpBVl$6gBdSWiDG_gCPg
zd1UL36N_~#)mMv%S8p+0pR(t=!O_KME7eyEOt1Kod+(&y(WP>=;+7XAeT~H3XP#cS
zQf{x-@;vMN0#;|=*!zCYaNlCOy13%Gj^?szlieRC7)W2p@(fkf`~2e0-p9LdcpLow
zd+tL<X36@B?Z)o&uV0C|UGqy(<EP@{S^o02Zr8po+3ar_dge>U#F&L&PVAj@`S<kA
zDNQSMmdk9O<=!t{du&FX@8hL&MGSfjuUKTAsW4!X{<!k|w-t5QD~`{d8hqyCi*GOF
zKI&Olca+&)Uu+#!KTl+ObxFEO$jhs8RY5TiFWNp7da?JJw(YF@uTEc<{yX)NR{uP$
zJ6CNZ4Z3?)+gdG%-lMZwbf$BAw#}<|Hb&gDvTbi&uS^j$xn8-Y|MQ6_rF-40<>!>L
ziuovLALaezt>=>#+mt%vaqpcM7q=bSAQ{p*`^j?=D`wNJJ8mwPcTNw`&HI><^@8Wh
zmd9Z?U$&lGuzknb#q*0&5_Er0epJ?PCKlFqUn4+xhqcWvgK6$&nuW_{OpC54N7$(!
zwdudswx(V0QmouxA*<#oGu~g^bwP5))r(C1|75M2xi(%{yxu8&!L>8x)h3E!X3bt3
zPb^-~U0ztmb!K{1zx(g)KMtK`uUzlWzU`Ly=Zcc~?&n=jKi(27y=TF1+bX4QM=zTP
z7boeki9T}dm+O}kxPRMh!|QCdYW~WS?2tCK7G0&CHx}P6+G&=(yxn$}<@DD*UoR`m
z-JNuLYn}I_!2Y~5Ppy9V97&eDt8#qR-$OGVN7vt3d^GUpp`w01Pt$oJU8-`nB6&6!
zk4O1el;rPV`PK2-v;SGE_ku73&5u*u`CGgfq|15R?y|nMD06n=i_CsLs|z=Eo^gB<
z(Ts=<?@YJ(wZmXe;ns?4i~XIXz6+hN{E`{=_)Os2%6_{^!J5BaG%nwGxgmZ+d|buv
zDHVZr9g*CVZ@%BB67TNucuGa2o`RE9ct?0jHg9H(X57~o4<{T8ePr7Ijw>@pH*WfT
z2am^bk9hm#I5Y1=@6lAxZjCkKllLkAJ<Z)c<x$$F&)eMFPd8qlwflDb?!A)Dc8_Fl
z@+fce=A6jkSbu%7`Q8oB_8zJJben54*G93cdyg3PhqXqYk33n`{oOWd;`K?UnsyV;
z2CG>reBadkTe;<pbN?)7)rWj+p3|yJ!uldhylS3YyxeNJM(fD&qCn;4jK6Q+yuDsw
zv8dvAf16*?uj{V*?DwkCVt;Yy+{bUP_@-oq-&tYz`Go-IYHdHs4_y6Kr~HhYS+7}W
z{4H4OUR~C;ojF;DIrizrIFa`g^0s+io@f7kh0$i)+55`3y6@jCG~?t6ss2@K+0~AU
z%Y{brpIN@~+G4KPj9I<x@l{hc$tB!uV}EVq)mC-dW|QK%*S1pfSx+u%KVF|=uiXCm
zuiMiY?@fQ%`m+w{+iD-VE@#?OxW4^XzRfG?V=>d~7Q1gvJoDA7XtMj?Lo>4d&ZYJL
zYB#+8d)oaf6NR3ga-a8qezC&fS=Gh=d)=82&-k3V{>Q<^j=#5TD_qTfS641p=%)E^
z&S3wIR~M@*|K7T5<JxbFFZAYqe(}%an~wI;emURM4}I1a)=#QU;!6*Gl-?iq++da1
zZQEZq?Sc9qyWhMimiQ{RziNw7d!YQs{Qh}|>q4~MUP&xie&gZA<VdCUYbGTzN&K-~
z6O^Ph<L}z`khc#Mmxw>m^7Y%jWJb$u&b8r_rw55YQu8ZY>}4n^Ub0C#w6ohm{(N4D
zt{&TCo85u>hO8=I-?;5Ps`_ASy7?<*y`xJHT<fhkU7@9CvGrfslt6z&-tFc^5voT^
z?z}pYI8|u+!)@;0l9nFfxwGX|UWj7aBc?Er+1%eZfy};{@G;$dl~}REpYwTFyw4ns
zZ3LP9bVuBUU4j|;o`tOMyx!gX)fo~L)9D>weRTKMtcfDw4~x9bCQsQRWgfR_W=KbN
z0k7I@&!s!W%9EqkUdoWWw*Ii~Mjf|T-23IGU*9CHdX#s^>}f|m-rl;?(VZWu6rq`S
zD0Hh%UWk63@w#s*g~6(M2lwuDE|Q<{(%7_6TTh{VM%-*;i^z`K1>TlNT<km+%{uXR
z$E?Zuo2Kkw{$BmbH%#%}5!Hn?lS8{IcVtfSx0v)uFXr+&b#>D-9Y1&UPRZXiC89yw
zSM=wcnQBL!?o2PaKH=u&FCyiSxw7LVzY2C2aGJS%F*1Aj?%>g%0*M>KT0<Yj-3dCU
zE?K_r`@^cjeJ58P{kubHPX4C2M~pGf=gcGXKPu#zJr_R8^--WML}C7%9r5u!r_U+g
zD|{w){qT<ddEv9-9tqybKd<eZ|54-JX?el*=jNuRv!8fm_$TI2eZjvuBK?ouMV-DZ
z`L!Wb=ivFkmWteioSQ0`_D2dn+8Wt@&hw*+-o2-jgS~88;}39rWMhgd@zObJyLZo}
zkdEbrv-Q`TOnoGI=O?#d#^xopV&+kYZ@e~rTfF15)Uh~y>-opeUcc~7^CQ!{9c|mz
z3+O*;%aN$A75FXm?s{6@(iyUorz@8{`S)?Jj{O>wKFj2q<j*Gz)laLexTO8#VQ=%J
z-%-n+ziF{qWmF@2ed*o52a3ze4*FQu^X`8<F?aRNSG$8BedSoopsn!y)NFp0NsoFt
z{@P91doQTc*vY+p)AijF`K}omtJPy|^ee2L{N2j)d#z@Rymxu=<=xF6>#}}*)2o}f
zDl}@%Eb#+x_Hz7P9Gd>}>2sq$2BBfbrk`u%_or<wUp>Wd>O=M)ch_9YoPX+kyWp?u
z|32>B{5D|L;Z08kt-da(_%5)l;7?$S-)X78OMX~5`MVdV<n>*)>kJ6)J7es3*{=73
z=Kjw2nOnawZmp6wz4>~{#m8H3pZ~DgMXx<AecFdzO#7om&RJ-NJ#z28lW&|Hvg$-k
zUuWnKL8b4D@2}kYLuP-m$fZL6jZ*uYryMN|eNe$$r=hXr@sb}*_A5m$S*c!oq&|I9
z1p85kh5L{6i?nX>>ni;rsJy+)Z~B6At?Q4!E8o$(kmovc^3?SogvIiv&%4PQm2M)M
z#9a4Rc}wlmA5!~eA6+X>|CaUYA8*~c!?|_V+t&$5e>|-IuxIrVwLiB!EgolWl(b(Y
zbZ*D=A7cBD37y-q{RiKEC!upY`hUpTmtIolw!ig7#{NP0Nxl<K6VAOb-}b=#^pfE0
zGsS(u7XrK23hr88&|e{b+8}n9*G65Z`y%f|-(Ndb{N!tLi`vr#7bBE&g8qmsoN5`N
zdTz#_;ugEpj?tXAs)KT-zct@d>Gelx_l^tCL?2z}@a4~q)BL9!Zn*I5>LYwRJ$Q5D
zbk$s5_Q`DAVs~xI42h-PNjG-}m2vHP!oA2umwm1JV`=fpi%N>^maY;Icgr-@b9-j@
z`%$DIm#L*!vXlRtNskt3tle~O+u6!HM+1N5s^#@PUgq+0gO7}G%7f`HFE3m>Z+3Of
z2B#RQrPDXhS(9aS`ijQhDW_L?`E+0E-nnMg(JvZ%C!Ah2eTR;haq`;el`obZ>Cv#A
ze{}k$RRYF~zr^UR_A=D=Ixn`(s`b&^Wj6z>RAyaOQ+?)PzxH;4@hs`3%MTpc=(XkZ
zN?!A>(~G}^CH`R1EOec6@0_w@>kcEY_fIA+2#OJ1TJEg&;6beH((By(MeBCHGhsYc
z>^tS&`NOqdG15!TKY0WwuIs)uJMna|=DPk%?1^h9KWfw1D}K#NHLvH=CZ}?lk{fKN
zJ}lGF<w}fD%<H@K=fd4${le}y=EVZRZ~FxP-dY<tONx7|)0JqB?XH;~8r6GU3#+HF
zO+WIt?c(FvZFB3>CU=M)<8}#AYG%7GYr0}vz1NXj$C8_0{R#`|=xBM(6C=?tZpt(5
zk-)>P{o>~Rb}P57+!^_^<Fxx$)30CEL%L?j>&skNziq$96s8>#N_wpa54Wqfo_F$c
ziCiJzsuR-1!5zAYm;36XwITww5C5X=qh<dtxj~PSfngdG`jPU*C8bGvWf>_sQzypz
zA2JX*djGrTyTvM-3_=#Y;e6htB5}s$(d|ol*Dqe!;$7YS=JxHgE%t6c6}#WQpEIvI
z|97oN$z6%SwVaFEe+SlENbo&$_E=bU-5}VNLs(NWn^nwcOUAZ{wnmXV2A2=$_+GXs
z5ZkR)6K(hO^U;!NPXbML@v48Wlwn!n5y0#nyZ^-{PnJaHhVWdDbqB&3TJIc?XldGO
z|L&dT$|YsFT5})2uW!mdYNTU(sgF-5e(A}gi9fGMYkZxq#LN8Rt!)3Lhpp3AI)+TI
zn3ub_>wcU37OVaz^_yMF-8JLxy$*|$`}X$y8If$qhzZ6V(`4BHR{m1#Il=Mn#ACOo
zF`JSm7w`SNF($jy=cxN6+jH4r&$ecSC)kEu-T3N@qWlfk{)bB>6B~Oz+ZL^9$&&7G
z&JXZrWD;Q(VSrr+k$RzM8WR%(!&5c}26hGrU}Rum*wP4Mrz98XRpjQNYjRC(YdXfp
zz_3D;0em<;NGS+!X;f!Ix=})}C?yeH>&clLbQs(i87%YIz#F4M+CX?qqXZ|i)|Aw;
zykd0C*MADl+On5{;qN!dq4*$;AiSl~)t?b@lZ9SUN)o!(gsJ|jQp^ktS$YU3L;R`2
z%Y>x0JS7cXYv6Iy#lZ{=41Ej?416H#7#J8JS~CTZwPxle7oqE&7dS0On}LDBiUG0@
z9IBmROXCX^y(wuGm~NH`U8pPw)d<=|j?nBNh-`6kPBy0Ibv>6}uyQakq^Uu24oEY^
z)pf|4<5Lrhaw<WW3ZOf^ZMUPKpD+VMO9&#wK!$8-+#-o=dvOUyBzNDrm3`+J1H*}9
z3=BLVJy5)*QCAmPYegQqrPp;&Wn8giVBlWF2oGzJ<}HoJUr=-=r6i;4jl6I<avCcG
zLl`%DSQ{HNBSmpuUJho`TBUj7raB`7g8&l)g8;}zcqD(bK++r!DR$7UesdvU>3cQ?
zhBP<yu=2J-(ydp38BZ>;58WnBVPH5h6<uqpBeK?1%!G-4l?%w(kSJEVhNKmKu?xDZ
z=cC=%fzV%j16e=(_6~Fd6wofIKp1fHCXxZzQWyFG2_UT?yrr?@H?mgnaS7=5qSp!_
zjS!DtFk?Z)G&Hg@QgQ;kS=m5}L>WXGzOgVc=v%Nb=rOpOr2158rv;an6q{A*7nvAY
hWajz=m=%SlWn^Z12c&!Fn3ZJsCAp_$>Zga=0sy`$&PxCQ

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_physopt.dcp b/game.runs/impl_1/TopModule_physopt.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..655270c6d9202a7a2f1053f709b6d3c16fd297c9
GIT binary patch
literal 377613
zcmWIWW@Zs#U|`^2@aTvM`_I=ND8j_RV8qVAz|O$Hkdj=WSCN}Db!u$?EC-Rc^{>~@
zD7YG@!~H7j?h5II4VRPz?5^yc#lT#;>x6)v&cEMDOLL=ENa}lVysw;JeC~{8;=dqy
z;exJDO&3ZIcJ4W_SBJg$sX%MKq-rMTw*6Zr+09gz{%jK7@$|vnm~SSsN{4TsSjEfp
zUqW}s#T2b`c3pQKyT(`U>Z@bhx@t3Xk*g5TEdz((4W~E_4hwZ8EA-5tpLX6qc|)U~
z1lQq*qRBVazKCp3%{h7UxX2tmD|?pr>W|wSrpVt4u-Cu6!)ng2MG8|AKbD%v*l$tY
zX_Ec*S*~;8RF`nZNr(6+d93nUHM2<cxbOAcB?Y|(t1tD4&YBkZSKEE{nuSw;r22e*
zeE)v9N@qj$Ila);+m_9qKjCqe(f54T6&kA>>`j+@Z}iriWHHlEy-A@@E%uVsD|18k
zIU6SCT)njPx?WpEdvRi#>U{UeNAqtcRIgA7-Sky1?#c6$GuIcM@OfqMu1NXjl$;xh
z3mSe|rm0(IpA51V*=O-1@`&3F>DcPIn<_(BtljmF<9JS4_TN_yl8&XTHMNYE^xitt
zlllEi^WxyBJFL0O++H%KTI}FXo;~k&^U3!|4qTOUJUMljip=%$O(J(g_WfxWQ_C;E
z#8Z0RX5Bi=cW0$NF1LJT`&RV6h~<p&-RqHozjE@<2E17}cS+*8XWJ9~zsVS<{eLrq
z?UnNawuANB8jsW7CY(>I-M=y7^Ktw7!%q`FFry|Mllw1UUF2q9c<#W!z{|kE5RzZu
zo1apeld4yglK3_%y7=}nQ8Q8ZZPzEw&3SVp?GejN&sl!fN`;TlPF9=haxriXx2{*=
zC22O6uqVe98kiR7eSETz|1W#(3-9~4uJ3!YcW!3-tvw~T?q8_CxP4yi2c}618kZ*N
z`2SAmZnphB_r3M=H#b>#rrKWE+Vwco`svfIr!sN^`qKq3Zaq-Q+ASlX{rBzqpcl8+
zJ<hXAyRGz+XV+fGx64x>U2a>uHe%PuE$?Im<?p+N$5y$l34N`(FD=4<tMjj|YRmo?
z%isOb|8_y-Lb02dq7!yDK2s44-_$3avVVct*8WZNFEigQ*|bUHw4`M=)5<rFm$z)%
zm!5LlEca#G^OW$iY35sR{knFz*EF}dvNYYA*{nkLg32B%>05a_r5bOsXh_=6k;*Eq
z&d)B-b-#ThPS5>;9OIm(>uGJbV`Z6w(;1?x*R0LU51U@T$ndww*^^3fGg;hhvMhTY
z_dG4yxo!KUqla!gXt!TiSM0s8YEAgIt)K3FI`-<=w^xffww`A&=s&%g@!GySUB`Gf
zq+}X}%{jB-+OMmP*Bina>y0?GTg1=w2Q>*z_76yUtjRmYK755u`yr3N#jQspMc(DU
zaTCd7R28ka;#BJkx?}a|^=^~O+T#x$*Sa0<*Us=0{Met~*wvN7>vPUGFQL$4arW$m
znwL_xc-*PI^=Y#lXUTd;CO==TIz`dSAClYuq-?RsTfCMjdCP{Yd0(Ty$LO`&J8E*;
zOT0f|Zu5%G!GNQ-wPovhNweh<tX-^sZdSB>6nT7`EjsiRXV}#z0@o}K_6K?$Tlz8M
zu}GM_?VE2|J1usG_lrv8CRea-(fqNPGvUfvxt+S-{EdB-PfsbcOaH?h!_Fi6U*~p7
zl*;R>UH-i}%tELBpRUNgeg2be)Z|T)A1)SdP|-f><QH>mf&a;Svu3ncybN<ljLNUR
z{%1jK`YhdTJDyzX>DJ!mVeh2LDt_#659hvzTVm|0{X`4vdV)T1dClFHE+D_<))Rr8
z6^}1In4$iT@rY*o)ye1cgzl=y&F4PtG&e0Wz*=G6+UQx1I;YuRJ&2jQtdOsAv-LT9
zwnvpQHb3uJ&ABZ#@A5WZJNxz^OPzUh{+x<S71t1N*wnLh@^0IV7kBRWH{>&Js(IAe
zmK-@D{rI~%@j*XNMjtxiclEEpOIQBDRR%v5Oqxu#Y-SJ;nHMx?*@rp1<X^P8?)n%I
zd3An8*?Wg`%fka!bxcnW6tp~DdMRjDn_GCxndQ?RTDzul+9<7EHNV~eLX2O_Jn8q9
z3!FWdPC8WTpuMQf`SIWOsYf{dIF%J8?4GxVT)8tP<j;XCDw^xRZSe?Z+7YL1@xgTa
zaRqx-h9?KjO7&yT^)TK(xQy|I0mov_*?mzBzUfj7yYDtV%R4abg8D)qPbRqxt1XZ3
zEz;;)d#s|NL5B6p%b(%tANZNKOmDk1g<<ZjCzU?>3P*Ue8s&bxeE;m?TT}1#*7M{9
zCeL5~;Ar*pLfyZ|D@&Hftj-GPj&S?DDnw(7*N@+ajJsw1w^?WFytdl+?8<&6w*|79
z4^O&Yd121<PvGon)r}nQ)xWo<Ur4%s^V+8M(i;Mu+uP4a8Jql3lo0#OT9I1eUm=z!
ze_O^NI`VCVSF088j*o1!!jClRXjP=1keIdcappti(8aUVY9}X5e4Jt3uxyGKYlW%6
zyq~&vH&yL&dYq^Il2J{PH|d$gvxGIr64WGHXJ{R<pRe!u^?!m#u*#p~e?Mf;l1Ot1
zRLFg7yg@$AfvIAt2J=&Xe-{4WeGZy}*VUq*Tc`M?aa=rLpP1sZam9mIPa=zQ7A5n1
zzB?;K#Z#}h|AeE)`sfd?VIP_;<-<R`<iFrFxAyavM>1<~|30_l{k*zbN!=FhD^KFX
zAL?IBb@=%4@zQgaB5dDQ`m+33ynXG1gU$1A`7QXVXZXTS_1XDW)o-pdF5J#-RSo~n
z<Y-~UwM(U|@u9g$Wb7oCKWw|+3BFt7Wy2gVX1u6F{KC}G?PvSWNEH2Wn{T?kvh~*Q
z3c*<8mFz+<84pf>(3(B**XwN$CyH%kzMJ%!A(h?fnq#G`?i;g*DtW)0ZmX;Lt$ca^
z?84~4xl!FL7dmTe#1rpt{-bV^@lIeqi?m;}R7IZWA@hr-a<8R$KC^$nD7&ANe~$AD
zr<_AY3=&_<9DW!SWCT6R4cjK!?pm_cPdY*3obTf3Xq5+fW{SLNuG{C93G^|>X5`kq
z5meNhUCV#w_FCK9%Dk_fRRuP`sz~5-{;-de$L#B3fhOfW{r55h6{ID6lk{e%t&P23
z#%U6GyxQsPf}4*MUfA6%YVx|hY1^El8G&YIU(2WMci=cDcz4mx=5^(V;*|w1ul8ay
z((g+tSJ6MZ@JQ@ik^4)({C@FC?JMsevt19Z+BZzQ(~v8eE3aH8w^FX^f!*KdB2|}_
z^;(wA4wH=&@2h?F`2O+>qKi`x8MZ&TzwA+O%hGtK;?K9&PJ1WI?XC9p>n_&=uNtIW
ztgqNgn`tG!)V{y5b%}1@wis{eN_`#CC;zG!X6{J(@3N|L*}TLJFYnv9aqGFvu6(+1
zj)bztf2m@QuN(QMGMXxWeax2n{nWy@k1H+bPxQXzk@Tcz$uX5Q#=SxZ)`Z{e61n`}
zW8v-4V<&~L<w^zIT@?SiAZ0^h<-Lft@7}f*^yPkP+S3~sz5VZjZ0R#?`IFuhO5J7a
zI<zX;oMXxc%{LCZ)@JjYF5FpoRJwuZ^qS@CZVT>c34iM3rt={B#WUfEVrRSjM&6LG
zi*#19Ze8)@s?BUpAFFo<CT=*mZ#H}Ky5|d@UMcl{uqop9gHv%qw)I{Me;yA_%-(Ku
z>=94N#xjez=_<a9wf{~RaZ*UwE|d1~smRCcBD>_eRs_6I7oYQPhQjjy^PJ3C9alv3
zJ$95&IzDal_bRcCUjKDl^BN{)<?huwuu@}1h`z>U&X2zTja%PwINjJ_l`HY>bC&S7
zcZ}X&d%k!sU#lN@k^6~hwTZB(>aLT%kDtjjPhRmNRlq>#n~SS{pLn(Z48vKSU1@H$
z6F2^l4=y>i@0_|*XV)XOIZJ(hn)JzccKO}DxwAzh@S^5#tN9$NT2|t0%VoE_xT-%_
zoo}}O=Hi(vUL*y}3x9BN?Y#Fi_(PqTp?63L+rM*mUT9i<gGz$tbT76GyeMKJ?q0iS
z(TkIter$T-9U`*4F;`OD=WxOkVNu_67g?`NUy*U+M~sTrdCiaiyW)ym#V36_@!n&i
z!*k=TO^dh>7tOlSSJU`^gB0uJ$Msgy%-@)t6_)S!UU>b`kuUZ6G8?VLb6*u_J+_}a
z&2z!!iSzx=_aD)0TemsO$#wJkS;nC%n!%dC!ny9YhHiG0dXrwIbmhiW(S_Hp#6F5I
zc$chn?)v$Hi@du-{qDYvWa&;{&b60ECt6pE<F=m0i+jsvI0{v#SK4{*d_MKd``0f_
z=ZUR-FUbAkn(z;ehRJOO9=X9EX1LXeE^9Gwyuqq*oO@Q(TF&~GX>~_>4R^EiB%3am
zHD0!X`6#cQ{;w%}tM5E8W}AP{(PGP0{*LA59u|`xF)#f2fuXywf4gX#Z_@_dPs?iy
zc6Qu%S-<|}hXRR5)f#8#mG9{1KVbdhT|&nk=f;E9^Ez+pKB#`t%i(vVl<&TK`QnYz
zX$*%ie&FTb-+wQ$ubq=`XaC~zJF@yB!U2oI1bQr1PfV!kxYwoSWzv%+o&Q5`m-w@~
zISvLK*$Vd*<nu2GPuD-PyJJ<o^xe)C^5+gmzc?#+cJHL-gMxGSI-cOw-&^sF>$9}x
z+{FEbo2%D!b4BlNeyqH)^_sz)t8(TMj(>0NUA`fr!j!kTQL8Ijhq)@`4`WriN|16I
zm$7tbWRK*R-5$59L>?BZ+}(Tpy`!{@&nFLy11T#4+<cC`e&ZhJcj>17>Yz#IG^9#&
z=XEx&V_hk&c4;z~too#D|4c$X|0}kNMZeqktm?=Tw+9tE=Mxs1{@5Az`k8T^c~frt
z&0jp*(`UI|_X$_tw(?-uCJpULb6&T;&MlEW_Q!$!cfpn=r+B*h?>Vpk{$4$B_rWsP
zZIYTtr@v-eAyUrxMdEJB?Bn~ycKTYEw14)Jc<gQQ@SK`)()AjB-+lJC_9yS|Sohv$
zU8ePs{OHF2@u+S8)o-m8q=Fe4mUXc)@T0W-Q&P+FihK65&yaC1daiw;*rVV-gX8|y
zOT+HPEnoR6&$IlJMS{CbLc>f(&Uv#ZKlH5LZnXW@a_ha{-^uRz-tzrkwZOfJALcP|
zxHo)I*z>*b$mum@Q?E{p{9L>HP1k>YEp4OKM%s(20%9+2iQK&N`0V9Yf8IQ||K0xo
zYu!=x|DQJR|M%qYr~Q9k@BjUT|DOK;<MqF;$Nzbg|Nq_e_n%oNzn}i^&-3@}&+PaA
z@Am)qb8`Hj)9Uq~-R-NMh1Wd|kJGH&`04rlKY!K#fAGGtKjc%)%Ilj{<AN65%ecFb
zx$gPXNzc9azWVmH;ohgO*Z8+2|2)oV@HQgw=$6Ox_eOlmS$XT{+ewqey^Mb^GhLS%
zzkYjg)%$OyQ$>IHZY`f_ccz=`HE(Zy^utXL4A#F~`@q0=>tZ_}C+jr+&+o4!p1N`_
zf92sRso#9F=GgYE|DJh&lW5edw5G>@+a{g*`Y|q`uFCoM-+70g9{d=0HTvMkxIo`&
z)305t)4BMDU3GnmnY7o|-~CQy?X#lx1aCNP^8f0DwLf>W?vD8^-=1^!zV;2#S^MQT
znf_nc7g_%I{)-u#WB+gW^^Vh9f2H=)wfE20@}EBaagEfpqN~TvOXZDXzm&|rDj%|S
z<?1!-vOX(%nO^$V{=@lhR@sp!t5x-1zD-#3&w<}<!`Iile)g}v6>@cZR&b+QrMLVW
zOVQX?xr@(3t*e~3f8L#Sy(_`R`%9R?BK>UlyS?^74?|bT-U~1cN%_O-6}NUp*3C_;
z7OwIwI3jg7!c1e`Y>q4QSJ+0WHBY{vbc5&E@6w~u%f5ttzV2NYu=HGoYiMj{WNB)1
z-!`j7D;XWuzDf&~Ry7OR=D6D2YgXvimFw5!%HCUBI&oF`n&9?TTuzyvqdYxdUwgV%
zyV5!HX#0<1)-0wAeyq!w!h0VtU$r>bTl<6fsqoro$F|1x#^1hm>Wsjn>mmNPuZ3>A
zxO|b%+@;$}Lvv4QYn|R2yRL0b?(bJwH4DmruGscy@qx8YvWvE@i^~tw3J704`>Lb;
z<n3QF{)BCwv}_kMuc>_4wQFIaA&b0RXLc@JYxT-~>B)rO{!MQ~HvBz)>hWT)z2E2m
zTd+iBx5SpeH|-xdPJZq^OXb6+U;2kv>rb%>K6SyRzQAU&J<GhS@<Qid^7Eg6EqWlk
z{rlFJk6Hf)wl4YiqG4IpJ*^+BtD07EOKC2h9(wTd=Pji>6FjmPGT*xrzNzTX!hL$i
z!Agy3Sr(hy_`bYtl04(KHN8@`&bL0K@ip73TMtBY>l)@~Z2rY;a{YSES9aagBCE1i
z-MV)B)t?3FldoSnI(O@-3#&ahPYP$=yl&sJ+-OsWy|bUB@g0tTVrFS~fidm5_^PWH
zp7yjE#hf!+^d?8ScGey#nRr>{#(lm<j2Vl0{&ICJtY_S4#G|3m*T{P0w84r77B=w-
z8i$v&su(UwsE~*<sNu<8lwSRnT`SIZ{;B584_-f9<+;G*M~Viwo#m-!|3)s`Rk9!2
z{{66sXx%LSRetqp7hUP9MXNV|UpMc?Qc=;ZoLkQ28f`h5TXf}#8SAZQW~l~~n|%4V
z?(~%}J!rJ(T;krm*t7S)y?P-UDsXF!*8~41|F-*=>OyrI|IfIY@WX#5Z{PnpHw7|I
z<aqp<ex|K`KhL*kKi)nFe&FBi-^t(X&o9q9-_D9<zGsb0&9V>go6np4YnJ+T$Ej_1
zm5+AQ#3}lk>)eVeCMPr}wj`Zj*~_Aqwp~Ikb-RUH`gWFODce<+rERzIIc;*t&}g%w
zf!1b4L#xdX6MfPSAHQ+NP3W<av}VuwoF#^e85_4fO7_WL^!Wb@q57rl!E4vOTAV6m
ze@R~RPsGpHPoHj^^}%;lfxD&sVz*yz!c+DLMMV|*>vOESzur_oGi%M<9qaji#)Qsp
zyQB~sAe|aeenWg!_9^>-%ZY8v4jLGiHb~vM@^;0+RafMBbicB@YyVaJ5d8PyL)E_z
zAG?ZfpSN9AKXuwI_No)Nuf2Tzc>PtzTh~IbY*~54r<P&wiAVWN8-v&jTx0*~KMjkn
zS@bODT)>A_^PbHV75^VP_wt!#OxM3y-Qe55vTyaYgg?2SCqKUmZhUR^Vi(K5wf_=+
zl>cgeeEv)Of%&idqyDr-mA%sbdTQ>0`%kw^)-6*ynWz4tyXoME^Q_H}r?Wag_^%})
z^gqbJ=Fj=2hmY$;dsO~yO<3}wUcATT-~1XAng6={6-@v04<`J$&)WR>zqSO^|K*wY
zCcIc7zF^JMg~2C2U9?P5y{MY9^nz*1(u-?7?bI6o&r?@we8*~EdiIOP56?d~``<o%
z|M|AvKgIoXkJvxk9{gkZpE9Zc%D1yNXq`VLs~pjh{`$7lIj;wsH=Nv{l2fF^JDchB
zp=C!tZFrgJdgIGRqa3x3S~<%$Smi9+s3xL(e2zefdS330ln8M%OFRDAOz|zgonI3#
zA8y<5GCBE%Pg3v=pTy!DKFQu1ZjCz?SNJ`-w&COk-JGHv{@F~{hn5{Vx8Y@C_>C_c
zt#i~qrrz*L^uOVgoUh^5S*fTYd_&w!av#@hncs((9cbL3S!j?`Wg%i#E5kS2vqsh|
z*h0wcvV~OF#lwFDz6kwL%Q2GSo-K3y@UjD)8#D`za;hvu&1&cH&GwwbIa_lM@9fMP
z>8^{%n*_cHTZo%Uo@@8*<4z2IkhEf{idfqk5wX@aCStEu+@^D$I;2{gzIy%IL%Oy0
zzde?&*e_gD^e69w=7;Ko%a6QgpD*`M;m4Pc?FZA1R``bK_0%sG%PF<?(J<DycqJ<Q
z`{ww%p#SUtf8m^WJ#w|4_Wa9N9eii*GMjI{x-tFg*~RO2t%`Zt^vg~tXWKF3S7kG^
zmz-7Kf8<a4m9<Mm{&71R{oV8L!AzIP2d;k=n|IIY`ji?fo|656?e6)oRe`zYTb!ds
zBLgOxubBNvKBHV-RcqD)i+ql}RrSkbnS$>c>@*7z726WL^!8biDUE;cf9Y#I{Nnd|
zuUr3vzM8Mt+4N?w?5&smd=;*)@zYM;F&1@{bPxWk_+i$+2|pVDePAe^l9MTK@;g{`
z@3zn{y9BtkYGwb*XO`Q0yl<XmFZ@^ima2HIll0CP|DCo=cl}GRI({gzq-a%E*ZxcC
z-tO{KMBWB0w+~slVv+uFdF{n>9+v(+*t}+WOv<ImPV=wr?b}P9%5?oZUpj4e=e{^s
zVH@)c7ymCT`)+*IL-E)DRk1}ExkJ0!<WyMB{&f#s(kiEBa^-=&=$?{0jo0fnDqgyC
zoqBCAzUPZ`>w(wz#kCaYZvAhNWAsNOr|3`C4Ur#(4>^7<Y_W23e#o(F4o}~Q!h_!S
zvjXD&yV~=d(0DarzwjoBI`fpmKXE67e$?6;>@@z_DEIin%s=m|bhJPA*+spRbZ7YI
za(qhlxr-kzU%JKB_PoTM>-qAS_tCBU>kNZ`>|JdiYW5>;#iQTqwQpjMezz})_}TPc
zyyHss{}_$$b&(q1OFoI_yefJhAGKlu*ZHs}jc4X3O+r@u{I{IXxZ;tJ#h*;Ic~Wz;
zU&!e0j%}+y%Ph7e<L28<3a+OmY)+iobUXFO{Yr(VB|5uf+x`o$`eW6mz2EQQsr8%v
z`kL8;|A*zjcu?{w>Ym<;H6fMX_sM;^b9sKk1>uZI*SXXm-CXcOJJed!`TBiP8T*U!
zO#9c_tJFEySN!lT-L=`jt7&7#%GJBiO!*aXRNltoQm~i)AyK<J@7|aX9Gb>U|Ap;-
zvP`5}H9o2=bJFcJt;uI{KP&W~37vlT^KGLO(#2m>&;QxBKC3QPbmhgst^4dk-YKoU
z7CKo=@t4WPk0OioF9(J_%r{wg>1oY|gCVNxZ(kJ6`2R*MShXh2`}+Htx(l<upP3fY
zTAg+}*tPlsM>uQs2E~w#aycHME7+yuwoM7y`l92En^831sw|UWzEwpfTlR%Ndwuca
z`8|P6ACk8z{L8f5s#p=Rgv<PINX{YST`JeYU0*MV@_C}=wM^?&%u6f1_+5SHr>~p2
zC}{e+orl7naYy@$ZgW(Qxw`dWTR>{=2F2pgwadFMCLHE}b!b7!)vwV3yUv};SrY2B
z&V8?}-Ko$G#Y~IxZWc3NV%)#>T+p+~#0%c~2A{cCg>1H~NRx=jZQ51#%XsFlvMu`p
z+h5=6JijOUliQP>No8_9)z98ex~|+W-mI2xb9v2^t9Oi#In4az6Budpa;E&WJ)vLT
z7~cMJ<H3rH?h_n?H<tv<hlFigyXNfN^(W7Zyn0!=%WwU`8R;Q@qBc`_%4YrS(JIVt
zQlH#t8~T1?rB3I~TU&Eo*KORX^<&vj_bH9v=TCj|>ecx#F(<{Yd4K8T<$AsJSE9o=
z%d`!LnQC7?J^eb>_llBa)fUMeI#)d7Z-3jl_#8LWsVjF*&t4(-Y<>mXz3wlP*G#{6
zsjX6;`FuWOgiOf2tuGc_Tk>b~g*OVX`ku`1V7q6Um2>6SpB_JH?NVjV(2}RT%e>ar
z6s}zN;i_V{DA&3LGV#)zTC_HBO$+%Ndhk<7W$1>Q&{)wmOSIN;g?U{KW7S^kwYnxD
zMl`H)$*J8nv7#c+qMgOUOG6F{t?JQ=2nr2kJss>Ca`00qZ|H_et6H=oG(#Ol*KE;R
z@TsxW>8FUu^WRR>^rnC63ljCNJRH0<=-!9Wg;UHYt@1e~tr@EFw0Dcv#7()^U)+8+
zal+A%#*h^XGa`iyH>)J2c^)}4sbi+9ps}}7+BBClI-L-SM{c}J&L!?(3sN_XVP3hp
z!Z3#`^mdC+=jp`Dho^3M`8ex_&!eatJ`byI_&j#gaBG~cxWe!G+6^ZQtaFO)aL#5b
zKfLV7-VHAw*4_B>Q6Wd|qe0HH4;nekK3a$@J~CM#MD<sB;^c?FH=O)vlEYPU#XI)g
zloujqwk3SC?RK@CRoT^cR%BP}S(9D%!5tT!`vpQ&tIlsYc|kj;=nLO$rrJZxT<&jp
zxiJ35my7l}YK96q%M1*1mKkb@Ebc$3xWem;|6#Sm6E>VQG|A!O;odss$cG724|PnK
zdhA5P+T6LC7u{LcKFRkgzT*3G>KpOE#t)wl@a^m2db7V?QRB$}z`N_4-!EnQC_g3m
z>-m4H{!E_#-)6;$ug*^wDCMsT{<7fM0d24B$c3vo{<5Z??%FoBLh;6mwMRA|bc;>>
zFm=hkN_O6m*P%;1)0$tipQ>_Zxmve%-;202ITaO}n;$-w-2AFO^rh*pt=F%({XJjz
zkF##+k|#U+>%MjS8!S$qyxnWo^sCdh<z4Vxw#)Qo|Erc+T~p3o(Fv(^-8e7ca}$ft
zSplH})4iSwSGFiNh6s0Xb*<joaH%y)L?d?9)y7M<TYUm{t-r?Y<-e-6`BHEe>%uQv
zqP#fv);g_a0hy!DwrH=^n>>?%udKW~qGCN1t{ie=+RG5=SZI1$Gj_$%hD%GMj5Y2C
zdvh;cZPb2ca@N8{U#3Kz*N9zTmALoK;;6q1*S%3cmhik}*{9|Y4o^yJt<@X%ZJyk)
zy4r@bW!LS=4y%6KiHd}0axLYax-no^h$iFG*Gt+imDV}Gw7R;mWbW61U49K5v9UMR
zJ?5_TX_MfnO^si|7@Wz*xx>rCLm}jqBh$)B0$LjDKDl~{Yi(RqveHP$>wCy5*O&WF
z?bNVcxk=y4f2B#!rOR6K!K%Isrq|vHGb!+?H&yxJ74hfQ`l){m1WtLs4v7p73zpv!
z7*RCsc!fbv<yUo8`=Uyf52bUuPi=l2W5IWHCx=XD@k9&3%Zh!BzIWxA{wCX~{5o1u
z@k09X!{i#DC7wQAA3YMidfcUgb>&)j?zB7BoY<Xu!})V*-W=}3do0BJeirI6aX*St
z5j$Gak(}Pse&CP<f2XRUoLt(GiXSs1A5T28MxtLN?Rdo!L-j|2@t3dd@DTc;bF4Wr
zC*?-BjnE8%=Z6do&$T8O&FMa{#X`K()=>6Qgw$ij4LZl1lU#e++ou}J*|{C7P%*SR
zrra86D3{r@xk4nYaj&7Amf<Fz>I_i}(dUN>tj@J22hZs~@XA8GbE~23qZ{&%6$=!O
zIX_D1X>UJlC}+2?=cC7?j2?Hc<4HD`EPlS~_r7<wdWF{29WFu@fA(}6+f@AfJL&ry
zo5p?m7P1m@yP_XdJSh{XcvLpw$GMxFp<DJmc=YPr`zJ!obM72=Q2%at;^btBM^7|s
zUOma=QL9XxR`1{EpV(Kfay~Jm?R$~+ic^>09=Le&t-^;XHYXR(ynFMeXW#F0eq1Gm
z@ANO8J!xA~UL<cjCnj`*-#jOIb^pcRxp$iE-}9^4#hGdM=gN8ogEvO3jk3!WHrnbK
zTs>wMoNyzHi)ps6W75^tXT9Ria(rF2D6BW9M}tRNsq28apzEVz=Zgo|bZhWrD|H?C
z*rD{%*Y3cyrMWY->fKCvIp+ygng0zud0TSfs#IR@)^vX+<G5|XZPogJvrbx@%{s7U
zy6lX^UEIYz#fgm*`%YZ>`ft))E}No&&f|Qq9(FeW`f<*2@{2bek)nEhtGdLBEMBeF
zs*k$*YB^_5QDWOg5xvvzZRc7|v|MvaWL5ahlhY3{v8P6On9W_P<y@B7=BamP<Mme7
zZ<b1rBc3c^N|p&p+Sgnq@oa%zn{|eevW!XVM!r=+x2D%ce46ICI{NyRtKr-7qW>HI
z+V>^y<m{sxmj2tWRU22e{YkGu@adVmK79#4&d#}nZ^gxR(~I~MH?3H-D&)WA(Zry1
zi(f(SR!&~1e&KPdkinNFjK?i^X*PZ~nXtownP2>5hGp4=T?fj7!l&}Dtd4MgWw%fL
ztIDo@J})&(l)swn5`UfgOD9Gv&;CcbwRso2(`(K%nsPb363;F-Bs21|h+T3>;^4LU
zqE>ydaPphh1G+)eclf@NdpBWM|H&tXlV^Co(mbQ|)g*2IA3sm-;QZBo{<m4!qBssK
zG)-as&9hCQ&A+=ZK6ustOX(lFr>yJym{f9r*|ss&Bq73!xlh$Z;71ReP<Q5oL_ta2
z;|@XM5)Q9~{(9bZwh#Jl*mPxbgy$>GH40x%rh#3lqf*+Yd6aqKSC)O%jVwI(FE>2a
z<YlqCbbP`N@AnTEvP-U=RjePd>i}<1xVQGo>K9(y-Y@1}ss6DcN4>Rr$-HBK#TRW}
z;`Tpx$}D@^_$8dq`XD!c*(T6tr@t@ql8%p&`IXBT3)ki>em8S!?uHjrl@`34!Bz9^
zl(Z^G^MhyAYPWbxAFs{4zs#<2v)G}6H?1dPE!~B7d|Je67}Hl~*lnQ~*{4!)(@AAr
zL`VB-u}6<rsy3;VMSE~D$1n1f3SX@5##^7OtG8FevpGvUdTRJIw*Rj4C!IJdaw7Fb
zw2-IjR=E^M$90$2s}%Ve@8J2{{cZK?@UYBh%*<WixW5?jEcv!NNAykjO|Iq@f3>2g
zCKh-;k@~e_Q%LNdmQw~T0hVn-s}5{<v7;s=@>^gk(_zoKi7YFNdsIpr1DEJs?7U)Y
z({t|y)7|6BLH>^lzf4<MbmfgsS6pP|p#n9l&?1#ab+aQMZd{T(p2;m}k*X-9u6yJ#
zC-=v~J5G}<(iJsp@)a{{#OJzZtqt1z;$rth?vNjjD<|r@f4$EoA)3l!T=Tu?T_bO+
z@Qb)dk9ch7%vrpAGWVCFqE7$0cMiuSSGidn|Gw@Xw@qncXWzPGwl)QC-!9&q{a$6)
zjsmXOSc~2~zB_h}m3nKmSdXuG<-YXv+&PJ@lZ)4s6g7tW>216vx^Go_Ohl-up3cS-
zt+qO4iSqMz76=u`ShOzHn{&*FD_vfC#ZH@}t&+zA7SB4)=~G(Nmg#rS@a{?0G>e@_
zeAK@ioW5$?w^2{W;9RTfvE3WmIrZKoFXC1=JlPsN<ISPWp0Whf>FK)-4)bQQo`1|@
zW@ULePyP9iOD9>sS?oL#mo@cFVV|#_&W4+<s@ryKXkV-MCV4iu`o_Dh!8aZr%6wB}
zIp=PcOx20)-;aK9-e<$}s>e2P*?uq0wJX+S1$Wiz-;R*n*Cl6gI_uW;>5oolOcQA0
z<+(m@>$~W-*l)F`uk5=%zxUXbYK_R=zpfvjMO3d*iirGhWRq0)+BLRz#`AnN!ve!X
z*BdW%JSJ?+`(?8E);vAUTgnVP-n}VX7=$9y6?x`Ia~u~JQ`&IPNyRLpqdm6dg3Rd*
zg$;AqgtRjrB&zb(=qz#+TAexJkd)Mtjni1NVs|?*w{<_6@nY+nX{`o#wh8oEizyXc
zcT#y5+0p*H<iaYW<&9crG8eoml3KB84x8P&iwd2uO*|gj@Lt*x$#be{XVDxs9`^3z
zDh!-+HVCv$7CTgU%8A7<y5oo>_s4>1e6t=4@Urk-c6gL6Rbk=8EbpE<;fT43$3qF;
zOA$#nz9$$>!}qCv4=8>rW2siP?n`B>8jrJXOY)3X4xa4-oq}SI5|@0e(e=0Zb=hHo
zKCjBJiw6!fNd1uTXjWmnsL*=Q#N+Yh@I&V%m;`E!7o?rmkWP}wb$pdmwo$R|xa!dr
zI>l?$&6cdH;J#FEmh8;q-@VT4@0A$YmE{+EO&ECg_PE!uPH=GNPTY}ib3sF(Pxsj4
z<4l2(^IJV~HVN=c_a5I<dFbNgcfAh$QXVDC8{6|tK3ts2w(8V!N3OY<6Wr8=Rv7PW
ze6zr+|Mf}3i`fUbxDzAt6t(tl5NKQ~rnK>hlTD2q``SsWQXDmY%$=nsA2v*5)9PN>
zcq%Dl!XYiGB^x(MOkpifHRP4JCerW8AY_rC$n#sbC3$r#$D7Rpp_P#x?Y1QsWbR*Z
zc%Z?nq9zre{<Lvd{H5w2KW4Fc$}Dr_YR{N(%u#5?&QGemAzQusI_GIW)@ezc(pu27
zMW9hoOv&(yla0@^=4UrtnH%StOt|65%zry`K~|!a%12S&pcyXBCdZ`Xt5_9&g!!MH
zCTVuW;BwQIn{!f~c{X!~OtZWuBb_uO-SJh#=iH9OgWMArZP~PEPi|&z=-=a<I$Mm|
zUWOerJbQ{|S=7uUBHEt~?wqRW*|tgL*dBp@O^%x%L>|5If_3)ae_Nzv7q5(;w#9vU
zQqZ#fQET1OxikCSkA08rV)1uY+apl<BjD;YeXY~4i%sjVhkL|KKYDkO`|0|0Yr|Ws
z|CDblUL5Z5(E7IFvF*N!OD@?;KavnV+wdv=x!wkW#*<=7h9{kDcs7@uJkE03&a-Fx
z1d(Hr9#YA@`iqzr9j|)Q{cwNf<)VkL?_`zKAN9Mv*xls&xtiP2z4J^m`s3ES$XQF>
zXAV#9n7sY?j78eYpIr-0)1p19&s3^@_ct$6`6FAjwXX5hokfS+Vs9nK1wNkne&%Y!
z(@wXN8Ly`O(|(bqQ}XFsgwNKPn_jZT`&zdgKl5V8^R$rrFD{?_wW})k)w%N>@0LXD
zoR@Ps^MHY_l!KUx!8Ip|s%Vbm7c(3uE6N1Fe9Y~$u<=NWRK*MzW_jVv2}f9@mKe-q
z%k1gC*3PwMjb3i(RW|pY^$(3b_NPBLT4`M4`%0$WGxmYNN^cpJFSDlU7B)zHlKRUw
z^Wnuk2Fwy|7aNi_cv;#mI6Mk|%<p03ur?^S>BP6`BJpZlMNLaNYf=??elI#&)TgrH
zXq$xDZGq!zrx#j$i|RNa$35}KB`2FV+XUpZbyPa%icLCX#_gG$?fJ2zK4iA+$KE4(
z@>gF8-cL|mRi=7OPl5B!2F145W=9Io7HNbQMs;Xe>bhK=Betl^kNe}s(@v9iq$_IP
z*)+i<Z<<TqHu=zLtDbz*QZ<fQm=by|aMJ-*r!dVAu3l+798StS*Nl8{`^6!FKY#nX
z{^;;ZoV(DFT=S*VgN;LG@%IT9e$4#p84n(-@J_ODWis_zo_V04k4<KKrb0nGn^1Y?
zgNJs!H8u+zh4>eTNBn!g?wPyr-aq$a&vrlC(z<{5tSo1qxj7w={Y;$C{`b5V<1N5c
ztmBfjt+irCs-j(5l!uV9j!NrGu}R0aWT?&gIeE=({U`rw!$V(xll`(^@3-B5{e4DU
zho>!Dc4(vJB95Fc4I$~-&+-mSF0HNoeamdsU+MEfR)>9qp6_sd#kAcs_R+b`XT4`V
zD_yp`<mJ_lBO4ZGx;)zP)5|4jv)L=vLhbpSsY_$_zq9jZYO6MRu*09Zk=<m%j;wFK
z|CRS~r``Xu|IzMzx3&L!@8xdJ{}uo3Zg<tng~q>+eX6ZaVVlCt)1P_ZV+$M8{fiEd
zsyFAy$bQ>%(|jFY#r2+9tDWz5dcC^U;gwZu^!wQ7+UhKjdfEBPjPB}E93{&dU8X<p
zsc5l!6S+CxN%q4u$*pzQ&xAj#6n>>(zBo?k`<Z>6HTQSTVtmSQSab7b%L9cCY)tH#
z3WX<Z@_#L_TsNb3@~Z~(Gb@(v`*U`mXX%93f%={7Y4=n1<+q=0C~~+eX!5|qiMesH
z$%G%r-ppRkcl#{Q#mn^*g{E4_&8q!;#Zk^xwext|{XI4J`(}ddD3mIgv!L;Sr<BJV
z^WV>2pFaAgWBvKrl3VNUUMvsve2`V*ZaVkuzMnt$%{5~_#W*$OV#8w{-jGLb%$>z)
z_b2_DW#a6!iaD;&OUD1~KGmy^daip_toCKen9qEFyV7`pOaP}QuZ7+v2ia+CLetOg
zTY4qYdbZ-SD~$SXs#|krZZ=xVS7i4}_2SJp(OUPnYo|F_9{4bUP3G~LtLp45$1Xc0
zHSP3Ub~Vv@mg2IjjOSfd`}ET8U;6c^qsp_y^!K!L-*Xp92Jjj9EMh#KBE{jeu<^*1
z=Z7RG?JK=+YNT8H{GC{!#V&V|Mma&(M{6c#ioUybdEVlZwwFuJ88%;GJvM3A5uL|5
zg>y4&)90|SGhS<atnz`?Wh>dKEPk%4S9OzFAGZ2rZ!<hndBC8ZO)GVI;{h8fk2B`K
zm-$~;-kkrdX0FNNYwB;ZlUX1B>Y3Y7<tbzMJIo@T`%D9udafd`h1f-h1VdgGJLBKW
zjMuWK-7i^IdZ#BUJ<9#;zL!4P{#U&3nw;GyYP8ld&7sw2wKcCr)dhzI>bxpdGixu;
zyk@&O-|F(LWVf}(Ym>oxZL~b+82k=9v88G@qo~nZJCg@5yqO#8OeVa@|K^(>#Sb#|
z^%BXg=eGE{sy5zy#__`E^~Q}>pPZJN9^hFqA(M9rqr15j2hXy`Bg?@C-U2!1^4S%o
z%SzQ=sT!ERQvG=4O|;@=sU)_L6I<FYH6%;$vb0@xNNTxhJ~va=Z1J_3*HVnL=4RGP
ze-)Y`@^#XSD_1P?6fa9<g4DZRY)CfYWjS`iA!!*%{aj;^`XZ3}x&2j~XF94@9y$p!
z{#CMyY~_IuCjZ-FnG0(CnL{UDa(LAFueO!x!pv)|AoXj(T4$|L*`TH6Sz-?IvmDsZ
z?j`|G*?3v@)y!jAwZ;e(tlc1=n4SmuWcs2wrqhe!gznG!ymmt7U2|pKl&J3+3r<x_
zag-SAH##l5`uAo*+mihJ=RI>g@88wipQI%duGF<EOQ~yDvDD14hv%*xt`G~|vGJ=)
zX<ytDy$jQ?s8&f^?!Peen(1R3j=7n-M(3I@%)It>#q<M*R!l$Q`Z%Z4Y1!3_5|3;W
zUU)H2?YQi)z?k>Sr&LgEDEI81bz$bU8jyEl`>Hr~I;%W&Ow(p+q(oVtZQz=DtxBq(
z#*w-4e5S(2b8lwv6FEO4@O5^fN64ceCR&_pOn)!aKfd}|rFKkz_Spt5@h4JJ1#6Zy
zM(xN@*m&X1>~mYHW(B_X$!-P3Hk;dCmS=8zLzL3zZFgF>^$;kob(b?9H<IGeS=x9+
z2$YUqWrNaDWZD(0%ddpL3eAxGI!Pkq+u8}4wP~QZ6T9q?`rkw#!jrjk{h56)eO6Bg
z8LT!dkbQk=chyR6`&X)lCqQv`D;=c#+ogtNe%>pwy=+3eL8&f#2S|C?Y><;uLxP?L
zhfI=41DXETh*>KoN;>1f#%4CA+nEX*&w||kYc?o-Gl7DJx4Vk7M)2z-i9C?<TvL$p
z=!^p!XR<NP&QRER5u}_AZ0;<O6~A2fvMh4}d3D|7R-f!P$pFR6Qtr%s8%+Wvjd)eu
zzy=3^4E8kurM|x_rXOfsF@2ZLna^P-wz$m%<(r8n4<sC!LvLPiSTuiP=F8{<rplKu
zl{YX7wk8L-2v#JeL{<H~pO+HVdbNJVL`6}t|B6f2<t<sVjw>)I{Pgs~ZA;E=DU)c{
zD_yqripT0D=eA69S8X&6^nA3dQ1z74GSdqZk8A=CyEFIwGZBz*WA0=HCD78tJB}?m
zw}o#8D1_=mgl>pWRmq!GtDO?{8JrkwqzcX~Z9E_&<#EOgl&YganzmjCrRwTMlXmTO
zQSH2)cAsnJH67yxPRmRuv&lTpR4|y!CUp7CzL%M0$KTAJm!5rg#aEZxa#LBhxvF-`
z9ph5sF3w#g8IZWicOj&3T-12P1(X(~GS8XM&CE3d1<<(_(+?b5F+HjVToCPc3!TZn
z%D_bHnazU;2j<X#iw;Q_KfBpjU6x7*r5|2U`iYXCdPUY+%d-cZFU7$5@_fdDjV)|i
z&dVB)7=f)w2IYa*XI7Lh`&t<ybVKxRVA7hKpwxK>lseZgX!LrUp<pndO=vYZ{j^9f
zI=7|FUA0et@uUO4R;X;e4oYlM$)Ln`?NURsEN@7XA9JVvJpTKcUrVI3{ygoQmpi+6
z_r`fS(Mnwhu5~CG9@&vBo_6ckbIsV;?@P{YoOmVllgBHe*}ZZvJ-@BIsBC+@OzPq*
z&28F?INtPW2sQW0i9WlYqCDx)7b(A2TI-cc8z(N&Gd%TT;=HKeJ#sH+mR{%x^IzjE
z=$hc}61a8##l?r#NaeZ&%?L^Mh)q4XM9-k_%GIFMFCP9Y{<`&lsfo&TS-t#nV@Lmi
zgB?nRCtd_5>iHTdY5FYfTyoB^^Gc|Z>now)9=VrIOZx?9uL}>8w7vB7R9A;mfyJij
zQMGZL@*4wI`<N<ePSLvTF*n(2CHEPH($1M~{UtLmUGDG=*Szl{(wFMiU(&nD^pWz$
zBQF9MI?YUV3DO8in{0JlCP;jS_bZ{#^UnWe-EpnC{9ela_|Ulrw5(Gse%8E;XAnKi
zqGzRXSe5;$#lr<m;W8nQRy9}cNP91ET+>>_BDY;A{mq5Lk=9>6u9a0QJjWMok>8#f
zv*FM;&Y11aZPM31UjDzLY<9CzPI*9|ET7hf%Z{pLH=UpD$ZTiY{w5$)r|iYUKG|P8
zvfDlHY(6ZqZ_{CuZDNPtNUyk(b0@c*M|hsD)P5VE2L&HrJkvaUo$plP5l7ZOs})Dg
z`CdJovDbOkvn|ezd&@L7p69d8+2}0)`>jDIbJ>hTj@CYpCy1`PS#gI?vMTzx|M_Fi
z=Wl8rPGo=j<CbISyypvq+~;p@Tl1;fTI5D@yVmpV&iudMTsR(HHseU0wa>!_*=2<v
zcHXf+!jq8OP~5#{SDpKQw-0wRuj`+#(EZNOyDB>>bn5H-$?LK;F881Ivv(G53l=+6
zc*%*yFQVhf$MmBAWy(HH`@h?#Icqg<RP4*2w^?DU(2Z}CES!}87QB_XyP)nw*`2m4
zSF^JNc-wOIJ13i5I)AY2BJZo`iS0QZj~DQA&e<gE{fJ@j^tzO-_uC}IZU`LD{1x#l
z@LKq`y;qDpm1Ez%+p5@RYqlh9AMeVqN7_Q(xhTgjj@t}s$S=9XC@r)j*I8(L#uDz+
z(q=~ruC`g6yP)oReNK2zwP(z-iR;+(ZwefCm437%!I|lKuE!&p-V)C8Y44`5d%AC{
zVqbnPFV~vwZ&eGY-SfU4+n(Ez*vDI_v$TJy_om2gdsWOPyj~r*H!OnVa1r;zh!jPp
z&pIwic9Jn4t{+Lx?MPh6yK>SLnT`kRd&F;loAjf{tx9Fu4S~Z!(m{zgCLAcTa<Y}u
z&RBB&<J6MoD%to{XPMQx9#_wrscf9)RwWys?#wg)w!m?5vn6Vsyq;@n`gUb~Nyt%I
zuWl<gTc;&?b1O&AMuE<?Di<GbIO`O$HbZfh-xh&T<*1G$Qj=ap-(=uUlt@;TS*+`l
zFs0R_N2POLckc#)wufSe49_^Rv_*9s5t#Hs`o?mxLk2gTEZ8DD4mfa6eBm!t`(7$t
zk>{~)OL9{yhtC#)PEVDK+t;2HJ7jRw$zoYl#{m=Wi8K6!YLCxKROB(%ZAlij-2U}#
z*0xmn&TQYJCAqKpo6pbcTE+i$js2{8J{dJF+x%J8!NGCi$N%lh+%k99saK~yRkdCH
zxx(kr#$!$_*P=R(?5bJ2^56RRzZYt0H!}Z>PuE$-bNZ9Li^m0)V^88=`X;n7>YcfG
zzm@furBd)rw}0Zy-760Wv4?JtWdFM1hGVTy@dcG-RvBE?GA6wnpA<Z)KfP%^xAB*S
z1rMhisP8m5?2@%+^VBjXVVRJ%1}T>#S4~S7PkZt7kj(NG+y?EeW<kocj>`DUhAf!8
zI9y0p_R8T{_tSP|RvAL~Ei$;AWlVZCf5sm=bMb=rr{B-(IWIRH%zpUmY5hB+)1UOG
z9nWH#%KE<V_{Kl$xzhqaSiNC6H^J)g%|El<d1CJ>W*#t@#3r*iL&0Dcn^1G+gG3|A
zwX0@&#7^yBvQDfn=(~Z<|I5CKcaQU2vhSR^WZog2AphjDjd9D)A5siTzY$;~5as`X
zTWZCenQStzGZy5Tn@q59WadA9GQ%=#(yjwqLDO%zy^`BDX;;7Ql6gncg8UPOS1#XZ
z_y5?lJ@fvmo_Q*E=!2@`sixYp=#Hqa?!NW$D`y{Q{<EIDt+Xxs=k{93DyG|+2R8Jw
z$wX%=Y?#R=G&|!#qNt?qaoZsA9nP<WwtL<^tQ+*baPpPOI~-qW$|!s_DH8z=rROM=
zwta;RQZHjX>?l?6W=Z3LIw_ADS7!d-Co(MU)Jq#nm#ot&4EnyI?MiKqN@?k9<*y=r
zld7cLKjjOZdVMqgkW7F{ebN4wN}K=ZmN02@f3r+g%;I}`|H&-XnbNPm{*kUOF8Vgz
zp!~^wsj2L#2lW&4&hMXW%H1^k{n{6epYua)^A&lXf65Q-oxmb@Q{b?(clE2ZbVVk0
zU6-{_#U4Fe)cWIvm~HCM@a1LOCWy?7i1L11_~l4S+}vBX|CJ8Uk@=;1M)@ME$!D&R
z8d+b}Uh_GR9KIZzTHCAnOzdsA_&?P%mae~9t0dc8jiVo%E|!?}zmto3dg8s)6SFmb
zsGix`a;o6((xj=6wHB`WEF(MpL1;SX9JSK6(@V~6oPULNpHgY(!X@t(dYj~OmB{mZ
z{8y24TVC_8H>Z73co>NK{64IE0*l@afy0&F)iSGfT^?|APrQ+&D7P-Uqkp&9BDaH1
zK|iiJUD`3D{%zE}7m+18|E)u}w&tgAewtCr<Q-R>&?fm)KV5Uqfe`id8PA@`T%H?~
z&@<WlOiAl`!)vXq$98TwQX&4$;9zU5Psu|SwVefAlVc;McJQsa&-?xm&t}=htNyDb
zO_^+5Qd-oP=cjk!?n%|E9fzLJczlSdI5r|_9(VPO_lNAnb`%J4$6B<y>diTp#FZ}3
zy<+FB%dL`CfuI3so}!|*gMQ~euAI!xQ&?2mUC?^|;_X(}UpqFq#EXBsaK5$nOZh|a
z;5T!OMQ<bDnrE*J#M30+Nrc*l@6Ueqi08hw<?&#3@fR;__PMBs|Bz9RiAY$&?Ojrq
z$j?8^YD0g!-kc-K;(iY|axee5B=enM+_V0Mt#hL13;(eBZ?SKNQO(Dgb(u}8X5DL*
zEZebRl}>C#!gOwLnZiVVf7!o}A|5SZl9mZcTHIW9<H-U$GwTeYXc?2%wS2RVnOTPh
zZ%_Wm&)u!*y!`oE=auU3zJHr|?en(&?EJocdO8=*x2pcKW_teO%>t%anUF=Ro2y<t
zTVS`#Izz}-#-w!~-z+!%re|U=SlBEy4m%`id^Tz9K9YGRxy@VeOySK|)^$5J90?Ww
zR?xenqIgE~gvHmHnq@;C1+#xOIO15#Q+h!q&oasH;hyGYg$Mn<%D=JJIL!My;MK`r
z7g{Cdb{ZT{78k2{bV%sFCDZdAFBdR9mkD{at+{H);{~fKN-wCqv&`W7E^E@uetvKK
zr?|+s?Dn}Cq4yUq^!3gC>z}P1JuSR`LdJ@9KUZuL%Z}e{wI%;{n5E8E%MCf)uix;^
z6q~kJtmgEzQUm_|lTv2>4HMKUzi?IM^qcL+I99A%R=ef=>9>3{#q73QKH=Mc=-q_O
zhuznk*u73IT;*HqJUc5a?50-Z;q|Qk0X|z7`fcr8dxVcCUf6oW8NS;cvKD;;)*sIB
z-99UuWvuwty!^z9nX>y-$`UNx-b~=lG~dX4-24dN%?V{f)*LdbWdbv0%OtF?gl;}%
zCd!#(R(4|5Oj$lbYl9r6vJ>APDr{sv&X&}kBhM#b&5@%564EYizvbf*eMZ(OE3JL+
z5k8sMowA!0-zt{{oYdOrY_`O9{oa^QF*lFr3P%LbzL_**;@w9PGwupSOuaiPV&dIT
z8_ae;O^z+o?$pnkJ#EjDZBkyVUklF&3*I2%)~eHYQPDfW=forl=}k60+>v(2+SjP4
zU0Lu%G<WLNE&0K5GY{<A)^=dSEfI^b8BGUdf+yTa;F2qw+0^g5Y{HRa+jPC^0tBRP
zz1S~jb7h@}$c=cNUpInu)HW`=tF5Y^5vUq|`qr~;lFOcLw^Vz+opsrh?W)V3ZnyP0
zpZ|Nt#QT0pX}9e)e!l%QZLQQP?b}zvudPbHZl1Au)v|?K_UzfTZr#JfVQWuiM{N)7
zmdV@qZMN!r_c^z(Uwvky?e*IGD(}BK<vkK|CWpEoCo1n@d1rRW`_ZZF{ehp7w~HU|
ztx#`#{n1b8`lGovce=_y6<XiZ?6m&qry0{*?)7+HU64T8DsBDT!&0ZbZ?EE6ETler
zWp%#cx)r+r8TQWpzv0Q+q_F77@W_6<vnR{4Z)>k!Cs#XrHR~#g>!D$b<?rU)^<N!z
zZL$5{_`O`|4XmLdi3@nIK3K)Nb=~0w&$Mo9xxKx;YU1i639FiI><jkJ5@tSb%xvEB
zO7T43oq%0;?;Pk|@aXBm1Gaf?X0s)Ctou?|^<Y=z1gRbK%tD$O*I)MFjp5IFP?7gw
zSFo(e&&JnIymL<Q#H43Ea4CCWb@A;pwsp!^MFn~8#DYck<-5IG&i>AtJBa!Fk+v*@
zSyC2)Ja-nS9XZf>=YVaFTS>q(_IHe?p{Z#P-UZuEx!Jheefw<b9m_+)Qw$1{SA167
z%xpeOsz8$Gjs|xSv-zdAEQ2N~3rU_k1+Tg$?`)J7+qq<u@-q9v+s9VfADH~iUh`+*
zjIBKPwN7t6-S=#N=%?(m>C@JJ(VBhW+p!&nYqI~{R<-Xe^?vZ#?WbYQWexkj_0mt(
zH>?k=6Ka=!`ut(Q;y*PqIaA_=*rlFMKlIP-=hBU94VU*OXD82k%y}kriu~a>Za)jp
zWKEfW;EdZ(&odcQ=6mT&KYgB@v-r=X8Mid{i!3uarQdt9*D{wWTI%u~kA$Gbe<Z@p
zo^24CE&cdeVsP@Enw*@TXd!8-$I}kYNb4=|e%$>mEiH3b=+>31^j9D1s#q@drRMI_
zE4#L=i?ctR`R}#r{;cv<D+59w?1_+XTe{Is#(R!k?(Og?(;pfy_s>1*zBM)C&Y$aE
zbs1kTZr?1o{=eVJ_0hIYtv41u%b#Y#ZT-sKpYu(Q;|aNW`}|JWSq0tmELrqK#45N*
zDmLoRjwg2~#018PE)n3pYWh?@BrL&0<?M;#zt!i8<N6PnE#=M4&U)P({dSj_SVZ7e
zw)xu)-oImA(VpJgdo65Q*n@7dw4%w&XR4&ua(@m9+m;g_E*au^aM~@81m89MhhK?3
zEA{!IVxW7^^XjjUelm|A&t*|NV(r<}UH-{H^WMqhPb!wHs2#IjYG8d&v)6KCdTVlM
zj`OQ^Hs<#_6XW&>AOBOK-pKmVPl)^RTpOLP@=qHr?rC<Oe>68JLg@XJW0!7hR@z{+
zO|kTHtl0Y_8*IL12xTYN+W2&S{b;CtO}%sGMmx<j9bY|@tGG9R$cT)-wQJMDO?&n%
z+_P=Zrfu7niG7}RGv>;FW4+?pGtFltP2aW3Z0#y-L-imAF`4LTtG?!M<2KgGOS>oH
zGv|PtdisqAPh?)tjd{SWKK;j?leTM$i{!KC+H{)w%{!!`?w{O}U13?at1SHV^#b*D
ziDyq_oae?o2v(mi@#cvf+s<9;8xFUsZri<~Jy-8d@@j7NjYnI9Z@f8_xh5|yt%5b?
zd*SR&tA4Rm9jP$j>G=5Ih5Td13;M^L7v&olpER`5%F2#f{b#5D8h+>ZY0qYXf>iZh
zXJXMF;o~Q6Ot7dr`itXB&)10(60aX8rmgAkm)vOQ>C^w!Gcjt7zf|z-nWdQ<&$lnV
z8Y(&?V{fR&{{E~iZOHN;yK}9{F>|^P+^`VuJpU-hrl+g;qv5Wb)~dQrdZAC3<_bHm
zG&KJtVd(v8RY>CZU$<4)i!WTovg=~Q!q=~_B!011ue~uxjfY>S<?+5&jyszLI(Lgb
zdf3<c<HtFtNfLQ4{kab7sPr!U@}Qt(VR@kWT1Bx#A6B*&@N5%kbQD{(Y-?-9i#$cU
zU(p^ye|1z^_lZq%ldmdZvAVo_#g*;bTMfP}lAip+g_&PA^TFfHVj-1}w!A?VKFua_
z6Bnp8S}QOf_6@MT@?YVLiDmBB{T#N*iZbcCE>HEIl&<?9_+tCCTAMlB1?0tbR64uG
zCLL1c_Iw;-TvTB3?qc)ew6mhS3{A7wMR6QA<rdtLrzm8ubL6lh_s5S*TU9n5cM7_Z
zt(f^{F}wQ%JzI;cYc;Os%hJv=?lSCrXY!$7CY#-}%hRibxHBdka*|rI(fZTTV73%F
zxpT^43}ScoJQFt8pSH77S7DjeW%Ko|CJ7NPa&GtcxJ7Xs*5H09k*vtHyd!f(=aGoh
zc5=reJNiG0O*+ED?U}f!b&26jIhnqrU-Yu1{5A@-trR<Cc-Dz!S#-w{9`3-ID_Vcd
zNLBQVS>WF^tL?e2N^kLzqo==|%{Hr*Fy-C9R66+b2c5e!jtKn{sfh4mmOq^_;Yg9x
zk_}C4nLbL5yDH}@Tw_?4A9uX7)vcN3TQtYvJnn}(k`<Y@>$*Ie)>^S6Q_=2Rq=(Sy
z6UNK(<EnL4dTpQV3^8Ay{3Y?qnxB*Me9q2_6+5)?vJ=a-=#C?X+#d_>IMv8(ouJYe
z;lULj=^<78@}S_4HaQv7Y_&_hD<h2?^L!p@)YevkX5Zs4D|8+<@kkWsy<{QrGkP-5
zi937z+?4a~#{KWv(O!CS<+8@*2L!o(aMk!WE@5h4{JNiQC7bDdzKD(kb*l{jUfSbq
z@}XctmiF5IP1l`Rz6JEY6n|Xn%FG|#x$R3oYw?>+0*!NBk`KOTsrO{&-@S6%47*ci
z+=4gUO_#+t?rLU}+ZLQ#SUbgAOsQaEme2khpSgHLs@%4!ehJ*SRiJTkNb;}s#rhW<
z9@+5P%vsE*^!1^CRL23%Rfd24&KsLdu<&K(U%sNb;@5|4U6%yjt263ypBqY5{Agp-
zoU@eg(d)zOw+S>(4N3krckb-W2Z`LgK@qO9Dl7T_x3+TB_?a$?zp-;7o1EDNh1RcE
z9It#jWUzqM*McpoW0k+IOHu*162snz+(k;4yF>Y_`bFA;*gaoQm>)2g^V;^~VoD!Q
zIH|}5O?){upF?zJ+)vQjgZCZHFXF$Z?8sKsnz%_|s)t}BtNquX4Qz7nE-SRIzT!B8
z@6E2(6<l|mSl$J;Uwr*qS8BzdJ~que3-}I|Ce(IZUeD>C&n@`Eb<T_j?q<I)A8@vp
zT5@5!RmX+K((M9$d16WzrdsvHt*O3r;BcMPk`I4hzn{KGoav$XO*Z?$ja+{0ZRZo}
zJ_?mih>(3e@tEu1{?l*dWW4VLa_E#=d*~EfS46xk`>^rQ+X+X!rZ@kKUb;7ry)Ag%
zL@u=(KPC7(y$$6uYhFv`{&o8?`JKhp+B<I*3h%sq@NmoRgU2PeI}4@9^8Gsd`u1Hu
z9_tuo(KS-+Nj4UI$0|5vx;{>@ka@h&t68Go<lN44E9;j3@%x^^@utYy<4u`$#g9vG
zA0+qRR_v_RWB;r7dtn}XTdI9QPsoM`=XyUrm?8XF(ZKqcb5dAOd;3g7xy(65bA$iB
ze>3ghftLy!j=WX)IP><w!%5ql`(5+eRm675d|A(Yrrg@#O-b*RB98>u9`?h(B>1OV
z9IsfxVs*?pDYmD*eJjYA(z&I7Pjgt`d91qO?puY8XWuU9D%{@OubbDdVzyi6i@s4$
zk+s2>^4=-S&sTky;BRwZzth%Y*Rd^%7gUcqFDmY7Z}$TkQ$Dx!Z??$aJC9W_Tz{)@
z@%Y;Z3%B1s=&rxrS;#h)?^n3NydAq%*7H2x_`2ukuQmOJy-U}h|MfzGzmL&Sj!8M$
zM#b$&MTLai<B7*SzZa~KI$*niY4Tk@p~-jn<kWV_*7y`ys~FyUJK@j^F~4>bx1BOy
z@~88Z$vXXSIjL|xLj7y$<*QSjRlk;ARz23dxVWcXD=qoagclN*+uD~K<}N9`S$g-y
z{ancxa{7(DdF-9{*7<nb%e;D8_Tl2?w-a3Ww>xv)y~~%`Qxa?bOFUg}=dP9Y70(uC
zuL=IOOY)^v{6XXOf`)Q3hm&ns+KyJNcxrvj`D%z^t>@#G*Sjw6-}d={Z5-40yL>`(
zW1joiFO+^>_QCMl+X+V`wl_!R-{H&TDUUV(Ro--N_pX)odN1Z=uetnd*G{R&2MctM
zH9xNEX+O{_!QVOCP);uIXvLDkJ0Pce{JLfv$CQ4TPbmEkpPb$f*_xOVYn8&2Zzmi|
z-R>-<EOXuWgLA`nSzQ);_KM?%*KR2`IvdLI>`t<=*w^<_z(VM;Vxh^g!-vfc<uYRm
zV$2WvE8HvXpMD^5d-IXJ?al4_clqqT?U0>x<8_%w;{4l+z3XH64(fl{B`eXl``E0P
zuhSMh{<pw3q)+7WK|_mU&JQk2@OLU2%E`HbTzInd?hExP>USQi7F>F(P<ZO?gNI$W
z54y)6k8OLcKIQKnKFu%X)=LZzJY6uq(5{Si<@`ds!dUZ|c=P0B8;N5_D++3!uei0P
z{lIraIU)aK8=I1zPUYUq>t4Irv;IA28>i*Bz1hY5yXHrOYo+}c{2lE}<&`=W^V&t)
zcFA6ncvf`bd|>qsS&6V+$D&@mPOIu+Kinh1-&biU#}u4oqat>sq9Ov6j3lp@E}p+-
zT~Yt^16kXfk4SBAZchZ+k$Lw;o?@qOUc1P$U9y*Ed}IC`zp+)e#Ip3jNy~56I}fDn
z*l|Qe{k!4WlanJJKd@SB|LTd%<~cD5dnSAPlo#;_&%JZp@%WFIJY~vt`=4xg+EW_p
z+HBSx=eIEJ{w=rPMLKy~)*smA_S>uGUPe5>vGm*5$xe%FC(pQ%abM(_`7QHa*50pA
zwf1Ij(LcP+?RQbl<&5~o_0n&(H}1c<Pi|k<mi7I*z2AJ3vKQBeKD?H3-(;Q1wQ!Y!
zjTiUvh$rj|-E_nJi_zrbi8@Z!()mn3#h$&k+2Z`{ytk?Czg<5+x%+<IKli+x#ttXp
z8$A{JZN9x99^JUWq2Ktm_rs(gOwa7ARrgqk9ku4v=_#KyL;U@d#JoL|`z=5Ed7kMj
z_eylz6D&1Z>Hn$axv%+GXPuJr61EWh>HJbAafi?3Q)WAael|bRS$S~PiPx`-9R4Sm
zhTUFxDpTpb)SA`$YuD!A4~zfyOH20tojtQ#3$o81cm4O)f1Y;Pu|rzfuMEx}in@CB
z(WKt1*B?ebNp-RO=J@pZ)2maLZcW`G_cHg~;@r%i>^m}=w@!V#VcSm2g~b7LL;kky
zk-D=<#^&{cL%TEc7cE-mo64JaWA+8URh^g5p8dLNpPIzmM_;|p{+891dVPB1-i!N~
zZcDw@-njMRKDlisx2_+5=JtElnw=N-X}-zak}u^h^?LQE=JnEVPZ#XCxKE~C>aBLc
zhKu`z*rndC{?zRD``3-@8TVDbncXsP?d|>M`}p*+U#tEklrOH8EOUKj{Wkl~Cv%s3
z50=VuZU1Aad*-D4uIMT0({BGfdxqKNojRvZfwh57&mL#xR}&7LlHhL(HI$Q?on*t3
z=PoDUW~-8Sw4!2%*yD$ft9smp%#&<_c1S&*c<isoGVzZAoqg37S6+QMP%E%i&8Fw$
zg9?$yiXSYFIY093X>Wg@WK&Zk|9Ik&%M$$}R>yiGq~_iU-*rHzTsSMMyzgVei$``H
zF6P^t+g0<}<-PCndFm8fFWGSC?Sx}pC#*A+_-2+bFUkGZJZaLWSDRkFYBTyfn=^i&
z;5JD;DYN6Ohs7-NZwNi-xqWzh+uO%$64eV=Caj*S*Lb?^Y{4_$DSIYuef>4xDAts<
zExXk=YTCBI$@e#04bgwI;J=w&<LqSz3SRf7sjhEpVc~NVX>%5AeO%xYSX$vS@rH1q
zjZCkG-M&r@Pnm8FO__d;%sB?u2MceP1a_Z3*>R{qYf-_RJ`JXDr7oBI=DtUr=XX3>
zT<+qzDBQ(!VY-Xw;^VX3)LGumU=N?Xb@$H6v*z4Y;&Q*<p>#oYkw;0NhTJ>1zNd?W
zkEnO{397a(pSbtZk9#)4Lhe4|GY^ytwm$T9c~~J6$Rg(|(%CL}^l)>>qsMhFo{#29
zE@<DoY{4YsV2?-I!5)eGHJ21FFgShXzt_c$4;JLMB`!N)ctwPzZAQ}(hTsndcSLG>
zDi&9IUT#{T<S2f5x$Lf4PV7A<PP)$ihZpuFPSGsrF=K5sT&7@nO~j@qi_7kq^ulQ`
z1FC1+y}fW;#44dlFw63nB;QjWVc*8&Ntzr!W~`l>%N``I()=+ajce+RxE*a<0<N+d
z`?ya3;r9Pj>3_Mc1}c9|-Z*xPsoEPXX*1h#`H)4N^%o=N7Y&ZbEJ7Zv-004F*de)}
ztMA}4g$*}ERIbfvYTvr-LGs*-OS$eY^;_m|U1HYC_v4PhtE`VlrGH&LB&qm+f_>xX
zgVOEFMu&Hb<xe%ypLF$+?<=0=p0RhQd&WM{Sm_<3Qp!Kw^X_rcApb{NE0-7aUb(!(
zkC*xQ_9?q}wck}JZJWL1Tw(W>(7TSZVGndvz2_`U;a{$C^SGgx-y><Su<b=&Vc$QC
zt)IGQ&eW?#Rr_5NnO<Bism*YDakQj4kvqpEr_;CXZQ|y{-#3J2ou66yWntlwvK`qs
zWH)^64QNQ+A$}~2_pX>-LzL5Y>1_x24o|z0c|)#Da$Eo1hYZbEH}8LVk*~j(!z!WF
z;y}?C-rcLG7EenoK4X^CXxXM~czuKI8RH!J&%C>ZxSd`*Y)H{FVcD9b$5pNwVNfm6
zwM*c_-`?xqaopNjJNJmZTM?x@U!sit3{UwUrn`q4S1$czeE3;J(hVkW-sq&6iPaH#
zH|)Y%n)$>|zCX;k()5${;dc#FABtV!+NqVk{oECqyZ5<n^Ca`mPVPzM?lH^hENpw5
zxF_-VjD#DWK3X>%&l+CdaCPaYuy1F!p1UUV*|#w<E|EVpS;gSu21_;RZ3i?CPn(f-
zLvESmw*HrGbC2{mF<AZeO4z1paHdkbI&6~@6SGp+u1q(PJ~=_xgaVg|KTH-?NC*Yi
z@bqeU@^oux^7LzD_83?nG(1rf`1=2ejzb2jiwbgjHJGB6x{f^Sc$66I@^Pc#B9)Db
zi<WG#TeRe3>rKwadCR(2CEE3C@Vr*yI)1A|X@lA#k2QT7a?jjE<X0<s327^PacL`g
zNu56H=kdiTHiUPx&LRVyUX85GP7S8{t|FaB1&<zH)bS`e(Zw^VQF1~1y=4y;_iL(L
zye<;-BAqMqOTBircAo4mQFVi_zD{A{_h#R`a%h6^47XRSRw<PBO<ba9&~!z$ZSt=6
z#3k#VDXmmDY`GHrW6G7w5<a}mQMWY8O@rAdS{-Hxdj7)y6%+Hy!>hP@CaJ0gZfftG
zvL=sZ%A6w=UVe!(s>=<(oqh8*?4(w3^!K#7mFH5j+RlEQn#ldeIHz-O+uOwc#NR)R
zzH=yTxLVr%vd!K4jM*E$8?t;Gc#024q-O2rnVq~makbCc4XWFux3%ZCy-m)xIG_+5
zom8>qaC+Na#@UA%rzIy!toC`!efZgpq#I1Ld7_gRCsyA`xM3$JS*E(~`8+GuZKtl}
zNi?0hlGnCdBqht6*EN=7#>X_X;M1B~!P2=hD`lTama*UAD&NDzeJCO|>o@Q0$J-LQ
z?-=HE?rwYga9-l?9rAouC$5M|%e~!jVuSSq#~WcYZyk0zu=eU!yZsH(ue1NoN-VZ9
z%V|_^)BQL%vHHio4Yf9=Z?sBu?yyItulqX_<S)aV(DnO8xpwf(Z{N7<o3;3-Ro8yq
zdY^QIDV8^S(d@)(i`*^GA1_D@e;AN>Bs%@sp{T=)Wv@w;vCHuF7g(mXZk)v(FKu>Q
z@Nn4Ey@}p6$v5QsB)9cHZj0>{Zi{W5+`4kXTQiGPj;uTxj&j2p(yMu0&-aG5?wlBS
z<<5(AnU%6(Qf2IOIQd;z!&)}Zl1}HH{W$utm__;xq367}53?TrR(Ns4>H^I>oeAr7
z%x!KZ&P*&n@N~o4XQ!ra_#3FYZr{(Mlf{m;hLQiLl>|ikthyS{5?+5H;M%^_)epXB
zWlNv3&j@H=y~g9gE9<gT7aqs{RkswIb-+P9P2$NRndaDt2f^agUc5Ub*S2dzf1=);
zBL(7qi7njA4L`;Ho>BCr<jtC*S%G?I3~sfC-h6e4DLFPmbgSN)tQhgInSI>qh8J6d
zD?aowFE2b<IG5{7(U!Gc3s3iL3r#IKdU2ae{_&e%E*y8P{Ze{C<(Fj!*I!waUdH#Y
z%EO+o{N?}QhQt0@zn<L|J5um3_wV<bqbt~y?_H~ZDW<BE@nPFk!#j%n$4Vw2etCCB
z-lug_4eu<TowDj=;+MP_^UC|DB;+pm7F~T3P-VVwc1=bqPyY+G)~q6qy|b_Q+HEsx
zQ!kkuWcpKc@9gEX{~S9d@$Hgt)vA*RO)lxa+pO5O{)D#2{Mn9A{0^?XneWWQe&XAg
z&X?}*MCMFyHak+#k>fvqYU2~XgPxW-&RUX6w=XYGVb~d5;MR9T;Beq3+giI{ldXU5
z+G}feq@X9~eE8e1YUN(9zV+P}ILx_e?~<(b`a6TYwwGUOlkl7Pc4<iU-V<{#?b>_U
z>_|adj{e$PuRoUsSfzJHX?~r*QMJJC^MRvNB=z=)+HiH8;H+_dwaQPaw2yg-UO~$h
zRlZ5P+MSoYdwi&R%Df|$LH-YARxbbew)%)cLCg}q)te@44l&mHYvKG#X1QnVgY2N`
z7Ot=4{3h+{7hW>&NMVrwLy48kKk}OLFj=oi4Cp)@xJq)Wq0GWr6K{CNX3LcYZtA}~
zWzG>fFTX^6)#V%Ct~K|XF#W5s+uuhG+3!VG^IYeg`j~AY*PR;m8jH1T@89onJ;}3O
zbLL|{Rqi{w)4uMH+V6gn=euTP?9M4VA2vi>`@iX@psKOWvXnmcDLNlwH?H~r_4W5D
zXFg1sWNEd}`vlY6uGGI~yU$HIbD?#T<*uMj4%?F=_Z#POs2Z<XoYHq*Gx9-qQsn-b
z*|w_2ISW$ydZ*}YSQ&9GKmV4`NuFqpnaSF!+&S9QzS?`<^*hO9tuZrMSC#vX*0ito
z;&**d^27%j9hdVGyYV}(WZSEioBIPo*SW2b`OO*nz~SP<9rNC^YeuF<PB~LJV-jnb
z*lDX5tW(Yyv`(^I7PRTWmZZq@-(OBSW6(UwGA(G+fi)oh%_(OL+9z2)3)*yG4~Sps
z+Rb+TbFHds@tOrGjZcqmn)m*+#>_-b)nc86DUIj5Qf=>x2OAw$@_H8GagvD}#D5;V
zY1aEGYt}h>iCKi`y#84?VNz(Q)5)w?0h<nlgADks5jizw%9>}^s@ye^CFR$qe>ZPA
zWw1XZ?R9sx&_XU9on8N0=DpwDz39UJ-p1G|XEsir6lxZ#^ZMt$xsxQf1#XJkt{IsS
z8hHNel$ek!?zYO}!dl1ETeLPT@wj@qP;;S?j&exf(-y4_i#)Cd7pg8aTB8)wceO=p
z!!nPnmkW#*8qLv>GPdRH7hHAVqf)5<!S$S>sRvt56;26Y^%GfH^=qzDNZaL>Q-y5-
ztmnj5R=ukAUcvL6W9nm@g<LTP^Ek!!b?0hazMOJDy6jZJaYxH_mMa{lZ_f?!U#Nbq
z_N&jUCpV@q-rUSpvOQ?;y_!thb#di<)*8pZ^J#s!;`MdUyNq71^Y4%M)rK0qxe@;K
zNZ6|9wfyX<FJ3N?nZ7pg<<|$>roJkD*wt(lQyS3snoq0Xu-DgJg{K`Q^(-}x$Fhs=
zNMBkNyYu}59)6iAk0&;-vihL=H~W}nRP;(aqx0uWZ_c^Ue|h6;+vVQ1zR@zZ;s2Ai
z9BoaVW%*^^ZTIcPM{e?Q->MXPy!iIZM>b;ow|*KObKhQkr&337OR+78dHAJrbJ;fQ
z#}gf`)#tvgaI`K@t|_tpKF6-^!a2Kio4O0<G}En5E||-1Xt!(bZ@>8=7tS%J+pJtL
z_wu>2OODp%Ni`+b+wYgge42Us!?fG3+lwxis?3`y+8(?2(agw?TQ|@3u?Pp5IDM|&
z%*etElKyF7a|*Xjo+&Cj_iaj=tN(KUw6Hh*A1}V0Q+jOjOwm}dXgWx=M)dLG+dr>?
zbkxX$nCD(jo+(;A_hU-hQOk7enUNngj=69De4+GYy54-*b=9uVUhRBfHR;5euH1ET
zooCiqyw6cL*48`v<3-u7olfUs&h*F1o{u?wJw|V)cjfZe4l}iXN{4ID<o>zxw(Isw
zCrcNcN!@e&?V@PQXI25vl-|9|QZ45FUa&Jo@8R7%@w=xu!*ynI|5yg{;?W=8jkg{+
z*K1G9&{*&DplRaTSs|g{@?Y1_@VmGwEH^9b>Obpb8;P<#YvWU$E3d3vb)PTv?f<eL
z{Ki}pQl0L<I>Zxw&#X<Yr}$ugUvc7%6iIopmi-qUCB<$tr8xeXztiBbP;`Ng{QpZ9
z;%%whIA-?M?`$?&vw`(Q^N;Xd28Xqx3vA^7zp@Z-Gu_6qv#)+_v(cK(tQt)}?8_6|
zT(>=NvHSP6P>)ACN03XlKK!v|lc(e^iTW8Li;Oz<Z{}WI^<UPzz(~ISxP^Gz@@+qs
z_WiGBPkoWfeS!Ot{HsGe$~gxsfBtAczSDqbbMJ=bkL}aD?_d5{pS{@eN4o5khl^5U
zb$sr58_Mxa*X>R=C|!R;@o=bj&dkp0vyPJMq91Ab+?F<!<GFipVKcYd+X(L`2j{%a
zvy7jqdHb-VWYs?B<DoM)+>cb!KXd)wv=hxQZyo28{1ugS+UHsw->D1tg(?$Q@pXJm
zkjUWFo3Wz#&4k1as@u+({Jr8R*%t9g%jZ(`G(-I}7cWlZR+_Qs@tX;Wd!BlIYd)cF
zp>f#7JEqR=lc%8^&t%<$KdnA#mYp!TDim$hQ8TOAsAuDmsb}2nl#ewhE>qpHq_1Y0
ztkIcmN2YNp&40Xrr&zae>8FY3yFVt($mi5ssvMut&cm&{acT33<<=U9HN0b9);v*8
zwvpJkfo1x&iS?Q7JiC=Qu4LJt8tGh{8oT%3zQp2`wzie05|p<`Hm{O7(<-@ar@`?U
zaj_YX4+(9Ky>VE_eZPg7)rtdb>{BD&FOb_;5FkI_Iz#BSj7jTOzFEhlw6ETOccvtu
zP4e18p1KXmbGTQRo@upo+qvODhWIpz$A{#OS^YX{c-N72nbnFTBJ5ucE;-iv6kSkJ
zv&!HSmNDu5`2I!tW3i0Wo5Ft0=A1fbk1JE#?EKdMtF|%-StKg*9M^4mJfoGPCRtI)
zUH8c0GOLWf^_dC<eQZMaFC91>DfPp`xmm^UvO;UHiO1t5A8P|^eQ&ot*t7N8|9MJ{
zv)|v;Jly;Jka$JQswH3B`PLZ4i9dVs>=09IY{a5&?&=qB4%u1lED(~7wP;<wF46OG
z_3;@+G39U0T#YGA46WU1a9mhitm4ffq3y9Z4l9d)`*@*sa>au~ns>?{n!K|-7V=<L
za{9yrvEpev9%n>@m#RKmV5euDAv9gaq&1pvmfLyxwD~Rnw`_Z+{P%!~GxPmVmv((x
zWyZPYSK%D~!-wQwi-%j)3f+)+e`JH=z0Ty?J(CX{sZj4!{OBjwcFg*xgxLEjM^02M
z7jZjkz0}a^+nRzky}2H1MIJwz8zTGjzwx)Qv(scMr@g<tbk56prk9J~&&>{7z_e0j
zYQpa71mEw@asj1&OFyN|^_d#w|1)&bOgQ+w>%DB@w&EP)nL7XXZ{60uyFK}Wrdgnm
zo|&PKw%Ny4&yAW#dXj=$W*%K8vAN5aGfjMU&>3wTZJym+!jF>A{z($P|58*=_rO0V
z|Bl+$c^uW;wl%r&FFZGFzA!oIc>d<o)A;;@Icw`e6BH$a47QnmRLpc)*q)HGustbc
zVS8f9LiGbPocuc+Tjy~wbK4rSiBt)F(f_jKMR<vp2AjC*;o}SUwaPUuY?bS}*(%o-
z**cHGnA^67L+gvnjJOw_hHEcOPRLrQess#B;DZJ$H6-nR^yq#O@X@mhY3Otn+qYeJ
zlZ!-rN~htv6O$4mCaNC&5TCl<H%!O=?}ztad*}YB&-MQCCA;0_!@uh{UmtEeGW$z@
zjMPE)@JS-?V}uU7PZwG6J6|TKLrzcA$$z@Y;_2RA7jCOAeern0EbkZZCvmV@WUZQc
z{ZZO-w+%<9s<_%uKeFMjDr>~+Nlt5uJq54rULqkK6V%kJr+IYQ{gms%r-agTZ<UIy
zofiIR{adc}&P#JWyg2=*F4Og7IbWCR-=DPLu?J7Hj90@!KGh=v?LrTyDzh8PSx#Z;
zm-XsWH*3wW_NsUnz!7(2mAi&&O^GMVe3_SldrCBF+Fu4R?J3hxyk{|mBVX35r<_mq
zV0HVXM~BxxsSA^o`5$|vhT+Zfo}x`|7rtM=*;*N_y|6o4<;7#4sf#uTC%<@mh3QLC
zmSUCFRu0=aQ9bYcbREr?Pg}Iw`*HI_yYSr47e8EBFEdrG?XY#;#0T4qL%W*&dLK3f
zKepyrF7{|saQBA;E06AYe?{m{ahB%0s4Z!NpUr+n%v4Y5eb5)YxZ=r`iu%&bKRk8?
zSuEe@N*%RbeyrfT`AyYjF~a>TKk7y8vxqyi_D|CyU$q@SwLhBQiz(tv-(z(!bG={T
zsny1FzPw`etN1E#d~a1ta-8k4#P#zM9<8sny1)1Tt>C>P<~1ij%bux;|5WpR(}C5&
zI$vJ#bnmHZP>r)a60}|}ab|e`#yhLkBOYE2Uh^?j|8Qyi*H5u0z2ZOBxNkZ&y`~|1
z-#+0-tNi;4j;bHGcr;mf&gYYw=c2steF;9kDeh2K_Q~S832)aQy)RnyN|ookG>6*{
zUJ>QLY;A#c&J7pqoI4EboLe^5Irl8y|4B-y=kxLjNqe?0)4WzG{2=)N%l^iM755zs
zPv$4gcw^2o<B@sGj92C^GoG0rneonCWyVAEB{N>G&20O6kL5>!!RdU38E<W!8hGPu
zkGQRuOPn0uzwzd3^%<|P2J>7F?@x`*P+fE3x}&bt!J^v6h^s#oUWsvj+vVD2n>R6W
zdo<66)4FXpUWzHdDd;xbw)-f{?K??bN6+0_9P#Xr;`_VNca;u`ZTHxCQ`ab`sGHGj
z*HHoKJ4r2H^9+w!ZJ&{_Hu}ls9h<*NOtY##QT--s`-$}Y^i?&9kFPkLDb5mnX1!H{
zdu~*dtDo*srq>~QTl^lSy$pNJWH*^}+uvi?o)_<I`5QMkVPg3584s^Yrd54q*u1Bz
z<7S-gA({1Z$?L-X4bHD#KI8rBxGvLu^FROFePFe)&X-prm-kv7PFjCXdQ<pw?UME9
z!e7MAU4LP(Rs6#0uOiyDS5I#^{Jr?z!SKq`rmOp&Fu(b7QnBpk6OP@rMLmsnmIvkL
z&q-RpJH_|W#Vx_tcG_I$^otVL)~(`X|HIa_wvPEI-~PtL-S-6&X5SY`>b@_KIQ_mr
z^7i`&&NtsbaK2^xGEKh<P!!qiZ%o*K-(lnB`~-`)<}5oNnYZkCZtk+<z4?)f2j(gj
zFU*%zJXxFB_81gJA8zC;R6MeEYDkW=JrcNH?qNrGf8mYQ>K0F~2FqO0&zr6vT;v(k
zSMy4YbKYO8YOT{s(+aLF7K$lV_xX57*Jw{cH)GtcqXO&iB(+@6Gdw1}ea3^e(LROO
zHO;0MoYNJWQ+{p!j)uCki-qPCs{0fk)HRAJ>1JHF`>25Vouro8d4|Vyx6gR6E!wB>
z`SqL4`*v!)WA2=;s`vMlmO#uF&PNA-bC)&FZ;wxvS6t1tC_Uy^FYD(o^^<FL!w#y>
z*-+H<ILxo`%qhvfTYRU_ujDnJv;85%^BjvKk<xty$J&zTY<tMUo@;UR<o%4dp$Q-D
z4FwYC?<>$;{YYi{)6-SS`lp{NuJN@#bJ5&5bn5bkqx;Q_kNmzT=xtZI-a2Px`NjKr
z(Uu2wwU=8YJmp!Q)4tRq<uH$VuEl{=X}-dvZOSoQA4*)$u{c;N?N@lX=-;fP|4*r}
znEmvRY54j3s;7Tu=ULVl>ps^HKKgU^;&{hjAD92vP(N$^FygOXNVl88Tk~570<U^W
zJQ3qOW)Zw}#;Yq5%Ph7sOr9In;pwM)$Yr@$a#OIk!M&ABC7xfZ*e>z*3WwWHE#Hy}
zdU+EUY&H(<n&{V?*b>ZbaA#$!#Iq|(Jf&HNZ5CTun&(D!t=q5pf9J~>;rV>Y5uc-(
z*&^0De{8xOCCnD_=k}Y~EvXv&JT-*w<}PIX_9yJ!mZoX;oAw@TV_svv@x)OrX}%4Y
zEEXB?Z@$3b%&WEUz4<{W<{tAEKh*9sar17tu;7t(RkV)DfwbnX{QJF|E-)<S)!G+s
ze#D8{$8^PyV|Qe@c@3^Fcw{}*Ti4_OS94dszux8x45#b0^@C3F|L?qN^S-vMG2ui0
zQ}xtUPMmvXxE%f4oh}|;Xi!qF!MMwEia@NaSBv_o$;qLrZt2JKg=&ias2#n0KSH}b
zX@afczWsG+{yq6?=39$&iSxBST<XmE;nc#GinjqudkQrS?^#V@c`xJD_5ItaM2U>`
zGW%5jCHVKeZ?(TA%x!Sso}KfT?c9RDZnjF)zIAG{D^qmMf13Gs+V=KWpN^*;RekT?
zD%W)U)N7tFf6n|aUl#r@Uy=N7U!M0}zKr+8XFJ(wnz{an$mzQHce7J)libl|BDVJ0
zR@x%`+?$X5E?$3V@yB&{ci(WixctVE3)^pqEQsIO`Mp0WxFzrCG70&v*P4#kA594=
z(X`R#@#pS8#y;s>tNY{RQ_By{lY9`lQ2oefC;t}l)_D^8+_s!?qE$hA!tU`K+4`!+
zXlxRgqi->(!v00X!uAIl3)>%gENp*Ruu%QLLMQ)@=GJ)}>fE-5dSX>VF<M`i?1(AR
z(l{pyYGP=&$~CQSmFxQ5D%V!sI**~8+g9<NNR`qZy)Q?0gud`B*nDB~Be#ny6D*>q
z@W|J!6R+Y}Cn~FTV5X9H$7R=P9Lu|O4EaPNh305%T4M3<_Wvud>czzhzb>i$m)}(Q
z_J8#1dZGXSHYVTY-y-~9T&;fDf;O|a1}`^%ieG&;FxlEC#J67mYT}YM4_UX&j%$f6
zZ4t7^vNcv5w%~0ol~}!S1z)m>$o0f8={KkCYMLc&ZBllm<@~AokRSPTZ~gzle`{qa
z=j;%N4S}p8(jo`A_x#)ZY5wiA4#L-J!~V@aTzTihGkKA0Ua<t<dG6<KnOyB${Qi>Q
zK|kJ)%<oRTwsH2o@h@_l`5Dij3vTO}90=3g{b)w_)ENo$go4k6C+(9DPueFO{z#zv
z$&BY^o^kJ$#BPTbn$~TfveiiEf9CS5ySDyeck(%5e)!k>)Af(iS{;wN)h~5C>i%r`
z>yuskGd3J=shPa)|GduALaTYS?5^5}E^qwN%zF7t%F%e?8H=1Zo{aw&`7N%m;p+P9
z-CDOlMV4*#oO-IhA$NhZu;kpA7hL4nEKNRs{lAdU_m66C;<ucx%Uf@%8lKtYz35T<
zZPmb~&Tl52c$BbPrO4uds^OU*YyN!Ss~sf#%u811wMB7>NW!=DM@?V*pKjlH%6!fb
zPT}OFpL#-Sbx*@M%W75i78ibPH?ub>&C+K1=(zHD{gww3=Ds?1J|(x?lHdGaeB)7A
z;m+m`sk@2d9DaWS-(2-w*m9V!b-{jH!>+ewjfvA^IX2wZYq^muE+n&sTa)i5%dyv4
zGh#aozw|$n>rwk4U-J9TvE-7!cYId-2|sl&sxRQ5b!xMn`Rf1<)t+(<mgcwa3uk1A
zOQ_v!WtjZdsYA0&@nFdA2}w=992Kcww{aU*-E5igf6?yPj*B<dI_B9P(-Y{~b~Q=m
z)%V3qzjC#{7tdAq{xfk>;J3vFJzKaL-EOoB9Dd7`-(A+Y-F5ebgpODb!)t0C_A{;=
z*Aw`%^=g<>*6ZH2+k^t6W8ZWJe%Z{;^Y^V&17n%u5r^Fq7A%kTxOiB};Nmv6NIyN{
z-0XF}0=e1qVijiOuM}3Ca^d>I5BswHdy*Ps6CyIinRGUBD=xd;%5nIuQ;%So;z5ty
z6BaE8>F9`6kjPj)x#)J)qX3S1w}cl<B#2A!-DqW~e(TgRw@mS%^6m+bruhnVcchm!
zKG?aoWu?Y%$#$oLYx5+Ia_>Iy;gTN9jtp_9Ia|0n{cg63EY~$&G{fn9WM$9iX)R0}
zS@tG~b3BX4a^X<zDbirM{H8N7W)nBh^tVn8+GUDILU#*1oE6()cv??oMy7bsnGKvt
zrDj+41bjAL^{gy4JGoH6XPdg4;cY#Go^9NW$8NL=B)@fPDJ)YwR<V0RLQ|}V;YGD0
ze<WtVaq8)v7N#{#<M$eyV`kjq)ji5#eg#*G9t6zknOfGEFx6DGD>>|3!JSjgYqow8
z@LpqcC`h}1<C#;!I@>>qXzRrI%v!y6PD1ZerBlJrVs|?foS!9hG)X%>BK;}jwdl$Y
z-Y~hu%~OxxNP5b-EvmB1T1-z*<IeV?CiZDv9j?4zH*&N5U85Ojv!$plJWTGv)~W3~
z@}3IjMO604h0R+qed_WTe~;&w&nf(Bb?jt~dTt=+w^}v1zeOulWxkwbVYkT)mifMd
z=eSK)!(utpBZB?29&B)bx;r9$V#kc$Z@-^NsNdOiW4Ya@lPvu9!v0OWp9tkuls0wl
z|E%Kw@j!V=+iyF|1Ge*J9<B55D>$xxe8=0#!ZBY?YUb^F`su1p`PL50x<BvdY>k@t
z@_AKhQ{}!Vn#X>ov~9GTxt^h>sN<rY<spsvXTk&QEDz?)pOe%VEfya6{C7$d>$|Ab
zr<!+Hf1Y`Fy3J9i`DY9+tFuSEnf!Cj`^i6_yr2A2>VW#^r49biw3)W+eDhd-C)V-J
z6u;f>6F$|gY~OwD^zLQz_AL&c^HFy0!!`bV1?SY;E#6O7j`?uXFz@FRmb*2q-WUIt
zud{UKdA-+0%Q3(F(xOxBKU10x&fB8-t0JUrpPZ>fc)!%5{qDX72No}rc%dUC>fNVk
zH#1?yW?rtHE#c?PbV{18pELG7x+!_a`xiX<HT(HK98qttcr{ts=JQFzdB2~q=+_i=
zP0x$;+1P(~(+rjSzfzjY-+Af#Px^aDeXWh>yTa?cW~ki%-FNQ8L3Q>YFD5(Jd^#y;
z^?Q@p;%<Mxf}7JTy1dUFU1sqmFWp?o{od1%qdJ=}FFtnTVaU<mV{hfACd}S^q|xGP
zcCFF<rm9QJZoCSV46DAvFxxh(!&lDqU{(LDq`ASO)0MYXgftnSd!-RS%i`^1PQS`a
z%Pd|8N}5$%VUV`fx?f^-d+Cmv!n2FjcDxD<jwxJ~6kL62ruN3Iwhu#&Zhik)Zfe5%
z&5KTlAN>9^rO9>P7R|oOkhaBgrUwN3r5<f??=3j7_?X3uKw+5=D>T1Zhi>ee^DvNe
znf2;Td)j0kPUcjrxU_7>vp~sZRaY2-ZL&H}%9$Qi>7SMK<o%J|!J%9K?%)3I!i_sC
zcP`xb_Wp;5{jaWES2Ej{9eaJ7;QRj>e?Ojol-*O2{{Q3ovp4&XZ@publlP~3`L11l
zZ)Z!_zfh6ukpD9`R&mDD0F5+jkv7ZwPoIi=#kCxhulw`TzM|UV)7ofNi&ue__kWsc
z*Uc`Q>a;EIcXDuhU$6bP_dmF+{>0f_P3o}!b7h;Z-akK^iOQM0ONHY8+-qPt@9XjL
zObd@c?+NKQ!oos#j1`a83LDv&DCj<%$jK=;V?xqxd7cv=?lko1*Z7_Y->tT!BH5{J
zI~$KzMY>bdceN!y5}exl*?7Evq&PL5t2a?_6&E%tF->g9Q{%aKw?**WZ1?`ca}9#?
z{e3(>+^}#L%s;$r^1}{JW*M`@j@@cK1&3P<*GU`bJ)FhKsW*GVqb^R#by5Zo-(GI1
zF-&sma#l;JNII~kWx1M4MVeFBY_*gfU)$eITqP6M*70t?`1uc>J8UdUF8g1;|MS6x
zt1T?CJ{}iuw(!jV!ub6CQU-k=iAT+x%6rTcd&=3KFrSMS7TRN|cr;tsXpd21!*n&C
zj~816t0Ys^4XdOl@F&&!cwD&NBJ$Vg#D)7UjBGOujyMRrNu(T-Xy!e^eoRqKMIy^-
z-%oSJ!v(@@5{ZWdY<(pj&IvrJb4-@`)4^{$IV;%>6^{lBfAUK|#L(|6@n|BavW-b%
z4?Eiv<{!1fpExhJh?M)DC^*={m^a(th_0~Pj?6<6<~%3ZKfG2`*^%bdwOTD@N75mN
z>An(=CUO3BNj@a8x<~8~`v+k)6^lG4yNfd>yw~?Rv0YT{$!<>JPrfajKkprEs0p%2
zaB9+3TXG}asco+s&xYeIie<A64(SOWyOHT&ckzvZ;=wZEPe-S6N^YBFaKKaeQ|Ij#
z!FMwZj=jB~Jvlrzzu+bRttYBwtUDH;FPXYs{@q{2-OO_z^L<@=+jQIN!?m*&zA^B>
zVLIr%+oAA^p23_S&f82Uw5ol)x-)wJc5N1OS+1kJ?S~5fet+ay;3;@t@aM*r3petq
z|DW(yIc4R}jit`cv-wIbezfOAYp%98-~RGO#5DicrBOHI=U()0xDomAjr^wA@Y`wo
zF8`jHAO7ly@E!XrooBXZwy%!w$nRSDtKa_G{|Ljv!yoNC_Zz)6`Vg|=i~plg`ToUx
z!T-Kgu0B6!8lUX!Tif$<4m`1+S$Q`0QONucuSC>sBXcjWb54$a^Yuxy^`;t|FIPNw
z&b9e<s8%6y#o^?7Ar}8Xo)fHWzN#PGUi(iaLzeB|$=NK2CH#9ion_sAPv$T<mCs>#
zDZj(uP-&EOMSX_e!xiD`7LTrK@>Q3%Img)^a9l6<s3E+6!+-zCbn}up<{RIazgg6{
z@YB8OGn(5pANEA6SUk7Mklv?b|1|Jt!t=nN4bKCAE_fcu9P@dF;<{bjN6c&TCO+I0
z%~Nnvw{6D@G3A(oZo_lCkFuoSP3pSrx3Tm6n>$L5=IMVsr?1{!9nvHxH+9i+_tY0p
z1DU^kTcP-C2e-?`>$*l?in|$q?LI2Nd?%?zFVFCp!uA;n8=`#-k3@2x<=vmK;`4HQ
z^GBW8ESa}M{+rt=?)|M4E%2i1H(wG*<?~;azxjB5-%1(YnY#I#><@|0_e|NhyuYw4
zw$?e>*3RPc|D8J@J}>+6LMytsz3;~pseeZoxvhC)RsYgdpycPJi3iUd`0dl!Tt0`1
z-Ss;YyK_I2d&4}|K7qYlGJ;j&7D-<;3shc2Kk&GCKK`Z1-@l$0gzoLS<@-!wN9=<I
zJAxl1?1+BAQLz4i;G?=m<wMO(?mg|SeJuT4GMaL~cQYN<truF;vYeH#Dg9qFOT|0$
zmWqewE)_4$k5oK0SE+bwzNF%@c6udm-Sw@%&dRWq?<;uYUF6QWy<3OndzX&L_imj(
zXT&0ZRBCSeU>uzC@cFV6htB&=Y@I(}iQC?p^{fBKZ3=>RZ9K)fvc=p7n-_&>+!K$K
zuoH=7s?pih@*^ar^TN&*E{18MkwR}YHZ8dkcfna=o2bg6_Q`8q#hP?o#k$VAinaN=
zPGgYn)=``$8mY8Kf76kO@D$Gt+fGbM@^$>n9uYgiBjC^~CGLZ#l(>&}DRCeEq~zUT
z>pD$fcejpUnP_Cv8=XxmHv&>T4c9IB=iH<3p`w%MG_f@yX<}<q(8Sintcj`zwkUab
zymXz$Vcex-m?jn})T6s;$&A>5|ANm%RD`A)Y(L>-u>ORT;r<hcHY`xsZYU;ZA*7>K
zuq5J5%(Xv1F4q^ZPuuclNmR+c#?$iyH6K0`x10N*EqwWocUL9X-7MXws!?ylV!r>3
z`K-2k%VOPQ3jfXttE)TwwK(eE&(!PZBcDFM9&4ZebbkCFrTb}Gn^rs!(%a$M^f~^C
zbV9Js!#VD%7LNlp&sBxA-JWl4>{@tQ*GQ&BT>XIWcYWtO1>J({b|00vekZAEb)Ml-
z(cL%pZT#6Tv}~tq)62YxNo~<7Gk#WjCkw@k|6W=9`;NlCT4%%W*7MI9=-2bCx#Ota
zU$pgI$o-AZcl~9(cKlcxt-2#2RQg}s&$pHRUIlm7r5(3@7|7}OOx7jn=-YYM=H9x}
zZSC-L-d!#8EkD`MSqZIJtzvLvqJyg#C(kdV)uA^Jx|`MYs7*YyQ~zkX$y}?S{eGF8
z)AyY@mc83k=J}@A7Nt`k^OUFtUUU^={^Tt)^Xc?oeP?s6OMfhl-CH5EVWI50Dqj1o
z$2XtfJXujl?|#r^`4_vt{a#a=mGyJs+|@r9+vqN;Sn}SfuG?=B%XZx<j~2BW*=)PO
zpszdSy_l}aAqMVV!wX9uwaRj<O5`jQan_lVxT2L!B5k2!TXaT?pqNzh63?GyoA$Q~
z^=u31G88*yaLtLiXLG>5eOoSY2<w;}bD8|<?R6Dy)fqVpMS^vvEbMM&t4Q5ux39V?
zccI|Dh>V`?YNGuCQ5pxUxtIP(SjZ!<YjQxHoA=^P9qIcCd$v?0mzeIYsl0#IiE~%9
zhATgJ>Wj>Uj8%~t9rwhf7WTIudyyTqPv%|vLYBokQ<8dHjn-_v!0=kf<VY2F?}md;
z$vGyOF^AN+Rd3`h6p7ZElDN9{)7;IiZ8!233YJA^+)timqM10oRp`yOfG%6HQyZ>3
zF~8Y-LEyKp$stDW-i-&A)GV5lwy@@G%EFqBDGO_+rYvMki_GY_DR!#7P)sWMo%|+!
zgDn?MEvZngw^-3Sefi<jZp%gaH^yxWXzCX8Dm>^Ud2V)y&I{cs57x8>&Dj{xc3JJ|
z<<pwnsyFf%ihS0YlDN+Er-{LFC(g8JjiWl;PnX|ZQnO=T;=(;YBQ%bhaHr153bI?%
zw<W+XH&WxM3U}&^jD?J95gPN;bWILfaQ7NsTT&Ato4l|lGGU>}Vx1|8U9D_4auzC@
zMP{`0ib*9md;Z+8>z)(mwMdPlQQWB!$w791)@}=E`YGnM@sg8d*o-Os!KZbnB+P6L
z(%Bl&rn>)n`{Yy3t)D-+-&MJHgYR?i#pRzO9$xu#a-Y~d`|uqXR(0Gy-z~#+Fsa>X
z<B^31I)9zNoteMLq*FSq`}1+e($0j5-isn$Op*|Lk}tB=<B?OyQ^v!oKb2d$u6=5G
z`039|2iJ9WNjna$cyP+NO}qDVVBLv=(0?nI?(4nxb84u<jfLDlpH=RTRk)GHano?y
zE!HO8RTnNyTK)5WBx@7vt_jbx7REkEKPRv$*=Hkn&5S>Kw|9oId<=_nzI^`erhqrs
z3bpUw*`-su<L}ZRNqbH!o%74Sqxt2^!@Rn^3twegdfD<lTfaN<3Y*Liv+U$uT9eit
zSDb&R;qWS*br+{>e~_!q-RYTS8v0b;)mSfD?OAw**0fiteSWgDZ<%a8c=^w#iHp)7
zO+G*MaQbw)OF?S(=ho|K7MZ?vce~|u{z&^QjeTeD+*r4-^tM^4YR}(ennGuOxoMV0
z<^+EaE^hC8D}CE^OXm0MLfdMi6BKX!%QZ7NG40v4xBsr~YLWHpO<3;z_~yN*SAH<v
znzU5S^m=ud4omgt?dq!Dr?Y1U>ukODDeRfbb`Qgk(qDbH#hgCnckS8wE%K+=rd$rs
z(q0)8o0X}`z5mkXu;`Of%DF42t~qIc_pVY|j#hl9R(x0V-_WwcQ_H$eN3V{t*N>Nv
ze)+4it~950+SjVJQED~I)AlY(fAr)1m8_NFt5fG(Gt@3JeY?@^R?ya-pL)BSW^_JP
z?B?Gdb1pDD=kK-)A?>>NSKXYzW`EDhOJe<2o=|m(@4nwQ><E2-W!Jiw^1Z4)D-IrY
zuid|T-NxL@=T&{y9&|PEJ-@x|)wAhWUafsGvtq@PdAr!YUXj&%nYnuTyN-$5N?!F%
z+msrp7Pj6;|GHIEQDD{0@S8&JVn>S>w=9iM6<?CNa*xQvc9GZoI+vuXo@L}rmlmr}
zP0`wYYwwXWAzz)oTNwwJKJ^h?V{RO}JT0qvrsl&HX<3iMUlnMi-M%*W$wz_yePOqo
zG9P|cl&f2N=HtxO`(7?Dla-!*+ia`Y>Zk12rl_2^kJ_&Lu#Q)+soHdh#=C0|l6C|)
zYae(k6{DE<^Od7cb*1f{FH2Qyo>oN!H+LtL^6GVkn(mMYyY_%<!y>luj;Haf|89Tu
zh<BH-^WqAr@5ioZeLw$YfBBC_^(zgk*Rq}%#cwwKdtmwR{borYufIAH{L#ehPv{fI
z>ucFFBLB|$aFQi_zuB&^&}VP=wrI2RHJy%^zPszqiwhI=jr1b9BLt((|E!;HRkG&r
z(e=IePTSgV$-F+JcIBg{t!ym|eRo&Q`G4!$_SRGBP0!?i2=vYTrfg#VZ!Z7mqztR?
zTMd6*Nq2rJntN}(*VEr=&rT?Z{5#)vjBgQR%%x|4yB;1tCcjyE{?=>xmM7%g?kDrr
z?^O1F-1AkX`>2xtl9tPMGapPbWuF<7$E?mR!*YGi*YJCX<{3R_R{Xf?<?r<9yM?YL
z{bqa0zx>?1_SMn47?nG>S9Wm=?EROMJ$347wiiFsZ+i+RrJCPAJk#X;bc?*XJ{6%K
z>@Hr3$@><)hS$&Irt-hL|8lI=4=J=ol>FWNRUjrW^RoPwrA1ej*wjllif`2UKh?bJ
zT-@9TlS>m$mv$YGoBOaiod3hU)$J9}uPX2P`pWQL#aEW~wN=HO{%ii4UFVdmF70xU
zoBMEKIDf&V)$KoCTve|5^vckx`t<w--K8Po=C3RNN9Mhc*+1oeUu5E2n@3gCcl_CY
zX|d3nO=aCax{3S!)owhWtoiL%N}KJxIe}}wt>DSF$!d599&wR+xWT=*@Wf&@ix+{x
zG6iAolXuiuU9`$hF*?XH-^cKtx^d6uQ@Zn)N&VhxnI4k%_~oszZLWfoKfZW>CUS)h
zXQ0pTb8`+|u{~mz#kW(}QY*gtXvkNonHx;5tlZskx85wQcb4lLrLw;_BSZ_Q@9K`o
z_Vv8)`ewyJ*A08w#E)*1is6aQE?~V8)U16ZE#5X|cZcu3DN$vD$!D(adZ;83+uWTL
z!mHOd(R2q>&x(VhNjoehio;9AH(q?R%ISQ$;Ndf_Wv<i81f9>CntXq<yMy-{^OiSC
z*M6romDZbCg@@{`S11>=;K-XD>~&KyP36v}ZNjDEg_mP|i~6^8??~)xo9XyQY29q?
zbw0U~g}f%>rH6v<y2kw8Z1~S4<+|Ok=+*Y}JAOq5Pb(9wUCX;<SND#rwfBoAyj7~2
zo&Dp^#HEEtt0qO42~Mvyy8j}wSmC`=pO^)QUc~G5d%7i(&T%+B3N5}@b#H0x5zE(=
z=gS4t=dQZpI%ngyQ#s;=w_=>qlTyVCPtDwN!&S$4`&y@|<$~&KU4?_Tbz3Cbsviot
z=PI*(Tc+anEiYZ4xy*??wYwwvn^IU<=bk{FPp?>l_gWnlS%1#p=4$pCPp&%qe0e3P
zR`FHh@!qPYLc6)|mC_=9=dKg>`TnZr=A)~U%c{OI1n;TpI2mVqsAj#~<6S#XPx!)e
z{fzfMr}b}?j@_~jPZc*jcB@h=`?`=@bx2d)wY-TdRe8RgWMQr`a;=|##^BUs<F1Kv
zQxjX<c@6F?Zj*QxsLb<qg<)I86_#e(tS-lMuQc8(@y!U=TxE37alTLC33X$cZKrg1
zcPKxby8P5y$ukD!g1Y9V2AA)+noLnwY*T%vx5)9XYmRxj;338LN@CeBr_0N&xa0a}
zZRzq=A(a#MPcPHmw5q%3`;~Rxp;taN+g5(7%D666?ETfxv;3`HPeICihLX)s)+vP+
zUB5bM_p8^8u{t|f2N!?Wte17#98!G!r*^5LhRoMny>n9Q|1G-u{*T;7_UKh>HI1Wd
zgI_=6`#Gtw(~MDDZev!=np{5-=hG^`pJ{)6m)Y%o@4fHl^yvE^bk`hp+ps`P`skmi
z9G=fv8(C*Wck3RR6O|*Fc0H-vaJ5);)6DvBbDMM4TOOVrb~^3z!En<X9Ph3tE#48{
z-TN?4cN;@_*2dNyvE90d)<)$R=3Ptj{tzX-zBu|D$Mxv>J|FycU!8RFYV4<yT&+{Q
zH;q#_Z(X`hN%&0ZiO6Tqex@`n|F-A(@7KR?Ss70M%=^uDy<b6i^vTs#mDN|htEZgY
zAkg#Ym`wcZsn)s4`!<Rx-&g7Xcg!kHYxk4y(=^>GR^5IQct65FrPSQ|k@VM_%g+SN
zYdIISY@$zgM$YYD;`^@d&9E2$=~8la-oa&jy1U;--nyn!9J0tutUddDOUkrq`k&8V
z%<Fh+<zG8vZ{KI$mjT;#%9c3qGgQCn^UV9z!EdY9zVl??8T|bJk(ulNU3v9gZ0E+@
zpEA#<_B8gzgou4(j>)P_y?=jR>#3$qEp>J?7p&j=$arUq`L}sfUe<_wd?oUJuhn7p
z^>Pmuhx1=N8gA3Y{>^ZWlCjN~lZ^9zJ`p%yThwyh&hi-d{5cPn`ui0gkJa~0TD;-@
zrX6cuvHad+bu@1Mxed2Bty^ou-fn)YI6HLZrggPz?WStKOtPq4YMU7uIJNX`T2`d$
zwjR-)*}|sm*V*2FbGn{?rZenw$JSi)(-wCX^sK$JZ@-(iaM4j^w%>BM4i|PUOx<Ch
zA9;IPtnO+3ed}Ijp5M01O?zRnu33}+w9r|Jiz}kLdl&lavh#jeHSLO%&Dx`44?T6a
zN%UuLRINyfyd9Q%^VYWQ+vn(J-(DJjJwf?JSa<KDYF)E7xve*tzN|SawkTY88%J%{
z#-kDe-MR-APX7wHvFYjKg!w)fLU%JxIC*vJTi4Ssw0M607W($&^VYXa?Q>G|Ci$yX
zBu4t1hP;=}y}VBFS<$|2ci&y*EQ<(>4&7Vyd&LQ-4c@!=PmEl$^=)3Y=I5<%<=(2z
zQrXT^_FTu?{o%@Wx!-U3r<CUIexF^OdwW7`f@N;x?X;+U+ibJ!#eb%hoSEmCnOl9R
zuE#6n<fE|PoY|4L?Y8?Yb$Y&1e#7;`ecNnbOgnn(+k~>oK9hc~`m|wh*6Qrr%I~iH
zwEuW%(>Bpf`?lG>I^mj{TYYBfwCmfBzl~g>)AU2>xVrF~&EYj_(?48UEo}4omB{(M
zR)@LQ%RN{c&j0aLxJ}nryP1j2{(KuQs<%hHo~)en?WCdE&nGPMwMAX(@2WC|vTuh^
z+nO1wzT?4F&Af`zw)Aa$k&;1iQP;MKuH3Y(HZk({wmr=a&!=t8ZQix*Zbsy7uI^pi
z?mArc{`lx^SJlT<1)Iz3+S0lF*<Np1{Wi2yJ^Ek6)y?^5<<r0Ko*s4Stlj)Se|En~
z_4X8MzTW-*%EHJGr!@5*E)7<$czQ)6&f2ui+)wvF^m4IBbA!7J&aXVWWA)vyC$<(z
z$G#Ke4BO$_m6|s(acVTrhFiLA5s$@`*OYV{^4)l)@LS=I+*?6C&(qRZgWsOD{-~Am
zZK9G*VEm#tUxKUmegF0F__JTf{J!?8+I&07(!b^1k<_oUtE%?=+IQem!#dTir~j$k
zyCU*GOH4m<?Vo#Vh1UFvi+yq;>tEB=E+_tjQuEcTcV<N{Y)|<5UH9;1{Tk1^>ynFu
zZ~Lu1y?pWBjH%VD^S4EoG;NKWn>0T>{YHGQd+1)n=pA-z_9?9FW|_V(S2H&BN}TN8
z_!o*h!e1=h5&a@@M{upP|EDd%#w8nmt($+^rC`Mkm%<%4jufc;e=<Ak)V&_tSAm>;
zJ45TT`l>^kg!8uUYnd!JHDPjeu14ZschwsS*}gx!4{Xm}t64AnV_L9?{K}GU7V|ku
z`maj2dla12{L~az+RYNa>Tpcxn!Lhy_34@GZv8$vJ=Zs?+VQ93!uCbp4>w9!)nDJ_
zGSSAqG^BXTPB!s_)1+cVqO%JOb2dNSTU(p@bV~j_Zrd8>*tzdj&hP)PbnYl~v|r1$
zjcdPr-4lC%YtGt|@TXV*-`!TYB}qel!(}s>kT0*kGt2GjSJGSjr>Xbq^*N=7&+qZI
z`*f0}|8C`<$eNh^p4@er7dekrm39@z%}v}B&TnvKb^DA5SCxIfyfRd){K_(UZ&jD(
z{pwZIA9b#;%H#~LJsI4T88<g+S9to2hgX@ue0rs5SMgc?;o|WAk0)2Fzj$L?*QK}b
zRcgg6?|D-%T%B&C{NkCn-2sF7GD$o9`wR}LAD4JJS-9uZNlmx#>z!?L>`z_&TYb9Z
z%D&v_jW?b9-|IH_O9Y;JoIK6RKl<-S&ee+EPijl|YrKA>?Y?*a_MYge*<ngA%U*om
zo62x*o%q+SvfDQ)Yp*Z(U0K@nZ(DQtSD|OWcv(LGVe9%?$9$M+zv2O>{fbAF@-;Qt
zDoWcL<7^Kotd~pL5Z-TaWcBeGZ?6iU`S?mRZKsyT{TDIY&-R8g$FB|5Z;RknjnOLj
zur;Z%`H@d!^TUuv<pVF7+&gCfI}{Z2Ra#=*4_-#S-@J-?zjy`pe()Ne`_0RF?kBJ2
zxm`T6Qa>N{ZSR`7E-lzl;Lf+@4u!|J{nM^5_mPvy-<lJ1t7~C+&ZX7y@1^|aDjhVK
zJ>&jVsaIm0Y7s}@%uv3obkJf}{-LNNuY~thIDgXGxZ=^GXW5hd-9w#&zjnRUp5*Ut
zwzKH!7uA!qcbrw``_%M=+3DuT?ti*(((V2(|7J0*UVPcFcHSed*QeRX7_|Q?X}TFV
zH)&dU`i#u$x1ZGSNYFj2b@9s4`Ft}T{;K@e&V6lXo!@Eu`L7|I-F0z453^=}y0-Y#
zqiP@3HD%o_t3NGE74(jH638i571G5jH#Kpeb+Cx`U8TcZ+a(fqM)Pbuqw5y&N-X(I
zaX06*9Y;mF-zGif7xyXeuKE2yOmo>T*EUU)*K5vRDwweUPjuxwoBbCQthccLwtsd#
zFWP3&;phCnrs&G=J9{kZu#)}1|91NWmhafITkHM4v+qKs)V}UJb^FgZzo$lD{eQ`)
z-iUhZAvQOW`|tFy|2icLi=tkI9Ga?MdMsQ%KCOPno>N{gf{YZ73S>F#Q!%KQdo-m`
zrt9kcS3Uku@4wbLB6V0iaqU5&HJ2qGK7Ac?N%H;f`>P~Xl$w*b@CeO2$m`a`XqPFe
zV$&?m8{gu~=Dp$P?KjNxo1V@WeVn~hUuVXHPtR}8)n=W3;W4+g`is1$B6ru!S-5&C
z`-`NfidMHbd47CZ%$@ckyO@1O`eDUqw+dP;&CZCwFq@Mwjd%HspRFZoEYCvi4kxf&
z-alcMYwJ{lvn?#az8;2$T6iY=N+e9;boMbRaxpxZ!1I__EMd+;rykRY7DKjf!&?b8
z{P`P1l&AXq|8cp#<6?5xovYdt5<X48>E5C0VK8yll%zE_4IvswRg6R17>ky#J;3nS
zS7On8&g3tD!>nxtJHKtbz_6EB%dPkI{nKl;7pvaclDIGI|2?1Ph09f+GBE3!9AV<_
zHMsBeQzmzzW}Zal0vrBf9{s14Gjc&AXF(ks?=I-s-nv%I%fL<YlTp+mwZ+$Ftk`;X
znu*3T$;#v;v&|LEYqnkx2-eYbnUS+l(@aW}Wsi(-ZxPEP8Fw&wTqpCxyBryP0ohwl
zmD9Hc+*_$@a%gwHkJRJN)@2q+PjsF<&s*O5$*6v%xSmL=UE&l=kyN`(&*n!HCw5Pm
zUfE>lQXrMEr?pKYeWBp7sEi)=X^T}Q7Vz87S!R*<#Qc%z#`8`;yH0qRKT5rw`_YKU
zxJc~dCf@BA1e|qE4heAgZoFQ!h2?MWCf7YV%)C;cgYKAl34RWeF}c)RsmT|a(QsHy
z>d`dSyBy}cvp)Qi4KUI?*qi@FZED9fvl~gvcI0`^csQ?>?L}V6jF$8rvs>L>WGyt@
z6_L^9=yon>-sW>{=1(nur##VlYWX{3q2RBmOT8Z-O6R%>Yu}#uOGi^}WuV(-!Pw#n
z7iEszv5uHueRNX!mYG`4_LXnr8x`-(n%VW?Sc_rYY=fgJdzXZ)k&S!F>v%y(ZHmr}
zggvUfUDK=11{q%U-&(<Jv%SLEX7dFOe_fMf+}yr~M=fT}cyV7kE--yDkN#6rLnrwf
zR^5lKc0ahz-2ElFEa}tUWEp>-<>k6l9?reDb>$4d_tAS4<)SiLj*FdYPf?CFoa=X~
znoCspNeFl9j(ktW1H#<AhKDCPwagTgN}krbtRioT_Oe4y-EJPaa^<v#BhO~rFH4&i
zsMZ(=i7kGw;4Q?{tZQ;Wfct52N2{Ae+Csy&=!~v@w{u4ttPXwXVk}+K>h>bhB+;<s
zn@NUNo5td*pg`OHUY`!Z(k+D+hKu~RUtn0>TRi2{tH`v4f@0AbJ)yrGTz^{Zj+Pg6
zeSc>`|K_LXejd|keldG;?><ep`<}mEcvlvh&pMt|@qaHPvyQ=Lsiftc$~oqVJ-KQ=
zAH&Yu2|IsstMw3@;&1<Jf{ww#jK6b?4OP}(Sv|W`v2t=;rw`A`*}5i2#JGDmTy;ub
zvr$I(pu}Gj1H*uRgHPJaE^c+3dAfRUr`ycamtR|WONqShiRoBbvgW9C!i?6o8EFdz
zuSH+#-FV0;*vBkLK(}gkVD=)<e4|q_dCT8-W-hytBjdN_imsSc!s^zx8;J{Rs<Rgw
zmPKZCEp&T$#H?g@poO7uo&nc!mxsTka-Doyi+c}ucINEAXw6i&L-yFiBVV=!&)>tk
z^hKVG-<hi2I-Uk+jExl2_F9TbB`s`qi%3~$I4vTh>#N(tBijo0PBb<Ye`m-Qe(!(j
zLa{T~kGRb|8kRr3&}QS$TiHuwI#ui>d<~vGt8KFsd$#V_$0JuNPg~5p(euW9l81}X
z`<};3@6;SHSbpfwoussgtSg&lEYyq2=qdj7LvPkc$67UkwLc~l+8tR@a$RG#g{*CF
zmB7xo%g<XSIaY+t=W$WD$VpQ;ASm2sk#&gUxX%giEIAFwd}D9TcP~=8j!b(#uT^Ny
z_21hTsLt6wLsRd?as`3KpOW?_F&k?6Zx7gaWD5vikVw~Y_x=!=Z`3HU`n=Rn(dB<8
z1@8D{xqn$cGmF}eB+uqYn<jD>Hl<5iiltX<Yi*m6yio92R7Q{Xw8g3~7MmY;nDcD4
zTiwwYmj3TEo2G3zr&0Vf>NcCVlt_4QOowCXzKO|(EA%!5*sX}tkPp!{IVN}dqL7X0
zxy=$;+a-UBI=_|UR-LhW{Zv-nhZ6&Zp9=2iw^L+$#C0c5IsXyY9h1c}NfB{L3l*nD
zWwfM<NhQyJn!$Nb%2aXP0b7$(I_tK+HIJ%MId#W7zM9kL!flPsJB-Q?oM_#_R(`?B
z`OUTq9CvkI9<xaKxa>t<#Zj|@ofo@K-#+lr%`z#l&v2TF2A}kC;oXPR-S&2BzFXPa
zW|8Encqov&xA2Tp@SbbS)i=J0T*&`^(S14dI(@D`mrhLAc+bea^u@yStc$egUr6V@
zIJy1B^d&Ctav6sN)X&a$w@5uCvD|mYqW$xhy;!ULbz6Mgs~V+Qp=&b3US9h&Y1#C+
zfQ^eH*G8Y_o|U&NbWM!jOLf;6*SqWQ{}2lI{yuX;;zUm7Ifj>cHr^<4i%2>o;q5!)
z(Uy73b}$uq*?)h^%^>chebmS{aN~}syh9AyJ`zcLIhEI#DBk}y+u-QYKapvSx6S@;
z_1#-xwAgw1wz;p4+&w=pKIMG$jg-1))1K7xo>^x6<^273+fOq7`uT(-{qyyr9Hacx
zc9xF%<<~kjqVm`KefW6#%}(pxaZdB~_po&x{b`&Z#_T%(k7>s0a~}-<OHT@$8$N$K
zi{h{9uN=&Ks(Kjj{ZXy>yVT#`@WSMMHyC(6g(OsJ?!OS+nAB1C^kjp?+W0EJ#L5Tz
zCfMhtm<H_mAlLA4XE^_dGppMxUR_nT`Si+=tvV}0=X_hmSCMp^r{a?j1e||=^wHZ#
z+uw2N=|66(^ee1%ihN*sG%54@jbP@aiRO1^A7%iJ5xtGGJ;b+OE_r#l|Ay16m*04M
z@#vjh$5#u#`5IDC8CZF)xa-vE(~+v{8<Unj7wMe(!Y*G-a$bes!iddvA6_ZmtNzOI
zeos};-+O;lEgoDAp7Xs#XydY*&wlQWP~HEv$LZ<UPm1R)b=J>mf8u}iHm}HK+vOfQ
zo9<Vv^3d7NU)?S6pd*~W@XBg;i)UApWxl`S^sD$PqHen@!h7DQS1ikI(-+jtuG@Ow
z!SHY~+YzbKMss!^?!8qFu5okqllEH0pRX$2x8>_A&S{ljMNZr9+OcKFq2R`+-94Z0
zXnPj^%$iiaQe$26*RLl9*`DR!y7fdtd;f<MoMAgpyB+l^+P6FBk(%AnnDWO99xb{r
zb)NCm_beNBjd+fj=jH-u3i&@BaxlC-+qH}H-BtzB=jnU5b1<4!d=-%1Th-zlXL~Gd
z{k(*Le^#qP{Wl){TwUm#xq5lqY4eF<m0AHhW$$;2e}2j?dF*+7^S0NjIe+eCFSzmS
zEaOp&^O_>z-sY9X5(%5b`8OV2?SA9c)#RMduQ<0=e-*K|EB}^M$X5O<rHOUkEy1h%
zPZyU2{*J!$c>0dn7WZu(I&XJL*UaSJa9q9p#_P$-Z$6(ieD-tGw1g?uZ$!3heBUiB
zeztC%b>YQV&v#_+z4M}d7r)-DFDdb_c$91R^BEjcZ=dnj+vbqYe3|5B{(c5`%HN0_
zzO(5@`MCmhy=`+Z*Bagb{#&U3;%)WMPdEK;>DGPhSZ=SG|6|*(-<>zs`xd9i=4`Lp
z`B|+jy8TY2@a&Z<-yJy`m({h~aN72u*t_iFzZY!WcSX|e#}kIbwM89?c9w?%=F2?Z
zus%*m=le;`s$HjbzuCLr-7j`~zT&$m-S>56yQO_9^NTOsO*nEbZNcs*N$JM7r|)Da
zt|{u+X=i!JX8xQ7?d$Iaohknx^(Inm$Lpng9$P$ECtkR*V#DsZBchMqz1!27eU$rd
zrFZ2?vD$gHF^hD%%lFr<cx;t>neX@1oYedmrE4N~*l5+~UYT%oI{WeJkgkhAqc^qP
z+LwD<=$mE#nbfAeb~BUu{nKu|oy`2^(@Dj$Ur#u!Yl?c_o;tM7HLtR~YIC@H#M7&q
zVO6DVqD$XA^$mSqnY~15^4z)=+WVL7+4CiJwf&EppSQ1^?&_WTecAMB|J+yCpX}9L
zzxwkv+ZWoa1s2~f4^upt^iy`<o3&;4PEWgGa4_iS(Ia9phm5q<XQVzA(Oxqrap_d{
zh}5TwVYfGVZamf|dF@s~L#dfe(q3NoioC;y?`{<|DVxoC^zZql>@ud$TJ;GAP1f_h
zzc15T(;}{>QjzJ@6|R<2k$Z?C-$&xn+KI|t<!s3n`5PGIdBh$qI;bRL9?>Jr=3Q_p
zLDTQ#;oQweciv4p?()(1)U<_*ZSKsQz#if%cKEGlzgOX@nI)Aw?!KJNS@t8P>#p6*
zhdccF3eKpvTfCaAEc5YX&BiJFlh>WnJ^WU2y<fqF)t@_WuQq<O{3iF;Rridnrun~-
zowRrMdy|iBP1Q@^I5Y4~&tTd9`F*p6tw=a`-?e42?Z>u7IQ@~#7m{b&6|ZIT(MEK(
z#xqg*zI?`RUq(04*-kxbmvk}=pKg92r{$Yx7H`M>PTMRj?cm;@@<AIVrK8MEOr~$R
zQ!aK|u1U02Zl9RO7lSogUsxhyUvO?*c0o8X_g|{i>g91YRjU8(`mSEQ!S{M^o0)3P
z2WbwoD&vc7{-LwEWLQpf$%sUA$?!yT%P?*ewQ$P$E<P=({r-Usm-8QVC+=4~lDA*+
zklcR7V{!Wx58CZlJeszCVW)oZ6Ypos8XqK{48QKXC)7r(K)^<?Aizemz`#bgfTber
z0msKX@ey6(b<Bso_bVRA-miE>dcWeK=>3Xr^8YP;FWk@fFg$R(ewK9I!Ge4td-J4)
z`5~w6p4lIIesbTd{U^#7Jmae=elmOO5%bGO%K!O`%;wPhx$OIykV8+UVpzhn3IbzR
zA3R#Hmo2<O+H{B0oiztVABOSj?PFhcaOwj`UcG(JT6T^i-@7I+QjJ-2(6wLzoA}X#
zQZYR3Sp}>XG0oaXCQ8K!_Fa3>Rk(&Nyy>y&j-WUCc8)yi-IJ44=d3#DTCnR!1EZMu
zY^OPzX08^|IbDV8ZcKSlvr+SC>mn<S_d@rkcEz&1_r5vb=<`mm9|7IH50Z7wy8O4^
zkg%F8mBYC1+N7wCbz7H+Jq*=dd2~lex9-8CQ8|Blt`)4)ICp)5g#6)iSCf=2qPu$^
z`RJOpUEX?wY0j#nVvkaEw{aZL-gtCJOt<dAsZlwaepd?CNvyj%K|=oAy6Z{G5@F7_
zQ$?J!HyZM+JSvt@pu0_=IcuZqi?D88xBXE$oWHImd0*V!Hqppoy_68Q*Ne#R-h>2Q
zv#xhrZ%7=wlEl4Xfmn3M&#gC<&a6Eumh7jyjb(H8#-%et5AWnM+}w8LkWku{B;^@#
z-MvXsx@K)Fx87j#S$R|}sYrJl$K<SyM`uKI>mF>0%F#S_y<nYE&uYbuD_S;gy}_~T
zO48yNfuIq8-o+I?)}}jz?yNdE_0h8TO*#LzpU9gMwe{EiBkl9OXIz@|cXC3}=lZ;_
z^;7KG&;Fe8O_%ZDk#GO6y!xN>;=;b*mBFut#Ex9;cu?@^{Mvu(ZL}Wt$b5Pk&!>6r
zq~iUlClVXDizFT`l5yLi(q6M`s<YkNQ;A)xJx%*X+*Ky($F4i6c(BxR$qvPK9`mOL
z2XrlY3ZwaybEY1a(0*cY(96<iqt_|r!-1My1wLI)GSe4vs4vkt=Bepb;MEm0$M3=`
z`_#a_J5FqfVr9vm^#9f?d+!A;Po)%ZEEDW{E0uU-;Y0>&Q=z2kZc1;idGy#yd2R?-
z*8H}zbwR}Hs2`H|)(h^Nd5z<~MRv=>J#I`T{-HvP+TE1CT=D3Mlk&U}t*rTLiI<|p
zwUtY`HpH<inypYc<R*IL#*!wM*`X7Xx?_&0ZETblTG^6N@a2Bg-^o5+71CK93zolo
z)V!!qV%KX82XonvzLWcuN-hTWoU`)!;CVj0tAAmXT$kgm_Z7c?Ecn}JKH<C7qKf6p
zZE;mmjL%+a9FdWAow0VY#O9JI3CsF|&RjUhf7qdyMPk83fxo6g3+KBfeYxt<WGD5|
z`}T|YsK3Q-xBjX8yH&_p8$4I=i?M`jeX}8tNA$7fyM)-h1P?CQl3KCmac<rg8KItu
z&RrXeP8j&{G51VAETF%dIbSwEy8NlZvAwTmM7m$}Jtchqh(}<<!XS~P86vI{daj0U
z9)V30gQg^fY<+$GYIoqzx~<c>96l_#<$ZcVi?x(u#Ztkp>r#moizhPVn+iRe>!!5l
zx<?Ox7MrHrs)mOXxtMIi96QvtIzEK38qQm(aIjc(NrmF`HJ&|jmsjg<^Wj()x;vot
z!_xVE71@^rk7mdk_FM{Vm}tdi=;Xe~@P)>)!>@LPxQPUB-DB)?(WBv{)WfxY%7SWH
zD#uuQRV3C=6nVU8nptH0q2pQdE7X64yjA$R=ij@dK^_J1e42766c0OF@_g{%bGDgw
zn8W{x!LeXVpMqe%V4L;ptY2$dh3~GgmA@G85ob4R{}ku0@S+nRqWGBiOgt=*|J2}+
zwPnx8I6mQ;3C?!EJt|XPC_SAx^@HapqbB1bkB#wsl6)r;8=8wG9!-#Ov(RZb>^qUz
zw76)-qZw0<GsG^nVN;cvzK8K3r{xk0&32yUPYe!ZTJmi4=Tn|D^{~YAB{3~CRJZQu
z*sh{`ELYR3z`ZNzPVfKuVgF6Lqs-0!2i@PrEU#H$_pd(suQ~7Muzu~Ul6%kW{Mt0l
z=_lt*A-!7$2UVq)?U4EZJ?y{hA>X8&T_REw9xUS2)SEG3z1!jcmsW+cN&j?v?WJ>E
zBz)=)#_LOLOwxq))}2=S=pH?F#-o`fK~k>`^(J0Ak)2UfdgbYad`?40DS0Vr1H;Uj
zFJ4RE-l8pEF)8P#;N+(pY?pZ?-rk>>_4SSU6a(L`A6Z*&*&V%qDJJ0W(jS*+azCAr
zG*QM#XWC%~?<WRFf-HMB`0yq3OgPLE`^3OCT(e)qSY=|r>)j_47VMV!6uL>q=*yJD
z411TfNTzuOHf#(MN!ljjIz!pj@R?U&Q)SSUq`FI&*tMRoYPn~n^kT7c*FLMH7t0qj
z{GI%Nx2V>O<MVHoy}9sg{o4xZS97*}nLVfLU&Xpx^0RM+e=^!ID~0uA>%u+_w$~l{
zS4)mu&$fDWd3oQDl-S&TzW+0F{w>VCeBMHOTS(+@p>rOB2VZV@+Oze!?xzVdLNZgF
zyO@hkY>4M$elz*7K=o6DL!OpBAKmzb_e?u1vVMt8(40vw_mtvKDIVmuTvDOe&U5~W
z!GWC)T+TLAL+zSRC-xjKn(<(w%(5L?PbVh!t9-iou~FtzXq!yXoypE^yNe_q^vSgC
z&~2}=ns`{k{Heh~UQ3_C*i*?3!jn#<AI~m2k>2dVrKxvH@i40;PeB}?^PH)NIi5c;
zICkyTt2MP9kAvnZ$xL1(;l5PkprEE#p~av7QGdVdWZm7EoLsznSKG0^8lL0RUes^-
zCGL8%#X0cwtlhPHZ_Mdgp?8Q?K_YT4->C&Hvh{(S2mN{j-dy51oY%{8W3k{pMH8XK
z`EE&Xu6s0{mGUh7pzo!q;kL5%LF|(Wzm)HJ^JTX@>Tr|j%ThUD*!yAg@`)VkCPI&w
zxCza<<k59GOHsmYb?bxL7d^j}@AXd0Y)P8tCXtq{azLiHWyb1>9G^{ulJ~g@>0I#W
zYRyt)>IvjNXnj-ZtNWh$y4N_43iTT3T=i&}DaE-lMp-Z{L*<y4X|rNk*!I^<E;klg
zRiuYHHsxx0Y>c(@sVuD6uuD-}xQY3yZF1|B4YBSllS__>H#N3NoO`8lKvK5VV(ns%
z<t0aEFY6PUb0M(nabuL+zWEo6PW`@N__ln{WZA2N?^CUkZY*2O&|V_)XhENn%mqPt
z{gNpO^ZSC{Ts?JogTFTa`@HHc_dovI7VTd1;<DgjKUvlr3l|IAE<IviW!3dD#+`HC
zOO2z!Yi$_6Wj%dp`@Zv=^}VlhuR9)1?EA4S-knkIg~pLuS=SxQ7fY0Hozr$>@z<#w
zyG@0Xr@INgx#H0!8+iKJ@}17duSI;FKFu@WNbc=t*7L5`XGR{L)_N)~>umg!`PUQI
z3SCdW8y|H_DQRP1)cOdi4G;Bof3HsaI3?8bZg1C1_ne?d-z>uaUi7b-wN58MpY^7T
z{}J7t-1RHnYF>Vso};U{NJ#Ir;(hm1it@HkCOn)a!?r`Oz2>4)yI|gl#GdM+84ns|
zmRTq~o%m>-%BP7B3-+BBRy?R^xx_-FornF2!GStR@$_cuVTsvK4G!j6`fSwRAK-mN
zcc*nwAM>4Qiv+eW(KzI**;N?WB{XOHB9ZBzRyMkSO{z5wWM#4bRP8FM@o<qFhh9d@
zqdjgC=Q33e2==yEES|`*+*IiC6gQzcS3J6ef67EEirfz6wm*E_)TzKnS;XD+(1s{w
zMzJiFBTT(6GuBU(_-ryMVVzshnLzG?>9V3MH&!(XM283@_H!l01UfdI)_S1pbk1XP
zgtX2{_X9m2SOe^Qp0&*Ww6?f!hqt>(cgc|r0q%@qFEozS$bMv<-<RZbDX_`X%FEDt
z|ChOn^K{qR?5RC-sqVP+2_M<OGZzF8m&mftShiRoxKt#ut1rptnqa=VmDk2-ZT|Ja
zZ+kv!UFBFG*y}Q5^+bu;Tc!x>T=wW%npn28DJ1{o*>m|@w;D7>vMrvGV9+Lc)o}f~
zmX|>;25YTsx}uAupG-*DD-*P4vUA(kB8h}vnYIX(cEPZdit#PpI~UB*a^(qLqH!Qa
zlgrSvOUY--A_?QA8VA)hy*6&VrFnGjGNG^)4GEQ7r%!X_bpB*o>&C&B-I6rHO@b{;
z#i6{n<;D7m9DhxO7U!4V5@<=9IV*XeVvu8lsust_2v)&!s}znEeAVD=Td8m~z%t!8
z>C3B_+>KMK<7Y&?brKD7ikB7Sxh{CrK-Q4wYG8w+6_;UzyCB<3jbjeF%lFi0v?T6v
zW9qr=(Xmmg)4)yH&@Ef#phoW!iJ9?x6dz5Cng43n!g&u$>uNn*RDIU!%|D{MQ?tm!
zFp^JF?POwuV39;plZ=~$e!JnZlZj0mi)JMCOmXkJH|d1?my1On23~xcZl@Fv|9-`w
zkayB{>R|!@CkBU-EqgwC@Cn;YIV{4z%69L(h0{wS_BHR;ul)J$?JAj1uB&7|eO(~)
ziFKjOC((s6pHvsh9NVGT&bjV%Vpn#M9P^hcpH7sgepk`IKe2RjXxF-;6Bk_hm`kP|
z7MTBJiBip!!xHjKVp?7(&b`gSyhP&|kEWMFY*&!aZ||~|^EI|VnYZ+&t|jN^u>R7k
zOC9$8t(v|{GiCded$$Y@N=q-Rn47kH8IO7A#?0CjhbCUNB{wph+I)YqO$y07x!3FI
zj7Lj_%pbH)X`dEdbUsyhe)4klBA-I%S1)_NR%oX#c{=UR?wb7Qwxs1h<Nk#*+dV!1
zw!`3ddfB=6Upc=i?>doKT{A%_<F|Ru8GQ%gLhp6ib(g1hL>Jv%wO?fWlV7J54>DLT
zk<e)8X?`-nzphB)QJkspqiJ_yEqe;x`GoIGJ1jDNsZ8*mNiKF`cc(Zvy)N=7^yQOW
zcPg<#JLnLP?UGdwVne%}zD!!gv3IG4o4lsih48MRFWu3<9O}H^)zy`%xwG(>xO@z7
z=h<E&@}O`^>^X%`x;xbaz4uSdKe=}8x8r}N-MO4K>8I|_bgM-b>y+EXt++k}xhvj#
zp>b$&*5}-vs@s=U9bH_@xq4|wLR?+Hmy7D2Y0h>|ClrrHTc%W~w=>>5o!G%&bi&=o
zvge~GpYWauhecvneXgwTnmXx3bjtNVVUsv2=TCC(dRKHpdalf;F!lC5H%}_&mlvIo
zo+qOwq1dh&=24jvq4;#-`95t`{rR%tCl!xoS*AoNw=-TlmDo{QB$2pR=GYB|c22WX
ziCs^F<d}7)e>#zV{OqLZ=li0cOh{TS^GSBE4BHL8cEz$2i7j)BB$B63=@$6AM8m0G
zv&+z-OK8uOsI0DV$1?A}7u%mqW4n3vgqW)EG*;d0yh8KF{KHAC0y<sM%bJZI+;vuF
z$u@D?7^ckg+C(T}ubY$36_1u)sfV{CdR1<$nJ5x{#VJ51Om|Or&Q*?sX1xJ9*EtUJ
z^|IVpIZ<G@iBRHpx1={0J(~7Pc^Z1^vK$t=dg%8BfnEWgs~q>AXS5{laQm?;R$0+4
zOXZM8?}yxWH=&-39$g!=6q$5Fb@xo}z07g2qW8nz$!-#ASt<t-dRu0!naB}rB9y#p
z)<J>OAp(gzxsuidI5thy^4REQ=aX6(u~#NottK^MF1PlQmeW>BI~FN-g<2);Shkqq
zda1~xw!R;3PVR<zFFW+xN~S#86DGHB#Z{j@{kg0C_KCU_M7WE%mmDbwacAs%sd1!G
z*41L+VhQ!qDG#Rf1<hHfS9Q8${qe1zI;<budVgfgQsu6nR!I>H7Bg^{iX=_!Q_{H>
z*rRIYwIRe^b6Vz8&JB^;{O>DIZ@vF<-F7GUn%srTZIxDB8=~A5uf5PX6eW8!V);{(
zBVucFU2m)s+!u4*qlsI}v(PZ(=AS9~Cw;r4)f~T0yYpp7DzEn4NwX)~pNm*lI%DOL
zuQNhpBc5-6lBPY4?aWE}**eE>hGnix`X%^z$`0FS;q@VVRkvH-mz}16W!i@BlZFTF
zrtvyX-O;k}=aD!@mct<qhEA+J%Ao=aX3xs#c&F8IA(+*$YNdi>zUYz{i=WI%+Mo1b
zv0J>Tz?Wb~*LqO{o&d*&MlB9Q4^~08)e6T1L{%hKHHmCrF{ikV*~^p3Cp5|KuSQ|Z
z^i%JXdCuKVxAZgc=nVdH?(rv88@oSG_OFjgK61{cdFqaqn_3DpmNIqC)JmAKu!-Sv
zs6f&zE+w8|#~#f}%hL_|+osG&oGA2pp?{~dPQW9M&r>aq*{J#%gm(s?$?UApjDHn!
z=w$uW<R)P+pTd|<$v(}(hQ&cT{|t@`sy-{8ArveVl+@PjC6h2)q<g>PhWO6P`=Lo)
zd%ezVaPMS(GcD<3>EBqdGq+oXg5LxrwY~L{dC(xzZNH+-y^}Lf+wiEaYTAyKM;P-w
zHvW+KQ>v=AW7!dr@ToH%P7z|?p>y;R^SkL&W;|FV6dV(f)b`m+=D{41ZvW}?Lz9|}
zy?hFzIwh}Z6*jp3pXDomYU^>s%GGP~f16+A5`VT|>v)athxL)>TKl!nZZ?c(W%(T9
zU>MHIQye0Yu#Ly%_S~gR`?iHK9_0}=@CkQp$gDh9pK;eOrDU^^a86LtKB17LHeRnY
z-)n<(KJD=9<P_63JSwG{7NL7|k^S1Bq<sm2No}HDXUu;F>HIoxq^cIN>WGN<lo<=(
z?>}j{zC=^(Z~8PL;WHsgagV*uXvcI`)_QMzVIMqoMnacRuuoXhzWu?E7=)Kbe3{Sb
zb!K*pP;gIhQrku^nS>sZ?tjKnot$o(hDSYA(<BxiVSM%{x=i=U`nOV6TaP~dbE$)4
z_QqfLH$Bt%7qK#<Zgq&mh9FiE?T`Z-Vptic{f*uhA+q34yTkOXmV{2XA4ir6+HK8j
z@z3KDJX_u9#_=q>C25|U#Ir1w11hZ@ZT~;UhdO<5R2F$}dgz0nGUGihmy%9%DaDGl
zf?eTKi4`j+GL(xdSsgF#6|lL?aWuHsz~-Vy!*MRbyH6&%amZ!0JZf~4c$ckmz_zu6
z@3WI~jp5ok+!r(3vRV>5+?aU6I$l^cn>ZN+D2p(g9J&y%%=k;w<<G+of3JB*=Wl<#
zxKg7kyJg{YH>NKk9Y2)wY)zal#43y2H92%4RGD$tiMc@~Q_g8A?3i@kMbu&ApL(r^
ze3wvxgn$31@CE<dox^Q%C_Sk+K<5(2;iO)ch(!Vu?=O{7j94aUCz9EcxYUj5OlZf8
z8`7qSo=5Zs<Xq!8EY{0%W0k<gjoUL@5}MpJ*s@#9Q+rt?Ktk=AEeSi^eoPBit~tMQ
zBEw=)C97`|y#hT~Ip%LRIb`6WT*Ck|%-Gb)AX-_Z*yPX#FJ(qE4VRKPU!@cyRtxT1
zcacNh;WK-jk<f#^PA<pmmJ2p*mwM>D*-b(&Q{@16Ye(PT80DIbYaH^kOb@lE^|DmV
zus<HGX7lj4mck3w^5}~**jF{Ye-SFMV1G=cPsy7)Da9A71-tB|5?`#H$RPie$>8F~
zYAv~o8{@O(y3C~>o(_5&&Uj7J<;oGAUV$?gIF6R|8u(oHXjsW5C|lj-#-WzolC;Q8
z;#juI0gcv<zPrxKHG8ge$iFu|biBIv!^b$qMakvYI1Z{W4Rbv%r*uBqr{s;C6z7Fl
zkoaW|$MD{Ol8YS9>AfsJmOKe4dDAcTFk7$pgXwfP3AyZ+^*cqBtiDP2eh6DGcyFWW
zp?03$4}EJV6dk|D@&1O?L)mEMJ;~WB2dsAnce$DS&0F9)TY5E9m!VdI#9F3%51x4O
zyBwEWDcB?^wNPT6U|XWp!{RAUr(~m*S(;6q3_X;2m`#Ne8k}5?zgsWZR429Y#cIJe
zJ1Nc!(Ta=usxw<2wACar1)fefIaCm(%;=}-Qu1c9l%mB#!LG+ri5ANyGPr|cPq0@&
z<{HP*vR(t3D;^EZpkUeW#_=t)C275z#J3EU19`0-eS5u?S*%T+HaaWww3`S$n0Uw2
z#ne88@gTEkz@2c$!?vOyYNznH@ZJw(JeVsQa3_fIu&*eKg*J2G&g-EL1-`5z;h_f#
zTv-|QPCHzAttu)I6T*0uRn%ZkxMM@HkwEfO_DWa9wo|&FHhlVT{Owxerq>+Po`+la
z-VD9Ed_i|yq3_p_^IzVzsW=~b7qWM{z)bU3s&_liaBrP(`plVX_#u8XfA&35xXtvt
z|Ia0>{mPNkQX1cGaP{A*t6^}4i%U%H7wbh|KQ1no$<0hhrz|*NV5;$<@n^i)JHwxL
z)5BG*wx0Ci<WO75c+|!8LGw1L2#NDt=j(qomX{{aX%pzVDA09L>X7<dsiedulRDOw
zx3meoxh&ANSL)CPcW0e9Awm~j-(L`DS|^pXuzONR-cRFD;U<46r;mZoKTP61UEY6;
zbJn<*$#FQEcjJdBPnY&hu9ZvfU0U@cB(TH4=E{+c9~?Yg<Ue~jbI4_L92Mq$SS-T3
z@q>@2OZ%4?XO6fGj-%eZ4?pYkK1^M!vgmu&VnvpG)0Rj3+6?ww7r3`-ic3kUylKn!
zINpUn)+pB8Ta%-B&vf3S;&jGC#ut2fId-jPbmcdF@S4$d!v*iDy6<NN<nt=-SYhSy
zUg}~ZZ=%H_MX^4uPK$rZQceXi&Oc&&oF)9SI$DFhly)7yEag<-=KRAZ*jeISCWrj)
zsV*f8#Z6isOlS*;xg^kbTI$epl~o^uL=J|UD(qOsCUX61!^1YIh#f1L9=*t4$#^i=
zbit0rY&_*x8~mk19eT9hUuj6#@+w7W@mUGe1c}vbVs0xMB(m66G9Gj=T_CZ5jpy&x
z15Wm$0+0RUO%)_ov56F4ZAk2sikPvMDe1+`rEDz5R~wSrqzrt*nYkZ_IP_RuzS5Ai
zO3J_|kePdO=z-Zzs~P8?S=HFe8TK$!{r`KGdm{5oKF)jMl~DaLZkM>)jj$RPRe8UJ
z+yI3LVG)fmcGk8~$AgDXEl5aq4Jg=Pqp>4GOk~mvNs*umNeiK_wT#TZ4_J9QSk5;y
z9o@X(fV!>Y!9$Z5Bs?w*DEOeEv7<slWKxBINYD=X6PAZBIh>1R`p#r1aq#Yf1J-L6
z94JuJh}a=2l5>ZLi!YCbRs4FhlhE}hC$4a2%k3L1ClqTpYBx$eij8(`<TrL~e3%rl
zp+HgNhK0O{%p7hmy<MzP8+&~lH#GM)Zs_K1ED(Cl{7~fD#zVcI_^w{f{VEamCv_r6
z+Qx6+@2~lBx@1<^($^LB_d~coc&1r8d|W1z@FRv}iFCHm4!wJq=JN~O(O}oeo5su&
z{)zGQ?ei0g8osZ1%5d~UQA47O;)A#8vA=^F5^60SHtvu~h<L>Ms&o7NcX3Q{4GYpO
z9WJh(Io-+b!nG3@b|2Vd>#M2q@NH&=rGw!jnFNWO^TK27E=&`4{%YEv_PP4?tEDek
zHFiy9=CR!qTiv)VhN+h8%<ZoWoP_SJW1r3};(VI1?c}|6dmUzfUvskeTYc=u^sQ5S
zId#rI+s+XtvP~mQY+FTs*o{pac5O&a_Dwu}aMPh_-K974L;r~0&sx3a`QKN!j}>)1
zn5*yd@t%0nj|be5KVER({PBd_^2ZzQofUUa@O!kZniVCz`ZDL6EItmF-OWr#=Pfv}
z!CWKahPX)18-6Z6J{DH-_E|p-g2g_4-L-J9O3<7suH4lr7Z1+-Rv-LP+-Z$}LSk{@
z?1aF~+2#)~ndKi`n#=9&ecNo>)NMZnf^U^=T=a9fsQsGL_IB68F2AU4U#vSjb4~VX
zlcaaz_N#wP6#BG}v3CED%A@_PqSq^)oQU54!}X}YNrcP$_^xx|DxKS|Jxll^yYz*T
z*hThfi$0$;nfz_vW|7!qO1~ZimDtHHyL9jSltrf_T;_*#om1bkZOiw&V71SuEc&du
zQuS?ZxaO`N*Dp7hzNiU$=~aC{u1hajur^K8YQC7&rF+|_g0%*A&C7Yr{o%vC?GU5l
zy5?m)p7!CM`E;=KH`j#+g+5xX40z&ulug@Fur#aie9~H7^BX^p+G=m;uv;oJSAWXW
zT73=I=^H!jc8bi^|MY}y_ad+(F9em`YdpbQmI!u6=h7E73suc;xPslNK4sD8rIYR?
zh=Z+>kMBAsZe7$I8w7S@j;2-ox)Z!*nP82EOJCHeDw*F%1#5JlzUZ@S+nt2z5RIWZ
z8)`W9-&V(MJm?kRA{%*UbHyr$ybapj)nN&OwJw@gnaM@Xv7umNBMcw!arK-SE^e&n
zC|Fuyxaz9f_N}HzR|ts&9v5BwNp#zm>s!IRbkW6Dxkb{^;XPW8f?MMaSKU_IzWys%
zs$FEU+U+OXwl0(iZBlyGkaQ(v_MLTRVv9kRe_@?}kyGnlWr^|cxn22UOt~*l#c*%?
zF}2S|&8(w8`Kf4AbW&Rw$A_g|`Yr|gWTrk5p8Y(0&yi=-g%|G#JZ2)F*cks&Nk`8;
zVMo+49r?sg5Kqf}L&4gfwKXk~bw|4mcFP<*_SI<HXTfK`MD-6HDq>-uewtBS{8Xcg
z-ZW;-m{Xr_ZWmwi{nXyQ`W^*)ZR4${HgVn&6W&#1F@NIJq)9J?_wHGf_3gOI4)Ko2
z6>UEoXSB|WP`<|OI`L7Ly*tnJzmD9~|2RsE|8-<9zg55f(e0%P3t!D<p0)jS?!VYw
zJD&DGd$u_Dt9$Y1i`#xIR{eNDUUz2ywv%A;qP%YF?|&I9Yqop^lci@xMeF0jwZ%+@
zyJoWpA1!}yMESm~@lBIHlls7q&AX;OGs#_@7k=}`jCJ{|*R4IhtT@x|?20Lof3M$+
zVgFcHud6&G@aO3+{iZd`7OvcA7JPe(e?{8T7gJQ7mo3#iEqri|*F{b3!pS?lwm#Ap
zR*(2OwdlX=yaOtm8xwkNIv8HfNs!1ji-<_D;pj?rJGbG=30)nNBI)j)I|q4`%MI_I
zm^>rxiKfp#uPg`Co6k<onw7(}`RTFH1eW40JxS}P8J@Xp#Qk~enPW`4#Rj3LV{|TU
zQV|RPx8u=oAz|P8&Pi8Ngd$ccvYghc5BgZHHOZk~R!L%kvj}r(N8$v&NQpJhW^Eat
z{5HloON3=~v`&>uN}k$g5wTWL?^=e3kg};tYhBi*DF=4zUu}8tcKWGDnUA)9acJlG
zRln3x<a_BxiFYq2v|3xGJl?7HUL@b7<>A)0h>E3(W^q{^&Eisz9&Kz>`RL&sRPp0!
zzt%qWi6zQyTC4S=FH0)#4|07u<Jg8%_e1{Ja9o;udFQKB$7Y?HcB;he_LQR?>z4$s
zeekia&n`ypDwl=5M&F7*p-26v?opk+a<zB*#qB|7+L-%xiRl;V#r6k&`gwe%c|`v;
zMY~HU?}jj{ZU{Kd(U&QCv`{zMVqvP7U)Idt;9brOBvw1~JT5tLs6uv=p<}y@&lN@S
z$t4<{C#|L&64@DApknty`&khu&xH0sdG#AH*^fkS_s&TyUmH>9X>(u2f3?x|pmTSg
z>-)}0n4I-o)o<h36Ov^sjjjisxHHp>^P0J-X?SQ@{oDhQwkj6O+eMx~oxEnJmAaPq
zo~<ueH45FC@O*3PtOIO2n>CV~uB)A~P83e7$UY=?Z{~*H@9{7DyOX*fu8`a&wW2kb
zEh~9<qt%VX1$t$Y8A8i>+@~D!%PlnN6g}o3tR|r{e~R542YqGr7nx5)Y<uP`+&wY+
zMbZ<qU9<T5lQwV~*ZjHEpj%^<C@t@E<6yw$eX%@-4^@86DB#+=f0EyyXJvDXb*JjC
zwJe#uJnHP%ubhV3^J8_ltM+Yr8RhsqWVMn|<1;PgNlr&9Pj$>uDX?4X$fACEmC0vM
zwSp@SoN}5O&Pz4qFYeS0e6r1h^H<1AO;>y7)ED^+#MnYkZk@LL-pL!`fh$#gF8FsI
z-E&fVg5#+p+%pn3a0c@jCe|rw^?N@kNfvF(T&eLkkj=~BqJw6f=B|B8sXMY4h`p0s
z(d*9k>cK`EMU4Q%qiYWtA7z;FJkFQza@f@H$%lPsJX*Ktxkh4}x?0Y|m7KRL(hl+E
zoi?7!bnaQyk4Z_fQ?G2U*gRz!-%Y8U@B@A)wI;22WLO^Zch{rz#E8h?n>uP=A61nz
zSufUM*}ZDXSI-lZ4)l2NA3Wp1e{_up|KT?)bb{Y>Z!(bS*c4$QmAbJ|=hUMI6;qBL
zZPM@-y1Yn7(`UN>>zw7QuHE`GrOa>Yl~Xpe<QED_X!pOi^D{ViLi1St(h~`x&!?5B
zg-6a`neB5up{eGsQU1wgw%lrUs=C3O=dQ}$^he;<^ZulDt9BN<?_Tyx>dfT>zm~mP
zZ|M4t!A9epQr)UE;i7WKf8O<574&3}$Ipz$RxjrJtFp4zoLqW4dD*IpBVju$(pGl4
z=ZJQv_id`U6`E$e^VPDpwo@$6+$=brxm##FbG7)vso`JW&1a|4XB5zMmCbA8DF?}E
zt5<3y#Wi<NF@15-hpC%)N<wF2kdA3UTWaX3PeNPl?mF(7URT-DoZ#BhJiX`M!Q)(Y
zT!~xlru)|XTdKcXt?4M6*T;X}wy6@ImTtOP#k+ssCTFSa5L^EFk3!5-S4;mCFo}`-
zUo$76Ws<pRij=Xg>7OSuE6>c!Sk=^b>Bu*Yd^7E*ku#1LPqh@R-J(`?{M7o(P5l$s
zSEgBazAoG2epT__%sS23UabWz%d#a8^5`y}u`-os^OiG*a&$Kvx}TOgbJa-v^A?@X
zpHb5eF~v^&_|a9oR}GZI#WE$2is&X+{AZWle8E?`e|`PdGmhfA#UCSg?^m(QjO3F4
zzd+NdjdR!CjVy7mC#A6Dmv~0aSg~3`dUDB$gDJ8_h9T{fW~_47^a-9jm8tZBa;h&=
z{{MtWEbphdM@)YB_h3k9{?Y65YfI0r{kqd+*@|5^XWc4UelAI1)|w?VV~VY2`#v}<
z|7FU8_EqxQ0bTRhPF?VhODc%EzjIY_ajIUsa_FkZYu}$Ku8Fw%zc6Hmxa_3|F?C5L
z0j9dT4`(eqW>Tg7dey$oGq!Y1eNz`Yap~*JS0(MfEj&NHOI9TF-)rIO(8>?d9N$(f
zOD}zSDkn5}c}(px$?VYJ<7Jt4ZXdE<|6VF4^yRX1*S}R84f*#@>tI|}wK_j9BmDK*
zjO{Bwy?yBPH77Kb-~7t+$N3kJtk*wwe@#?=p>wd_<r|l7Oj`SVp6@EINAqg9Z>-Sz
z%a-48);~vj<HA?$qW3wYr8dS*-Fa-8*uLkdR#nAqWDZ>#ZYIEEB(1?Vo0sQqXsu6j
zba5*0xmA8UmMvTLCy_t2RM@xXV%+@acUOu0%?>`ddg{7WUZ1`8?AoT+sHf)hA#xvI
z&@qwuJ~JLJ7OPf&%@~^f&0zg;{#!flCGNeRbWc_N!?_mDd$SCVN(-k|=-P{g9B6f`
zanIJ6!>RvN+MLz7m^bdkcYp2)`t_T2Yd)3*T)o8AmlGT+bzb{_=23kfw)H>wYk!{C
zs>?MH{a*INJ2q)ixUS5_;Gada4MblEKUJ(OKc1z%deh7-nZU2UmF~r*eD0xttK^;^
zS@nEjjqUm4oN^MDM{85Rb#G_C|2NK`)9lJC&7)$>sW$>wzgRoV(DCYdQ}$mwKd$Nv
zx}*G|s--aW(%*W&pDC$pqy%~bdA-iQ{Upxpwz}#()0bP93`4()^4M%vzS{Y@apv~#
zf~)SGZT^`ox$aqO`UWA3by?D?eg%h4X!=Q69@bReUJ!M)+<d#X$=}9Rj_Y^Moxw4s
z@4=brfpSfMC(3mdPn2u>JW-BYe2V*(fSK$2_pMsZ?D}eJTlc9SzF()_^zlyr5_ar-
z*wY_OHv8M-PCYv$Tl1?k@zfE^FIG+SPF+^=|GDP6gJ#v~?Het=9((S+YN2)X%|q&Z
z-Ju&<9-VfST@;~lf6*!B^$hx}`vL>rGtObX+BJD?%&+i}%BNpXC!bp%Hs^Sp{r!;H
z-rM~jtjbE!l;&8s^4Ob4sdryjc@#MFYv!%`)gSZR&F<*R^Cn5tR&8PV#`j}d)=N!|
ze#>C-%i1R|UR`BVc*;5>CuHvTo#%Ewnqj>6))CHSD~0k!b9XE=UKXbP>CUXra?<k_
z^=U{PO$nWS>iqlZCq(u0-gKy_pWMhI<h`gfOXb}g-wTROAFW&r{n{mty_(Q!XqA$@
zHb#!=>H8~dCv$Hq*sR^SwCJ#gK~rj!+s2sF5@8uLTc<{)B~QIG>j&p)Y3GOQ{%ZYP
zaxV4No-R?*9YK0>cD}2{%-h0W3mojqddYZD>|^9imT8$B2a8gR?iJgAe=@aJPVmlk
z#V&TMBOe0Vb?#hIl<qG*aZp&c=wnFxq>5$Enl|f$rVAgf^5ryIb5VfX+w{mW9^S$N
z@5#*K+f7wEt)(U%id7XfJ}fTf^dZPuLobWtuqW@v0x!8{Tcu~-p%%LSd{Lja&-ffZ
z{YQ%CA;$u<LkHEp1o`ZICoNZCWuJdJHo$d#*4nivuUxB=zjmOLgZ<!A4)&v|mG@p-
zb}fFJwbT7$VUy{nt82vG|C_dB!u0*KN?qg9S#(*#7wd>zU!=oxJ=8sV%LhNbMrlF5
zCU-%;uE48l?1w!nttOOLlnc8{XdEnHUMi8cfXDgiy}hn?Zmv9Ql2-I~L)bj&%7{Cq
zhXg8HJ}0a*YCrht!VAN~;~dQ*wmZuctr{DxTnrqxTJwKw-B7b|<pGX+*^)=ub(4Rr
zNENfooY@;5^=v`+H;I^%tD97IWkzz{Ep>If7%$$dcER~HM^%=jtG;gXjkVS#S&6-W
zikC2LE(|#>^X8I~`0=eeox)Mm4yFF|JYtjlV!5@=v1--WNj>H6yHs?)Tn(MjYiIT3
z!RDkl-zRimx0-T9TGs2~+D%25Uba0e+o5>>mzYmYg!yew^QX(*EOdJ{%Y9}%Xygoj
zW0u(V{FKr29Xr|1PYLw?UOi81^^x88KfBcVU3I>vdgu786mD@pxy*uxT-Tj{N{Y*W
zyVm+Tgn7yPv<tOo<)VJ3EJ;>=w^D0$$@&+Q{yg#W{;20~7JG7f#p}?yRUggH=Z2mX
z$^U%klC#~dQ`h^Vtx~0Kr>^=DQ5ajdX8F=!=hS5zcbU!>o<3{cOkS4SC)wBQm|gQX
zH!hrhGhyNKn+c2CZ$4PCdliqA*5=Zr%*(#~oV#8rx=#0cnU&+c+%0Fq^{cwAzs>YR
z)+!VvR&T6HUHn2oe4F{oTPxll`lkNV(?VYTN5+$yC!wZ~F15bh{;4(h{`xqxQ`6Vg
z?ytO=wSdR^DfeyNxwAG#?%QxRXkXS}uYXsgy34QrS}G+_^UrJ7EX{`hZ8~PF&n<g2
z_3hSGpAXijR(G%ZcPf0F`AXsQ@i*_SS|xLT>f78`bG!9UMIT7sy(&B}@3}8``3m9h
zav?&J_gCq>dX)N7_f$>#tl82QcUGL4-q3pE_129)1J?(eTJnDq>r6f+^*O_Pm*w=I
z!tv8$dcFw6bbJYz)BnX_PWP7xi`8q|eAac!zm<G?QEdIx`C(uA8?&F@o^my0Pgq@0
zq_FRvQjuSumD89HCT?zgG~woff(tnt3LH#Drt{7`#&=`APJ2%1x^0@#bH%2A{jPa>
zV?|{B`bMEQh5=pOpZ3~2US6tXQWV$Zc8>ePiOQdnmil%-pRMSxUaJ28&8DRV$w~9M
zp62_!xvChKV0Gkq=cl<BY(7Q3E(^`py*nd9`@XsUpA#3m_c%-L+#0y%obZjz1uVOH
zrlj9;ko>k{*N2#0wb{3-4?ai>UDrJ$VKQfMj!9x0uUbyxUe4PQ>4*5rR=qm4J6d@j
z)Bd%qp2QsYNtVsiysUdSZ|6#%?G?LI*Vjz7_OCqide@A&-#b=InRRWC{<bpBY2R(#
z@Q~#b-<O?Ey?SO{#qOt*{&eRs?!B$+epT@E_GrD3u5jL`iT^h5$-Z5?{ZVvX>vx5h
z3p(AoHEZT@YSx5sYMPyE5n*5M+|?bdsM=b~=(<O+E>@xY(bR5<2x*hRJG`#R5rRQF
zXLvNtp0!;nG*Dl%V}?l3qzX|l_11Y?pK@hpWn^UKtX_3`z542c#p~`^b+0MNkJ7m<
zclGavN4pj8#00k<FMYZ#{L1D%uHBa2O#VDm9;|E(vM~<WS7H{xt^exOSu>xb7xzrz
zGyBlB;Gvq2!I>7#wpj*;Z$~k2GdLo0`&fc-nZb#cSe`Z7-~Cu)YO>E&$|_kba~3&X
z+VQZ3FVbSAvzgyZ0ejuj6Gvz6`V_RZrDE~%NKt|PVwTI<SlnNq`X2B{p|M@c>B9QC
zvjV<c7ieRYN=jVNc0=Nd-^|Q=pI7#`JzOLev119FncnKgX6>v8k5);k6#DW8?O4a+
z%jpxw+%)mjCh_(AD}z?6?Ww*f(B&?5=tG3FPRaEr2i)vSmubpf(_%a<ZMxw@6mQO+
zAZB*`s|Sv9n|eIjDYfLo8nZh`uUF{rkzA8inpE>%xtG)Q-s`-!E!^MtmFhk+Ej}7Q
z^L}1<-L}Akw~KVwhDv1lv^?lD6rAM0B!BlOoy8few9}Gz$O+}F<>sGSy7W(f#`5Gn
z3$-@s#w`!;sScW$D}O${dWxZ7Q}8htuH$yQVt4G$S&{v5nZ8BbYDX6Jl8#49_zdS<
zQsiD<dg7R(Y*B$*drZvrg(~M>25@b!(eFN~{E@HimKNXZpNk~tFImx4y<hupM08vi
zd!X;F-3cacSst#;_SMI<68}w-z32UWQNvl&7mIpAD?T4)Pi^~j!FtAdwr2CS^|9$D
z0(%UlHST#mcfY5wZvURiY4LL=7Db+)$X0Z4?<Tngx7N3FrT*RL7iciAar#$wRh=nc
zV^+=<n=t*Wd+HjeNdKU;6XDl3^S1ptnzFg^!RDI|AH71asl;E4z1{l!;Mse#?TXG$
zy=k&*<*dMcHbNG!s_la~K3UG^60_cDUFA{W8I{5@<MTbvYq75^yL%S2Ypt)Gc7Q8X
z_Nn5*BHJYqtJ-<Gzg{>bWV>Z!bf~)Ak{2s&z1rfU(iU!?cJsyJRKB*%nccj%YScEy
zoeqjvo@~;%HOg&++i8ukY{|n~x|=ryz0?1cU*P$mgHKTAnqrr;)scdLb{&}uiqgMJ
zPaL$9E!r3!by#e|c8<463s_>8w$AHUGq~EqxooDv(Guab8HtC)(q?Vwjcj|o=tbHB
zp7%A|_aD0A#P1v<ZOePbQJ=Z=;%$e?FR~YC`UGA)q<o0;jbT7jZ;|+v<&Tt(>B)+G
zPcDC@c#zL_$&GdGJh#7GIAnBpW5wIbd5uD6%mcbu*-mY^;GnBBsqOkVQ`UL)Gb+39
zO{lj$pO*PjeVuBj)(w@(hXg7kqCCWoA6MS`v^u`-kn!z+Ke?wmc4_cC*00p6v7Z;E
z$-8XdeZRFAoV%V@o!GD?cE<{@4GXsl-+y1Xx$!~6O^3n@ISCdjsTa!gYdhtHbgnsf
zxmKOn;BzX3^I8Az4L1*D-_2QIk!5BeldXR5;ym*X_sK_CpP6obntg8Gu?@G%C2vj6
zvGHtIVV6HVsr!7QW@qceC&3X>(kEKWXI6(@P+OO^p5I&SSc|Ik<HM7hPbQu`I%UI@
zBU?61In=XBW#1>=JgGIB(<2-o@ouS^QrY{cBH~f1>@*!2K5w(IsohrkJ1s=YR0|Ds
zmKG@J?sE>9bmaNmA4{xcPpti3_+EI@u@4cKWNfCGi1VxHcK)6;?a<zUv^`pT#f-YF
z_G)B`<$2EPojvK<gSI6fTWdT%@B6Bi$r7&8`*72en>$o8`L=n@>JC-(tx3*FT_G?-
zqeXJk#Y07&#T$Gs#pq1kqB6}RimTgs){i%@ojjEz1WqkbHQM1}6m({~3HN7}v&UjQ
ziw)c_O`4&bsd<Jg^_bYqn{q~7`iI_4HEFY*bSyl0(zAr=OLpGS%+!3tk@@KJ#@i~r
z38fmB`%ZjuJL$2}^^#7`G!ypOPP2YEy=lAP7qfBl%BOal6@u1GSBj2M&*Z!26(y9d
zqT6~~(YHpTtSn={XWS)Y)w4%SJiQZ3JcQ*1=4)h{sd>!mE}S&&(2a!eI(F-9^;3jh
zw<_f%M~F|;S;OnC7uLR1C9HL+NEloB%UbW6%G?E~TBaSSIq9*{=aR%S)^>^a#gDvI
z=J&pwbpBw{$>~RwJiQYgJ%r6?vwKRpmV0i#;Co4?Wa<``T`eXT-=E`n8d(!ry=sYJ
z4VRhi`{GBNRp$5dPdb0F+Ozm$*riDo%9)yb_%a_k&pEGh_L#M2v7u4Po<-GiikX@`
z{F#fi=iSx2k+5goj_D~&By=))Y*o%4V({F2G5(Uwm&qpLwW_+Ec9W(#y${g-zU$5E
ztB#9bZ2Enr#kB46r1OU?JvSFRUXqEKVj@0WMYr?xq-lpXzDiiOSFhUpgvPEnuO}TV
z@V=yTXPSw0xyspt%$~&`11?S4p_Hka!<+f2`_XLGUVhPq&7a%44o{kxl=dP<=)S1D
zex{h3*R0;ZK~;KuUQt5rPBT5b&e-ru9-U#NamD6H`7w9TS-pmno+Zp#qH4HOZO7v&
zHww2;2&|d)$5q4l(dsAbReRUxsGL2j?)lg@&Nrb%VDfpn#Lfq?8p}@Hy|AG-$*Dk9
zq3Y=OtBNNNJt=Upt7%hZPd+@UyYAqpgrpl%;%{18rX5(EP&QLyTb1`jNjL9Vt)2&;
z9X_(*s`n?$7n+%RyS$@><}cl|<b&dp&ddYRp2`(!nIirwz4O@?{_RrCU$W%my^u>W
zJrlO5^tF6boOR$yz|M|e_w;^BEc3AAmR6nLJ9pBv2lJNf+@YQ6d1uNNkvtav*k`)2
zyI79fsM*b|F%yaG=~Y+N?aT|hBeJW_#Bfh7kLUu4dv+ePTE9<v_F(RkkA?P2Ztf}E
zGsUDy{Ggt52IrlrJtE&#dmrvv61hV$vqn%mlP}LRN~qjv=Et@b&lML-ERyq>)$2a#
z*`v-Ssvkox1yzXM+Gt+m!x1`JLTj1ltk#v2(vrKD?3|&J>FL9hS+nB6o`Ccxyz;gx
z%113clO?n>#g2K*>b*GWS;CYhs)j+Af+Pek1?|cGI>n?ZZqhO90MFzX%9&!nyk_;*
zO?tMV{zKD*9e)fobOd?$j*6)6(zGz?m|W#yp?3JfhLpsJh)sqzI+hk<es-O@iJGlv
z4<5@<t@vl|B{ly(i}Z>MLJ@kBKZ7DJ{aECG>BlPnOF3&Mny{}{J$qEk(>rPDlBFAz
zmUJ>^&0HdQhF5mZ_KLZ>lA6!h-C4bRqPSJg9&+-0-0h}m%WkZ4_Nb4icVd`_@aNf<
zliUncOBC+$JYSWvWQKYs&u7)MhnPG!Zw$C3qch1wyjxYbQ+3j`Lze=U?VbGC=Y+<&
zzSEPA6?k6KiJ4-uZ^lFu_UEd)t(z5nV-8yDX0j}In)z*7ueFNuQBTig3&l*aXDzdO
zBFyBb*XV8YjN-cOJWC?G+)L`9p6B8lx|uxHDrXPHd2ZeqdP(NZbQ5uQ72VEeMc<eg
z)=HTy?#`@lc~6F`C?Ea!;K^~J)yED`I)6yfvv`C5r63FOOGh5%&RX*EV)!K)9-gxA
z({?PoZhOPEyUo-tMYz38_lA4-Gb2lx%ZI*fc=D*wB1wq9c~XYh_d`PO|2FBKpWQH*
zV_mt6^G?~mlOMEnKDx$4Z2G9C^HDV>;t_YxhAD?S9yoT16=}`Tzvys|<@Mcc`P$~r
z&MbTRzRYCzh@uU;Iy-NOOq+B=bXw32;VDN_n-~xJbgX7Md|2q{h7Csy40IxQ$ot(p
zc6!5;1=$vhy3G^Ah1MSm;yT|PH0k-j!U&}q5;-sLZTS#&!_ClQ2DhQ6jL<Y48D962
z0tKC&H^iq+x*<I+=!R?#)4kC4=?9M~FROHJnm*yEPJTwjCPN*akCmAbMITM()CiqF
z9K@x6>i&&WCfhppmu%a%$?9&{)txsFK41TJ%6w(3DBXD;KQb1u$nVp$-6Fi`(J}pd
zvkeYf$KJa4{P2CL6|L#Jmbgw-DX>UBz@l!vG~HL!qH(j^x!+96%Pn%B@GS4SbBIxS
zd*O)_vN9$`_wMxCbpCalcPK9SV^EB#>++gErbTvQ(w0XJmD4Tqo{0HL?CgE*_U=L3
z#N9hGpLpIe`XrJ!yKDNxE=?=%2YnNT@0b>KRlA)lxPL;|#-K==zxU2TQ|0oHCr(VR
z$a$h^V<@`5_4KkAVNCwLa~{l`7;Ix+)F$qh_i*XN+ZCx#_~N83<xlw@O@2Iy(|Sk7
zAw9hr1wzk#XPlpEymbDC6Fb(b#m3*XY+QO#tx0v}{jfhqhmKr-W*Ea_oV-IL?MQ*4
zPyfSB2D)vhk6n?_V-HT+5#!VM&?_m3C!9-ov35sNVM$Pp%1nX7t_F5(-AOS#;)mBr
z=y4|}@5s^Ve^|6ZsqOU9QxfY`!j2X!-C(t=NkYt3{pj&UriL*r*~vRJt{p8fT+{z>
z(+0)1)5nfU=&_$p+VSI;UYmC3S;H93oX&L$+}w*NKU#eAnuK0cu3?PG?c^O2Wk(7k
zZ%7_aO%CUo-h9_EM(>-8_^Mvki)!qj7yIfxbDceDhIFo1qTjMFLGrCDPyUYdn9};7
zc=x5tkEOhs)+^bv=quZbd{?&Ri8T(C<K|bsyz!&LrN<BAmK;BN*Mon4rCZgHH=AQ!
zLIY~LyxRI}{FQBM)TCZM+<ei4|L|gu{?^98t4ELTHk>HOzF65-&&{=Jl7!?-&qS9i
zkq18*zPj*J!rUe+yrxB3?BzlUsh5coLN7NOSX@d@4p?&hVE2jSazh29xhlWhtAbvL
zhl+~XxumxDr`0YlJ9>PR-9)*rdlTi_;wH**+bY|dRk>8jl=Oeu@j@)L#q6H@S6jY&
zu8}c&dN-+jR68~4(CbgHIhv;ZTDHq)>xU;o5}!(!bS8YbKI`G#bgu>BJmT`K|0Uio
zdLgN-+a_liBev^!!Oa(9ho3IU=9%8@Z`fzp*>4!5S@R<~g*A|;>ztVU#e44WC-i5&
zPuihz?^uChNoQUx5A$MQF*cXkIbZtCEMJJ{7F{&IHN|CqMljdj{r`S<#n1H34dvX^
zm#kdzwe+QRDO)rX^Q*@{IDQ@f6!@k4Y4Qu{)9DX0*F4^EQ9Z1Ruj=Thoi8L$Pj~5$
z*e9~<`tcQJUDFHsrq5q^_tBea^B2b^|NNmLefszZHNV%%6@tgp9#+cC?$s+ib?)E<
z3p4TN$2TTPh#a4G=zzqws(r71C-X?JUuW+Y?rdwH{rl*rkDJY=^*<_B>+4T+So7tF
zkjk|F^R>x8WqA6YK7O3J=J5mNEFF3IU}M8oy^Esfyy+}7J(qX%gw*Nd$FD`$?F;F=
z{7Yij@r{u$1dpdJPM48>KjHC>m@j?FkCk&}qPc4SN6ZqrzC7D@{`uE;SJjKA7Jj^y
z@iFh#{?1JTd;TP6IxgH)vHg9#OBKgF>GG#{Pt;4LZv1d&hx*1ej|Wam+8@+Znyvb*
zQlN9orNHEtOQF%NBLynAQXUp;IeBbh#^mPai)!NRi+nv}`puRWm~RTxIOnQ%q<Urb
zMYX2i7uCAhFRHb*UsU7nU*u~h=RRA;rqgWa4&hv{M`c?yTcam#Jyc+3q&V%s*$m->
zy&1wsmu3hb-kLGFb@fFx>F`Cqe0uJ)V`6&DR5#k*nsTV`MAXItH6ums#@vf)O=~Zz
zb;Vv(YrA_<jk|i0uh}=Z*)ngs&34|9jGSiX=Uyc<r|Zj(8}ctbAGs`1Z+-lfbM`Ui
zWn7#(eNUAmz8t?F`bX{7^aE!q+?pgm_J~}5EGfC{sBzQ`sq-1hUN(!HPk!vtOFM3?
zwo!GSVe8qASrd{H*RcG2u|sp-#DruU<)dvCZf##b_K00OYJ4j~`uvLoPn*TvrXPDe
z*Yu_PB!;e$N{JBAcC?$ct|wh3Lh!uDMw@vP6C-UFw=VtIW9D|;*h)h1{EMUzn`9y7
z$CAMkQmff?&-71KkS}dNZY;#poxVgu`1}b2vw0T}?Wk~*e*9Qc)~6%A#LzIT^7ahz
z)6Wy~bmn(kZmjY2>3Zs&cun5>y~tPH`>XfJE7|?7eYtgx<FOB(?K*p|D@w=yU*O31
z?q$->ij~fudoC;#nfE`gGQs7K$mh;!f;QKTx^_Rk{;f^ouy(Eu_w=nFb9(+X_kH~5
zaym$2P2!{LGitZ=EVwT3_5K65vE7F(KBqswJ~cg4II`a0eD;hVu1{HgXFZxeb$Q+T
zb3Na=PiM|rEU<seo&~8jE0?F%99)=c#+Ny>`ES&-M>D6XevCOC6tN=l(eZQDTY3^^
zFJs#qU-9mW+meV?sWtZ&r;3?n&g?xK^(>)#+RhuRQfn$#rq+b6OnlT{AH22a{8!V~
zXMe3eY%x<hYNPx3vZC(hg4okJcdi(*Z{K?6Xt1vLqlwd&7DOCA;xB$aQ}SS??&2LQ
zQhBCt>G|Fom6kklnx)0Mmu^S+<^3}vg_^fyE-MHU_Wz^yY0EUhITuT!f5u<TQVyDP
z)u^pFD(&H(X*X{ye_4HWy^em?W7R^R(?L5xK`|}dl>PnQn8$r)N=Hp~lXt9370b(z
zw4c1?%t2jU??>C(I`3!PyK2-F8|Ah!?zDti#?02<sI=t$%ZiS!w=mCs{Bi5<X-hYR
z9zOEhZd<10!NyPGyoYoe_ulFdxxKX~v3*+Pjg_fpd|6y>e>RlNHJjIcH)`4uIbPx8
z<sr70Mb@vnvD{hg+v^Fv%vMhxEa2N|vBcR^=GsD$z7_mET{}Oh>MFlj_s)8+x$U<L
zMs3-zPJO)h=SHyf`D3EFdqk?TA9Y&h#_Mjr;D0*j%XK4m<}GKAI_P>Yn%&m9@`He`
zvc#%+3pl17aQ0R0T=~HucM;Fwt!EBt=x#QQIxW+4ag)ls?9Vd~G4l#vzUv<4_94Xf
z9>=r;?71#ovu9mXS+@K8mNSR4b7z^|%b3}$H>*i7_}2Mb6`D0y3<_)9uV|+Q)-NwP
zaV$=@sKBW`M&`PrUHFBCB7Lj+Z>^rI{wiZp5#K9;!=AE_ie+Vs3c}lCY_2R6ky~B-
zel6F#6^lDN|1-;O`ViEfv*(f`yMD=uqqVYLkLK|$EpY8UvgY5kUo-ZaPszFBn!ID7
zv)Q_r6Pj0BJ$cl?r@GOlJt$($xxMCJ=dJ5gOS|{E^u(bU*-eJ-?J{SsDT;3{(dgWm
zrLydJa7jnvCcelSOPtNrUQKA`w0e@XhfmeeuRUnSO1C7dz0vJIa-!OQc&+@icBjH4
z-oSR9m@A6X+pl`~RGF{XtoUrt_p6Uv%%8?C>vr4d*Di7G)r3}4tCZxee3lVQo%O<A
z1qf-EXtX}9*QiZZUcDo4&ViZhd)b;c$4|GDS#P3JpnJ%%!1j>iM*BktqrF-VA3Dp?
z-Wx5*$G%-zM(>@A#iSk54?P~v+j&9r+|0=fIPdfq2-tKK1laTx7}#_bL{!K;-0)H5
z(8C8MEr*Yu<8T-Htt6xQ##G$uaAmQOPNS_LU(;PdzOGn7zP7i5eB8H{WX#H3EM#(e
z3qBay9-4UMAcs3w`<d3fhfS88+Kq<=`I?jk`MMSh^0g%k@^K$mmND~lv5=Y5Q?SD#
zRnI_L{45*$^fPSY)6cf4tee5B8Ke8(&OKG&u|HGtB43upr}wYFG)riP$YECl%eHR+
zvq?K7+>R7P&Ja7Cnq0**y;;&QMlbDHfvTZ)n|ABXi=r-uT2`8NQfE6KD$S5S>}sgr
z)}2_#Grg77Fvd*mSb?R4)ZwQ|5j^2Shm&{w_@uF`Ny5!d{iypoRl^t-@8lgC(~cGx
z>VR5bN^Pf)os!VAtL%GNw9%?fyVKP$Msp3Q^%V?iecibwq1RMu7$fpJd544<sP!dr
zI5jz#XL|El!x+77pw`#Mi)!qT7x~uo%H(<_Ic?Ew?Tr7w;!*Y6BkVk@H%;ZuJ<BV*
z=fAH+-2cm6@>&kQ%b1TE*lsb{^6$B*{j@~A*!k<k7BA=gyzh2P<@v-zVs2Od$FkbQ
zoRwX>HOaWqw|A4*hK(OV6Vj_Wd%{k#EEdTO$O%}%^ICLOYbn>&V^OWI61F;(Zgg9y
zW*D|`nSt7pwAHSjJpn6Nlts0UTC|=rxU)X($o1%>{_^b_Ax#UprkcyOo-&A77$vbJ
zAeSd(1s|J6i1^=B)7b~OTD>*|Eeu){w1UT5WL4`^uB*q4T3;n>bSm9=WqpzEWsOti
zvz%0CtP0RPrXA9z$#wNmN^4f~B&Sk?z=gYJD6HO7vt1*kX)V{(q}fhSxxHGm5;r?N
z<#um<m9X5YbmQucH%ddzw{)c4o$ypPsnqK7jX4M21?^XJY>NGQbloNX*+Mtgv9a8K
zRUh&3uEK*()eGw#mkNK$pCFX=`P0_md(8|i*01WrJ}wr>$P1t8oql;MXO2lgob=cG
ztACVrhJ~m9SU6{rNz>{{ZiTLwB>b-b-|^^o>e=i6-(3`F+WXah<qvDohu#^oN)}5z
z`;+Fk8N9hJz|C%Q<d`FGVL^;@jLhW;Dt%cVT<#(&_v80p6=*7#a{3tOEOGBj{r*S!
ze_d)?Y8;wXgm`i^=31*7HVQwzxOIt8Ovnn6=^|Q(Q!Uv=nxDvg_~;;}J7?nJ+ZNj0
zeCJLs>i)cG#u3j*pNCEhK3+|`{PyVay|<-`_hesIY&vV@veC2s#~ibUBWHT@yMIP8
z-IX@!STLI}@XJ-jHoL}o%Nu_5rLk45TI6S-eBtAiFD%DaGaj@sT_CZVjVF1^?`1RA
zU6EX-S-LMR@RivzjZ*f>Q+IWHdf9e%dfB#eF4bHazurIm+P147K4)*_6xO-)IPBhM
zeUro{*01p)e;f|+@?PcT+;hpONxHF7?|{&bmF+Cszf5@4CubCM{aO2?rPB=eTrlE3
zzxB*9W8LBpA*W+(E^bnZ%Z}u_9`d{}y4ZI9zPr~Hn|52d6#BPIyn8*NmE9`k@j^b!
ziY3l^a^AiHGV32~4V~$BhTq5NPXv$3XPzlZ>t=oGE%9aAEA?f{g6)k#UrYkp<aqb5
z_~F^`>1X1~aKD7oy`92qjEcHM-Og<YdUW<}QBB^l>Cdkw-H1<m!s6XKCu!zHW1Z=h
zwY9URy(;Mod8(?XRl1Ec_zc$<rk|Y4XVg@;e+%U2w0o&|G~G7kg^<MOSx0X~UA=Nk
zBkguJlke?zj%%+3j#|k+I{o_q%V}qu1KAStPds$@RIt2?nsxrlRul2(an(oEe>N!{
zd{=gKW^klW!e%Gc8%qK-&9p-7&QB<J+vqJOS$1kstL&zX<h?ppH}tyoww+88icU3b
z)qQx!yyE*GQLTeF%Z_%N+L<=4)|&aC%WK(=HIpRuG{1Qi`Ykk?6TU)BPa{NHTy$0Q
zWv;77d0S^4DQ!J<e16%{&(16Mye*ut?#$MZ?#p@W<%He@ALx7ObY^zdiFD?ri%y%Q
z9&u8>tP*x4T1v#|Amdb@jq$3+Z@5q2WQkXO9FocPdh+Yd7Wy|kH_V^>=E&yxQ{RN#
zIPXz*acyd0)F$J^{R%f{rtiNI@J6X6?rk)8{B;34b?wqb#Y5J<vX5eyso8c#OC2hR
zcGkIbQ9#<<^vFSD-onCg=Sdao6*X%DCj2?EG0?d}e}$sRY}1y+um#U7PF|_3j$Cnt
zbJ_HDXIZ|mJX^_luqM?@#CWRJVV<RViCsb44SiQy&scRO_Dt9-&ohCqG|%vw?BY3V
z()o7PvS}>$UI`qOR?W(Mls)shmCJ`!56wmG^_AcIzJAmjUekHyPSkwwZo!gh=fZ1(
zp9)U2pMAU3axRm#S(%B*?~MZIuazA0i%q$B|7v}2^Q{v*#bPd{?%TR^%Fne+AKw4m
zq3oZ~s3W8^(Y5R7rV|@9E`0j5^rfzO+$zR{)}{+8*0I%m6t!rqIDRJ7@V4iJfDKls
zmaJ{#cb~DO)1x3lc;XXfzbh_FEEaWod=^yCP%@Ia>XP!aV>0v9*K5}vm7I|O>haeJ
z33KFvdagRRDOP19_Q>6mSlf6{)69#X)9sbwQ4ZS_iFNH_Z7&!0F62GReU#~$^(Wp*
zZX4n*{SdJ+cvdxQhuhvTRpB*(Cq-6=8XaEc(ER;L&9ksoj0d?)7euUM<2k(~IVnOa
zqsu9KwbymC)XTZ1Gx<1Wj1!w4tN9cjYLWEwlKh&-qF&(3%du`1<55x5gdH*xJ~kIr
z<ks9;dgW)5`^w-qfv<R~z14SKzi`AY^q<SzyDQXKicMOQ*0mX&xh}xH+2qKvJ;xsX
z5L0<{b%T}5h0u15T`vWk%Vjrx*lBX6Z9=cysy)@R$9;GeXDn0{xop~!*w_{ku|m;I
zY-L}Ea9ovbX~%-;e1TuCDYn^Jr7T>}ck@MfmC=Fh{y%d*y?b_7`>TQ7%m@3tmQ^g6
zBq=xTR(0gM^J*VFRtnpMy%O=CVs$upVfv3Z+tt*s6=vR26AKQl2spmGXG7foQttQ%
zPmM}<#D9O?H9xa3S}(SJ_0}3gsZA*ctNP^ABGw*yVHLM)(wn8l>-)C%7<@W$ch~&T
zKN>DluZ}Q<oLTej+P_tgmRo6s9kbAzc3RP0_?X7G>Giu(b7FU_IpVd6t9;2OA@7qx
zk$0z^I@P~dxl&=O=)+V~KP_#gQ(1dL!koTc+Nt_<uE?oZ%R<7Agojlx+4L!J{Te@y
zRM(0~-+(vz@nOQRwY2`(*mr$7EoR2~EB*hnNBVotvb0U##_2X?o2J{eZIW(Nx9Rri
z<=&PEzEx(hZOhxFm`v-=k4xW*sEKTLFkGN<nMG~dw#xG8Tgxi0?Avkn!>TR04^uO5
zAM3uft@-)VT=DZ>w>@oibC-WuQme?#!`!_)iPJ_eO5)(_OJ=U~C$%pu&%Eul{?fMI
zc}sKIZM|;m?V7%A@{6!reh+;Oxy9H-Q@i^em*zH|KdKWUGT-YqkG%J7F}o?-a`))w
zT33YMD*L!^hqiQcck25E`GuE{PI#0i<lH@5ljn5Z=12NRmsM;_%?j3ZFj)ICTC_ft
zLuJDuaixfN+<`iuTYv01CBA9H5%EnMZ-^IdI3ZrN@q)NlQltKqLw|~n{YVIR+v9rn
zb1TQQUrr*<wTf{o_X(^Ii|+_uqkm-eHStGNUvqza^|dwVMbtTo!#zfi-oEiowsg60
z^ti^cA5J`rYZbW{*C<LW*DA6r*C>h~u3f0o_R~r8i)Q+nLuZU0t<CSycQLpjzDVK;
z_s7e}#5Wln5Z`q1zWAfJXT*yxuHREEoqU>+ee-EX@#0gBDq&NZHP__)N<AumkX?24
ztJ$me&P?~KnU?(3yoYU{n}M`O*eu?f*iXIjA01uNSBURC;cCG#OIb$bt+EWy&G_&?
zHctfjqqc3^k|xc4x^0>Ux8v)4t-8c*Dj(jvS8@F7_TWESFZnX@$9g4Ov45{U`g{MY
zU3&b$zW>XPALo^9J^w79I8Wr?<Q1zHybzem^Zweu#~%C#>qTBJ{K2nm%k$rH$#JLX
zCCA-@mmFv8{<34i#Ca+nOVnFkLP8Bndc4}opZrf-(*EFn$CrRVuP4g2)%Sdf_#r+~
zPWr#irN<BCm2CCu#9w+on(tZ_^rJE)G~$KGR37<Vby6=Ce<)pY{y5o#|8T#utyrDl
z%Z(pTd-ONI^62l5cNJaOdoECny}oPHjvwWVbUgp;4xA?PZ}0uEKS9|itCq1`t5Lq?
zy5XC2ef-DO8sSX0AKl>KJF+oQrYAYsLgaYb!vdMvy~>3-eBX|5+<8O%__QPUEVhY!
zJF-H%tJF~F@!{L=EX<l>3v)!QAK#EDJF+qIhTQSA<Vu;@&4q<IddH4!R5euV(`~(I
z_^K<hw&%!?ALd$py$LBY(nmWi%-S9n=7_Z&-FQ<%>iDw+51HBB2McpNdAgFl7v}fu
z+O$E@EvcsAjqq`|3)X$Ti^653ozGjCasPdE<HsSRzOx7KSeS`3Crw$`+iMuZXLht;
z=M9;|Q;$rOSSPZr?lHUQecqi%u5YSaJ1O>$+oaV;d$-iDn#5W6`*7F$)|B_J!|j7#
zv4jR0-3wnQ6}pM_V^3v(So@R%y>6-sJ2c<9YdA3Zo3=dI))ruMO`y$PD(T_Ewi^`-
z6#3p|cXU@vO*#_G>-n&6SAoX5m5V=2{IaV};LSyWu3D)>8{(aHWG)Cuvzs0{sK{Gb
z7~wq0Vv(YzOsF{DVck*@yAs*U0!@#loHm9-H{eMnJ>Jr0VX;_I?_8FL&~p=&*3YjF
zZT#RO+uAP*+Jv_Vwh1rkVN2VM8*3H$)@5{buYSe6^`jTB%$^Wtas4X_ozJr-9NO$w
zcwdv@)cP}C3yz!f%vfN3-2UPtmVf-hYCrD#&UjRBme}_HYK!h4eYKp&|Npl#TE9rL
zF%tj3mQ(%X{h0+^|MxBo(cCrj+ga-q{|~ls{&N>z_G3N|`?=qz*WLdy(=MOq|Ln%7
zAOCr-9Q&WWfbX9?bLq!==?tO&(;Jt4sQ0>l^mItfmw9^e7T={gmeo4nxphTaXol!R
zg&DFB7t9cTm>?nlaD#!tp~U34mcs}CaI|-`3ihdpxmg57r0A7&t$e2PqjH1AA;%3W
zha5MW96Gq+%$&eB&9vs$lWEPpo@vd^ooUV8oN3NN#%J0@(&`?IvoX(i`G4XBXWb8P
zXU8t-b@xrAIsP5XS@6SqbK}GHXW6*xQ_XJtn0NERgWqY*-T5<kJ^y5<IdlC#5^+hy
z-azeue~-PfG{?U?ISYPpZ*F|}zNa!}g+USbebXZ8`-VmA21Vla*-t!cZl4JLF|9=S
z^7*4HKI$vWu>9Xv;%oWD<H2bS?t|fhVqK>+xSK^&H-7jYC?;(hD8~L$qr3Z-hPO~@
z;53n2u2bxf?iZcNVIOQ1DAr`}7OAnXZ<B$E%adt}q7OGr=qb2Spe(~Thoha_`q0E9
z@0DdV|2*6+djFJ3=+>(#S=q+9kFIyD->lgAU}t>Rrx^W1LI-ER`L4AucG|2X%=`US
zdmluGty#|%C4D}k`9ys5F2UI1q-|>za(7O<rd4vfcK4(8tYYUEsD4m+%`IeeuIu@8
zF2{LJ>o%>5+mougd;;gMXGe^>PscC6eD-Bdp*`o9K<1`<mLCrYv-@&>(aIJ6qVr5E
z-oW;c<Gy#N{^q*6+Px4xv3QNWPl0)N*M7l=&rV65|6rgYU~{5w|D$}{h0Erg*0c0f
z`4LmJZQ9)VDH9(o{w^RP{*%vs$~Mk>;@u%8Gg<EaY-Y*tuFO9vfBLV(j0bW1*o^iq
z_c*fL_Smy*1r6ajlTtqxc3sw*`M_tNjPawS#Xmw0-w3OpkuXPJ$L^4+oq*bm2i)E7
zwbt^sa8CPuNbmV+ro^VDM>p(Pb@JyDhZzZr^mX>tZdVadi@5SCG+V8H?qq2(HrA%2
zb&e_<jWh(-XfM-TS6g&AJwC$a#l3^_YA>F0cQ1M?%_02dbF1sjx=%~hPi<M<-);2w
z#p6Xj)xUTAXbYe3Fk}9UwQ=$jHUCIxT@35ps~cDUVDhCKw;p|Ex6{3R!%@cg+x9<O
z9<?)Gx^ZdYrhTTmQqLJ}RV-Gti?n~4@Nk1%l*J{bd8<PY{8>5sa&VJ7o7cx14wCaE
zR<tg+{J3OO|Fw{9A!$;DTaH?PJzceIqtx+3rUCKI>JOz>tj|1Wvb?hY&py>DC1$be
zb#J~cR1MhL68`ph!l8TT^XyrL)t;{UE2EPpwBnZD+NcxJOXY$}E<3lqtIAmDp1q*s
z^o7;8#1C?D1T<N*c@>^>kkpe{(dvD0mFm$|Z?8(Nh<X&7d;VGg?}t^j;_DiNuHNGc
z)71=n`&KMpK=0MV`|)y_yecn#zkK>I?BkMMJ~GncQOv)!{yz9L!RvYDj7jr3SW51n
z*Wlk$m27hSUWwYpu&J3RucpuXxZ%npZihcCk0<JU`s^$8djGMrVjpi*2dp`CImmJ9
z)mc95Hrbp{mDZa-u3X8&y<k~!a^urWUn>G%xg3hPFkSa(5Klm3+*H3^Oj(D1#%LUW
zWIns%ZjglY-z?F4Q_fvzx-+kzagy7`@JkX^Ub9-?O-g$lthdJUheD>_J?|)?^`9os
z+BD6#{J`xGenpWn9Mfzxum0~ySleaSk<g<P)HBT`E^l$u*Y};F5|V4`{YAgtx1ZCU
z^tYe)nmy;${~r?m{@$?QaMRcLB}}}$*Y^MLJT^7q@8p`l{G8Y7<JKN}kPs*o_<wuz
zR|l8B^QW4$RZmKLxNphL3WZF*d7iVnr6)~0ay8*^x7<o*wie^Fuj>=M6Vp`Q^>oa<
z@b6KGroO<N?7x0T&AXevZ+yB}u{FWpK~Q+fT*cM|cL%}AOWrEBCPX_33NKx&*qY$&
zAUJvHS;f|b$^R9O)Z`@xDBO6^|G3sH-_JvFhID|7(QYTMgKHa<`f92?6lVwq90|P3
zqwz>0uSKNkHKVJ~mi4V7O{*DQYkofS@=)CICVa;C#sd?a3Je!?D9;rN;!tB3DO}?2
z%ysZ*gVL)XF&>H*(g7}+pcRyk!T~Nn=kRE<s55nG%zv!d`XItVuzTWH#nyy!2f_R1
zRuVxRe(WNF=VGl`M4R&JjtV`!qrBt;Yo3GPQyYaP0$=zw7R`D8<9l9b(LU(_7t8zH
znk?U$yELA&E4DsJbP#;{M`MYA4WGuMIrCdYnwB%VPWk+Hg42gP^;}(V_Hb~q=>Kgx
zac1=bhYz>(JJ#&s<f=)0EAHZUkCk<w!FTROyWH7X?N0q<4ZJDe#B@;W|HZATtA%IG
z;?`oh?8J5S%Y;J)i+70V@7bT^QWzOgQF`=)!;GW|g);_QEPTJ#MQO(gO*kOnsVt$B
zDY93!H*vy}NC}NhvtL`p++6b&G-Kz@h}`qw!F0|v(e!(%H>KUvv`d>Txnk#NPo8RZ
z(56-IT<1@(xe1L<;=Dx*gVZCITyXsyv*rrtG>wvr5!1Cwn^L)AP0lEK&Aq<NN&IZd
ziXe3fweW6@a}Tv<CbZ1yGPmmsdBw6=WY>$uZrUY*+R0O_-nTz}({V0;j+dH*{%NI;
zoLVyz7U^_z%~>*ulkeoF7oKG+Q|!Daqy|=2hZr4<ixdmp?yoBR=DettT;VSBg8K&+
zI2f$B(Oi)~!*fBsf{(!h3&EUELFe?(Z4*&{Znu$ZcK77s`C4kvXLn8hydbW%_b6+j
zz?HB>=fY)?DyA&2ReO`>E-_p))r7lS<?OL6&*BYUmtxjT*`g9AqCZo_biMuB)#tZ_
zY(M<8V4`X0@{N0ToY3q_a@wmi$7FthK5w?}9_I-rT}LM!+u(Ldhlgu=oi}LD*D^u=
zLrdQ?-YY6FuVK*r!|;xsUtz!3Zt)zB*3esb6Pz{HUV6u}Iq1sj4eB$dDm~y$EdS8a
zk-@QBr8jB$62mtWOt^2Wo;_v;Ua9fJ%Jb5s9dbDwDi;Lw*iHwo`Fm-Hn4bGZfpu+@
zpYcBQig?WXaMO~&m`NsWk0+%)jM?#}oA+VLjxXN44^w|IxHww`#)v+T|8eu$r6c^i
zbd_fve)Fi+nzQp&{6#IfMVA8Zebv#36P{wx9^4(ih3P_cQh(60*S-x_TbByWxUMxX
z>|)WpA2q4_TAiO%&ocX4voBribL<O$_ibY5CLECV;uBdf$`Th)w83K2&-?y|em|JY
z72D(0{`vdyKUKA1JS*Kp9D2^WcOQ27Agg*j#3<kO=&SEfZY^6=ar1J+qo?OKowzQu
z$>XBGnB*_9qcO`iuCvIMz3nLU^M`)7NOH==#F+L&533gCYgq^I{#db`O{Dp1Lt=+i
zgv2T~v$j%=Ibm`i#2*&$J}g$}T_~|qk!P`$$gN54UFu(d@U=GX(>SALcBJXS-OEQ?
z`07JH`oFsU@oUxR`0ziGwbo9CJ8w>wd6WPDZdd-*?%7?e=J5fY(P!&A^>-b~P4&;p
z$U3vdB=xpbq5Gv-h0%Aio<+5Zu2cE!xOv;Mea7}%UH>musNr0qC}MoLMI?CkQbm@{
zrY%W*6319FSFe1=8e(xn_>l_lN*49vJ<6w6KdgQxU37oV4{!cdp@={}fi*g+Qx_f$
z->AC5>&(_qtIEY|dn4vfJ;bv5sOrz49X0Z`TVL?2%uVoJSJ1HZlF)Vy7x#NhD_%S;
z(z&yDhP2Yp+fqvBjt68d5lNpX5&P+gwD^xYgZP-|JXw1(Hf{*n<hrx7{}s2&*4EXJ
zolbsQlTf7*Imy4wOM~%|s&!<L>!!&^L{IIR^*Qd2jiH8s4+n=y<44EF1UK&ZN^zDr
zGn<LoNxJWXIo7d@&O38<f>XijkYx|kWv^v%9NZP;{3!PLucC&8N=?7I8a>|yg&RYJ
zT$N5O@1Ie{a`oE_-ALDkU$cHLdc>YMRbOwF_Uny-Jlu}g<ZQj(uCNH#c=og=gZ<~O
zS7N8Q1uI`_MY_h#d)`#}@rqNNS?eb!mpHp_SHHM3*MHm$s;y!=IJ4ov>Q^NJ3KpTO
zJ}z_W-LHK$El#mQI;6rV--+ws>q|4=*Qcd`h9!f-YW;h2LRYZd_TpWr+W7V<!$H3H
zFI*EVP9I>|)_FYQ6w|?PYfhv_KKMLw!lCC%imi_VJQ6Krf^=j!HO>0kMA(lzb#*^h
zRP7X2RBfGnuzA4^!7U#S*^35o__d3487sCv%rQu8o9lS+(7FW)$>jkB8`L#++>jQT
zbVFPu=*IirC8~}#+J81#stE4M5Q|+D@AY8c62Uu@O}chZI#%F*Nv9-N{#s7SWE1xE
zB|G%&Jfno<RdrkWA386tklXfAszyJP<-JPpqqR#6_i(;%dKek8>4S;R%^kASWNi4n
z_2gQYs>ro16_KkbUd~tJJ3;jFO1?c7X(_gvt;LlqwHi-8+8bTd9ezpW;}P-uB46#7
zMb0^zG`soY*?Gr*Uy0fL{3BO+R-oU*glaoM!TT2;Sc}ii{g*8k8oKAS#ph^VC5zS0
zBF{@Y9{$PyDdg3<DbtQw@O<Z)AMTr*6Vh6m{SPb2@NE9~@w%w}Wvf|xmj9{~PgJas
zNL^SVkeayT|L*zWe{TG4^PI^3$?%&z&y0i~&d;yR6YZY=XSch>w&BF=9}?GE@2}!K
z=*qj$Vx1z-^!n4&_X&OcH1Pr3n!j_W2_L-v(o(c$?>ys!%m4P3Uq5Q<l4?7Diu@ai
z5RSf9kuGJ$*8UL1qlXqwIOM)+!lB(?Cmi}+x<BaZtk*qrWq-bi30ZYJ)XZ$zPtD!l
z3x(bUuc%Q7UcvKQbX6;}Y~}IrpwIp0daq=zafo?I9cA)No}r&9_RMou?@Y$ED>pi?
zV{NzkxUw=}wU~a|`y=TosyC}+CMC_Wuk%gy^)+j(oO?*>V2$VFpVLg*S|_C?PF(V_
z#(P$?>fD;r2<1(Cwg}75@#cy@q07xtW4PT$LtqVu%A|*fKfRc6$p7O6CquI(20h%G
zV#nG=qz^Y)FOQHEkqBdD<zC&~)XV93@Zg6950YF0Jd#oZJQ7139&}IqC(F!q(Bc2f
zgRLxXts+eq6<d>hJQ5|OgLHbhHO-E(m-`uNXc+MDaf!9Duu3~OH#H|Z9z6PC!Gt3n
z3nn;ycQ|)sa)~h0w7$$YpT%Q#t(1!X(^nGAH`}^PZim8_>{Ds~u3THVX{)e&xP3{O
zu-)_5I&Imko(b)IA9wEO3#@skW0fqLwc7vswg;>9v<@fBZrb46o|AJ`Mtc74ERMr^
zyc<7k+$>=vsxtrg>{%UV?=qBb{p{FoA}D+3nt-^qsY<86)TBeTrkQ>VPS3y6@}P59
z!R$)u8%vy7q)R&%-JU-scfrmZZ0lYwGP1d<$SqxR;#k$$z+jt80&P#Fk{<5er8S#7
z_rWf;MZN8_I$F&NoP{qQWacg080kFe#j2I(Mdp=$>;3gelmFF+i=Um<BU689tknA+
zHz}xK=l4ZUTMHe7j`lvE%r*5<i&Lt_iU8d+K`YGMyjSfgyz9R(=u7Ado?4Mrt@pUD
zx?SJ!%66w&?7|?Q;1xW<qN`dpxvm~d@%&n6x(G6d$H{f|kPB!IZ%G%sY??-h-P3>-
zJex&VwOVprJr?6B%I~EUwnD^PMC))+YgXb+r>%w#K}RQ>#)@b;nzwpgj9qB-C18bE
zl~#!KUX@UrHy7g<3f1t<Z;e?hvEqXfPtXc6Hti5;XO&PJMw5_*LOj7ML=KB;9p-4w
zN?hW!)zB;GXtGYbh}OZx)>Gk0TvH#eaN1hnv+$OM-pWP&R!ajo{j@{6jJc*hT;sI0
zz;EF#3;mUgp6^-{z`0H%q>GtrYGSw3)(uVzZ|xAxOTQ<)X!W7E_nH^4a!pO@bxMs`
z9iY1=c!gP+_o^39ziEUt?d6)9G~elIc5G`_Vvp0)QpKswFMj7~g)~WXO?|Y>DRsxP
z0Nt3-6=v%^R=p6^*A8j&=9>CwkyGl91p&G?;VaDSeqOcT7ILjJTzOmhpTwi(e^>o@
zn6``U_Mg|>3(ZZgreqn<$$9%@bC<km=li6zsoz_k{^G03N?hA`D`NT5d(2<iyf&P4
z&@_`?(Ylr`D|z;`uCgt+9XP*9XdI1W-n!wwL$1t)po^ROBs31%FfW~vvY^H+d4buo
ztd$az(<L<y<}fdvk-UJXn0LzgPM#~rYIH@De<(4lM&vB0$xB{P)0e!UW?k|EvuW8Y
zB|hs*YQ!^2X&lvNPOV5=ASNfhqSrl2>lueX@015S8-w;31hoBT%X+x9@m9s!rAdAt
z4?1w(lh8P7$ee1Cw?NEKVny%dD6MA-&v~Xi*wYvkV-T<}$s~Z=o%hPIRN1fdnC-I{
zu%z=$d9<a`XpT_;_j}$e$E<Zl7hiQ|R;@@_AhMcgO5)PSs2hn3%)&BON}NuU(m2S&
zymUt10-nn}R}Q5xZ!L`QKI*akv;$|El*Z94=F}T`3&gfbtmx&Ht&95TEGl~Un3V07
z4gUPOGFKc6)8sEXb8~;WaLnnWO@iR3mr4Kfyz~G6UDD)r!GB>;P0*499|9Lfy}0%<
zUt6myE10{i{7>G|?OhLZtfuE34F2!CI%e0pwa&`dyrYb#mHr7l`n_w`50B_n-_orW
zJ)7gzRTU(bfLmA(JL{Wb7#@B5n!eQUgXcu`_Y)WCb=g+*i_Hx^{@`_hX@bRCHZi}I
zjlJ1f4<0O(+Hqsmxjdojs|u~}=7y*`eGJ^med>DSsVN!<-}&u3+Rm@CA;OtsSq8_^
z6yC%cOB8EPtxz;e%j{^jlzNo3u1%#d#yQAhp`J{aY}SDdZoE1<Va(FUuN*iSXj)L{
z!aK=g4V$J+z&5Tn{!^dZ`FDBE<=^gg_h9tWcaJs(`9Ink<iBwLBZgT=k|l*j&u;g$
znP7Nnzst8qmR(sK2a9+YMl4jUIdENod$q}tV_Cd~8{C{@)?A&SaxK$?%lm4pv!Mg8
z#I6;Mt>+(YtdP9%Vs+oc1^rSxUMywv{1VVCQq|^Inp*6gZPvT*U%N2Nij`go&hDNn
zH(tm~ax2Xb)(mNi<eHka&FSgfDNb7r!WZ6}p`PB8G+D>!%tTi^!O5=D!6_FHhD4Sa
z`if1Sq1UZ>W<t^Ywg04;568Oh3XG|AI$t2$vHi>!j_-4JzViCGu9sz9dvtcAK*{AJ
z3wNyOJUl%~D(PX3`+eI}N|_m}JU@p{;}eXzsMvMd>PUf8yUv`Dg@0^Th3|M!WRt%)
zb>f14olnIPVv>5TuZ@p?z7Se=?Xj=>{l|9mZs-NyZgLM|n#mG(Qt6;~<dg2&$dn4b
zZn1fuNx@8?Qzs@g>ICUbbZtAjDI+m3B4_2vgt8qTQCYJ#7})&SVs<@b+Y-a8|F2Bk
zvH7(6jLRy$3A^ks?wNKVDpDmvy<6mU>cqrmov0fc-DYN<mWyU6X7c=2?cE;axw-IF
zyy3Tbfn_q8Nl(w)a%V2JR?h4(QO<Nr+qygbeC12yda>1AD$`n5y;{X|bBf!dw!2HF
z`I?=4=CSzYyTzh=6!(0;SKU;=;!wfgq`)a~Pe4KD;a*jSyp(-L?^A08*VmOazrUxi
zth}#>XY%<e$DH~ybRMlZmeiN2^RQx`so0G*hV5&A9$PxUZbwL!VE2WGi}+&oUR-#*
zhp*P}mBE9Ymu_m!dbhVkRlT_|<Gf!lYs}?S+&foo?9i6#-nnLDi+r5+&xFIPxBBYl
zo9w@{;Gg}~Rnc~Of6w^Gt$t&p^Ebxj-fx=?FK6r)Tf6R=@adD6y+5BQ+i~7@cHhqb
zRVS4cF0ak~x3DDlhN6t#bUzJMC)r7Lzx@pOrt=(oI&Gmz|82I%#TNsj4zynS8q!|4
zQ)&CL$6Ylo=R<#TT>YN1aNE>P9XoX9D?e+iaXI80xZ+%ayO`GDq-~dG|EV^NemS@9
zT0+b`39rvTE+*8RlknoM(AgHx%lQ4twG|Ux?G8QPSL1SkIkLrOit7=}pE8F1Pn3n^
z{_lCBd2aPr9{uXOCpzZ;|Eu@kyLRnwr?=i&d*}S?eD;WYtKYkdh1ZtJ>f4xY-M3=x
zdd&;J)8FdL_$`kw(b!jDdFSJy#{tT*|KdI#mA?7DaE`8=c$)gXKpn%{hZ{COZauxO
zPh4(ybbN~Xy?ap(WshWK^<$ou?fEcc^4=B2n?Bdf6rHfQ;Ku!rhn}u(tH1EHUUy%G
z^WCKQ?YrLiSVwoCIA^l%aqH<D^OvqY6(<s1YO(q2(Gt0HTqol!WL{Q0EL!xq)ywyF
z_nVm7Xzml|zQ#^F_@*`LSJ|Eq7W0-GSATT-maL)PbzJmv<*JAz!@1(taXWZ)#e#gE
zA787#NqBvpu7dT)Rej=FFE4yNl<auz(VL^vH}j9ioX-h1+<$nJ&}MNyW$U;Vx7Aj=
zesg-O8|_>6$Zjobin`p5kB3qNj&t|_yV-rcYvx}&ZQbgJ1t5q1>=T!}9vz?ZeCGWq
zhq8Iyk-VG4_1LZBDrzRDnt|MN*|oasxTx{(+>J+)()i2v6j)yP=p=gSmy-3zeb<;a
z3Fp7Ac(`fP<JRL{I-75HKkDrN`(}RW&&9`Ht=pO(vj0a=Xl`(BX>jS+(_62uzjE#K
zA6?Uer+2)!em!MV{W`wvXS%A|@6b=n-u3F<ofW_8{k^pZ9(-TJ$mjfqah32*W*fOZ
z*}NZietft8?I&?nMqgguOqWvU;K{$-w=UcEdi7RQo7&g^Uwm4xo7kHbcwy_mrC-!b
z?*F>K`_EFjpGl$Kp|P2r{`Y>_@BSkl9pgT+|LKKaZB=Wpxaa@9ANw!1^+I2h{Is~;
z;<I*L+q1;1QESmW_WZxA_5SZU8)9DaIxIB)v(xuyd7)X|YioYR7QEa4b^Y!?-7!AZ
zb<z6`&i&l}Z_R1>=gm@4-aCF@n_vEO@|-tex7S|1SLvM_T)b^-)pl?H*x=t;rOvh9
z!OnA|uU`3jv~Ah-RkJSTN8Hico3_+Zm-p_ju<QGll<hftbA4a&WvQDXzc;_zdbF(g
z@!I;!Pvf~JUhOT_jorP}K4X#8xv$&3tfoqQ%?gce%%00xyyMnPgRLH~eP$egdTIA2
zl{MME&0QhBe-6qlFj~l@H#KhSq=sG7Oq|7euV$>w&dFI-yYcn;Zq5B4uEm%9G`7`=
z)iT*LZE00&O<+rO-m~be-u3Qcy90xnjd}h(*VPSu`SG&%`}KVKcMUJ!`*m8ceqDRn
z>R*+~S6`R%hGtEe8>M+9(QB!|^pnRXEW5Qzs#KB7XO+k!gN;EQr&)G>%vE;Fe0==0
z?c#k4ckWxbFp#xpGP6|tx>e!jlei?Jtt`q|O3ue$G|XQao8}o4KJ|Lx`=>JxZ}@(t
zZ08(Ni!ai=Rx;NXo)(m?lDWF@yrC?c%zxi~Zd!ArbZynL&ZJK|#-x_5lhSoeX<3#|
z+OOZ0(=L3^KgGWK*|b&D-kn`*9^jknom<*H%gF6{-i@S?=;!(T(o=TJb|<O|dsnBt
zkI~m~D|^rJBG~Hf6_eGKuD(b0ub7GccxS$;&?4DDFP-<;<Lc&*mgxqJ&w15S<{xy)
z`R{vDCj9c8wEerbt@X4%6O?OkCMeh9Oi-@FnM>*C^Z!*&w|_f9zij>byqC8E?uRYi
zYxed-tMBfd-)H4Ze*Tu-756r^$R#(U?sI$WzwJSb%F^YZPH<jtQ}lACdsgQ>cRTy1
z-TW2v+!fD%(s-09cl6H;cTWGJw}I80VkSgCD3r6bIi)<kz4A$+a(|89v%mvOZMc3a
z_ABW<4?Hu~#%sr{?EYIJ&zfwuN}N<~e_i>cNU`50@7cujYi+o8%ym~R|D^FKPwwcQ
z(~Dbv@BH?oW-|9D?ltF?+XXA17#a23xILYC{)G*f#AJ8H<j)#OF>*(H&M$6xxbqu}
z%n4RjR<&mn4==F^I^AaTX~raXyWr0n2~l#bKIa#AFjk6OR_+(7dUi{=$Us~~?8Q`f
zzP+DMEcKVG{Bm}xT%neG(yzJ@KcQbWAx4d>Z?0OkYv;VW{hE;{mu=YgGIguos@zrC
z0oHH+iM{@Cxm|T}%001&kFzJ4E?>rd$M^rO)fZR0?!UVG&i1OUAGcP`Zx56IaN?D-
zTy*Ub;nm@X*IiZ5`FmngT>I2*UsiqT-!8hoZ+^m8J>j+Yk|$mL?X&GygJzh#(bZSV
z%OY#fSgiJ+k?6htv{rQ0)hFhc!}iSLOpn}qI%oCw8OdMmir3mD%)8pov-wxYzinSC
z*6775KHYj=YBt}GGs~Q>-6}a^HM{TUm1V+q+j}zgn047#uikfXnXK8ZEvMCHf4h-$
z*)BTADq*#6yUv!E9W%{jjZZHVPKz#j^>O3VgspF8C12(%&b^g7&$s%__RRULX0ir{
zmpO~wEIE=iyDu{7GN-q$<yXlR%Lv`S({64#tZw#p)175kk7Ql83)fL@k1~tfmbvxF
z_Lm*rX0pW>mkI0Dq@2q<kl?-h%BRnJmgZ~~u}#0McmMX5<B_w!Steg*ynnOgMCR<U
zZui-JmYJ71*>x>>C6i9BjAE)!)Qr|`|9*4J>FH)~H{M@XyKmFWhV{8tPo!t}StMLG
ztj@K1813z++!j?NC2EnPX<cNIb6L+l=hovDzQ1KQzifDHCR=o9nex1wC1;9f`~5gM
z>GF)kX>*RTR{iO9wf?dtb9$WF+l#lC)&9Csav<1y^WzD1+ZJCnI=?KL?MBI=1N#l1
zn<O@=F86Hg*G*ODYtFg#bl%dNoySdQf7_XNnbADQ>d7)+_dA<j&bVzhx8V8WN$JNe
z@5bB?^1pwn{NJU9KeH>hnttUullyJ8^sHAue=MH#e7k1On$=Yc7kW;$e6iU6-KX}a
z%T_-7TJ>vh=%;Pv6Swc19Cm&}zt_C|VzJ+U-I{NHElk_;%i{V=twJ;RsmGoFa7XcG
z_0q4QFRi1#_MCXS)VFN?`7bA8L~ln~y;?l!yNBreu+Z;G&cWM5de5zX_3cm3&lP(T
zzw)l?;$45uY^7WO*`+HtF3c`=uC2QAB}P_CdRBDMK0WhoTdtU{$`R3zZu~0t`a|Wq
zo2lCl@Xao}k$G8fch0Tk?Y_U?Y<$`9)J%5Mfn~~Kw@S_^&Gw7PIl1yQ+v$T@;@gib
zlbv>J%W0$8-+mlfR{QLB$${l&vYQSqQx3aTaz<;mUqtT7mB-z{N{=m*wcDIIefCcG
z&eU7U*V)D1Zoa*Y`P+?>6LGWqejHks{OxARq3QB7ieGI$IZ1SD_w9Vm=(mr`Zf!Yz
z-R$khUf<s`8(uavo5_A!>+3GFDMdA5^HTY?oQ*d+wu(t_KAR-Gtv=)v+onx-udXTn
z(LCv9#98gDTVEb8kb1THa_5qVN5yZhV7>Bh&(dxCmTg=1v(&Wk>gHd~_OCv%r!wA^
zntk=JQex?Rj~l7EnTKxKCx%?T6EpkVz1MacGdFnI)h}5l*Bp4c@8F7qmlJHlBzs<+
zU|X!W?BELSOasB_)XM=2>R<kTrg|)~;ouG)6N&zQ-eb$BA7tS%lh}IIRAOtQ_TMkQ
zyI)@WrMaHz@S1yxJFf2TnNVAN{aXLTUY)-dPAmUcW*=B_sei>();%|R*XB>vsk)VF
zd);0mGIs0doSQqAmfXCt?vlUbmEy0>lb&YBUjG$-%kKM?J2%#Twdc;!i+y~`k#Dw_
z{q|s~D|?qdY+dzh*7aT2w(OZRZ|}U`rSm^%aGq_=)%fcX<{448-F%t+{hxcj1UD`f
zlwHj9ns=(ctVBfmii@Y$FTHyH)s(uvytNN&Ue<4`J@8@krJU(UOhWe`kUIR)cdM>%
zXzc6YL$7M&y5++)y^{quYQ?`+I^VwbO!|Va#pZV}_v>vlF~4^0n#hb$%M%YTUs`ut
zFeErUJoN6RuUDg{J(rH)@%XQuop}A%^dC#U7>COme%-2Xx}{`okoJ!??^vgmYWe93
zSc^X2v?RDtuG`zaFHgVp^uMx@+}hekyQRvBorN#54fOu<99#UKU3K=MD@%fpFvwc*
z{8^Cl`x+N_kI0I{lfRd~Dso>SSI+vX*na`zcUGxS4hsbNS*1REEa2?Fe_iU$r;Yba
zwg&E4E56_xyFJ&Hrz;(7=W$+nzSDuZPAJ2Je_@)SEvuBV#{$mcFWPS|dh>^_{;`v(
zbjEV=2gVWYjLszzMj`Ejhf5@kW7;{DO=i@oe%0l*(y7so|NZ6o#q;~u2DcY8mHv!d
zAeYYis@QD-<9$w(CtDnp{WwjYEpZU`+q2g6Mrz}|gsa*8uUS{bRr@T^b7y^3=(51>
zH>=c!;021iS)?{bFEFfTnYAH2f5Y*by$4rauUja-pz5vNUWWOVRr@(-tUf%!(yC|1
z+QT!dt$cQT_%^@fr+ey$t&jX!YQHYjkl8n_^|bk>4;BjC^P4wu{0I|MY)=vVxKt;p
z?}XCB7wxLiGeVZcyRLYCqh0m%QKh=IE0-z$opok<{`0<ywVJk%j<l<;zNF-5zxi%J
z{PJlt)LDPd3XZ*c=S!6Bayy;30%!G?)(5UX(6=csXbE5Z)VX`6uA2FMKgW%Q+goC*
zJZ~&s-cnoT5z+hK>F*V@xCL3?^#ZnLim$k}nmZ)-BI~NMXH318Iu}~@{a?C;-DLlj
zf1-1q=TEPBw$DZ6`|7Nfu}3$1De8aN669fOAI7!v;mO;otItfDFzeQ&TQ=)tzA*J`
zv4?)%P!zx`eqXQBDo7|~w(aug|8A>h-z%D1dudK}{`57Kr<rfr^`5)C-TPaMs$uWl
zRkL2Nj(d3SwyM9#shV7G=Iy+pCvv9VdVI%7Zk^MPpJgZ2pL*vM=Xw{%I(vWnJ8jpx
zySug(9G=ki?(5C4S5=u-m+M!rmh%3#{HXrL+V6=+71~cuZH`$M!uM%Ll;w%^TkWdV
zo4(xN_H_TPck$J?pUA9}ntOWcc5kysS@L)8EGb&scK&qg@hzXO&Aq|D^!mydm!53D
z_GHpgt2I@-Q;y`lnP%IYWm^#UG9hl2%(LlyXO?QoUJ{?Obm{HWp{2Snr|nyJA?Ey{
zPe!-r2A|*Z{ZvTs*VS2b*8h!Ml{P&*XyvUhQA@JID&uZVeSOvV^V><jLC-=eS0!IJ
zUVO)7wQlvb*RLf%$IZ-qx$fOI@%Ws8<2IGsQ&=zDc)NAgm+Xb<_q8SEF6)2WQv374
z5}hkTzG7KZ)ws6?Om01&7|9|tsdW~AieTY}FT2frUw+TxlDRPBaAEJxIhSV~uk8I9
zb0OkDYHw@I#fT$UFXbOtcK=(>?{lHsZ#@d0`!aue&S7t+S@Hh+y>I?XUGQagZvOw>
zdjC&JT>qvjFKY4i?f=!M_nWd!t5Nhg>Q}k@$?Fv_A6)-tuwvb|sK2+@Zu|9gUFlWb
z(%!qTXJyZf*(H(r?q8FZq3`!&-&x*lc(->F<3d^S8=6J!u}bBZU)LS6{nqi(uG&@Z
zLu-id^iZL15t@nfMTPhx^d3&wD%5vF>*0)CA-@}OR(nJ?N@-<XI`iIGvt9qbwq)F?
zkjAC&XU#b*w757jr$ny1=Un>2i>x{o*DtV4|GVs3Wld_3UHt5j1K-s|jjo3Dc4(@J
z8sA(Zq_#KOC}FEf=vDLO{VZ24Y*&_qX$v0xd)=kGbVkBPy~n!OlII-#)wA_RgQA%9
zrSESiS#CYDZO^?3@BNqNrg;a~CeNDxYx=9oFRLfr{&Mxzv{&J)cZZsay@=n{m+_pB
z+2x&4z!N$7?mOudPB61|+br>a>9+UnG3f}arQ7ZnG2hd@*}mm|j<DDK*<0_Y3A4U3
z@?0P{ne~;i>jK7LZ+ZD`cRl`oy;b$*>caElRy;SBAD*CJDzP!X-SGbFP%nwEb-%y2
zy^7P^bMcE?<Ldg`cGY4Nz3$caTH0Kgar*FnUCnbRyR84;_dXP~gzxU}+cv@0H|}40
z`d&2BeCxIl>EC^cJEDudufGb9JO9C4c5=0MaO}oY?f>=8%N)z_S$oHH*{^g-?Q1{1
zdoABwpK<!*{_O0$v)nJ|e+<aJm-+tLgu{D+uEfM%4>{UWUi5<X*z-Ws$DdZTHCBe4
zo?%lp@nYo{p~atGq`Ex|40n5S@&DluHdU>O@3(wiB2rqfn5$M^A1d^H)vb3T5>Ztd
zT{3NZE>AmZ7Lw3=OeQSbXRUzAvT5v6!P(5ctTn4PPIxNSJ!9#{8L3j<5wr4VoqkXg
z@k>&v*HY)&jMGZJl{!~uoM-A~J##(cgiWvOnX3_JWO`N4oHIY09AI}wyTC7*?{)pu
zbiLOm&o+i7+s)6^N$5T16qc=%H1$|gSe8zr>%RV9HFNUY;vZc8vvA+xqTZb~iwyf`
zN_~!8wXs1}iaT=6#tv7h?#LAzTUdWCW9>O>uB%~SU!Sqyn0oKdGgoIEm+Ad^=32x7
zo!(ZR%MnMmOLbeW*x17Sb6M+}^X9rbAAJ8^xbQH$cW2E~!~VZNgI(5~zRzZu{aNGT
zW|=BSU%8!|;tFm|w@&@_`RHwvXB$G3^`cFlZH!E|n{6VtAuw4{+C<DSEZLCRWZDJ$
z`zF)%KP6^edA;1QeV<hE%f*KM_oP0*T)MGgo)q`Xg&RBO7uh~#HGO4!J>t;jKdm9!
zn`;WQuI!#^AJSW8pLOPG=P?_(>@&|N9%GWr(s|N&OzB;=&a-*Pgx)=y{p@q)zRavE
zyC;LxZZ~~a<ee<%Z~DyG+1mX;NpGvq<%lC8y+?g6MjU!l)6R2aE`RXidn&yvr|v%%
zqn2?ddC#$$W0^V$3ywJ*%g{;MaxBR$QztQHU#8-2kb9*U8n!=_DwbSk*uU^+u*;h>
zi)YAIPT64FzKWaYq;mVgoxx$N1K(emUHPow$Jsjz^_o8|U0GTs_tWNIeX+;c%AcE@
z_MKeZ!CbjSZJv$Sk8fwU$$I+67QX)Y`rC|U#~u|ot^a)D;cA<roAce}c7HyR9xliF
z=FH*=Z!1MM>h>qu{VlD&J6r0iTDR^}g}*N6pA|jW@bY@@e%3%1*0ARthgZoIM$S~0
z(=Ixap54cCN6&ue>0?C?HZGJ|p}1OxWsN?&;_Fk6M{X4fe2%pAhzMqr;*xo}<C$iG
z#jmu?yO;gD=A0F5e_wp$X-r?mob!V7xh;hXHvV~{cl=c2@sC9hiWkYS%+X<2jGx-v
zarvpjv)x4#3Z9*<zp>O?dd1r3m6_9KWyL)Y$~=(0)#i8U?bz7p%byOt?hQSA*5+^N
zZD#eOtK!$KTEBj6w%`Ar^ZlCwikInJIiksJT7AmNci|?Eo!Z?Uaqqj8(tiHVegAw)
z#~1&S4~J)OD%_c4AQ#SaEWP_6%Nmmz6GGX9H{MD}y0#_$?UfZ16JP$$<@XQ15b!_g
z`t)7qp{eIRrti7`ZQtr3EouF$SH;$^)7qGA+kLy<+xO+Q`?uq-{Ik&cYrAGwc(-!;
z{%`zem)DzY{or<2nswP0dx@-MzTp4Ww<oujg(b}6lT~|hA+?e3s$EpOU3ZDZhKP1W
z?-GfPA?=2zOJ;oNyyi7MQ|j}Kb%tA;E=3&h>1{o8DdI>@@5;OTjtM<`7V+yx_ReE6
zc4tlXs&#%`zMpkrVY}SROTq1OdrMwij&5hHEs?ks-Y)pJMB;LMJ16s&uw82|%sB4V
z`*Y2uhyz)@tu<>lb}WB;-uHII8RK468-4F}ljGJgF`tf_<Y>C+U+!(&>x|qzzusGw
zO6UemH)Q%Mp&hXGhiJxuipI7x;u%L08;{8dW*iFKdoZhVg>(Nwt1ps^4mT{c;*nf-
zxZ|N!kK{t<tuf~he{r)~=G^}HXIa|zOO}mRGD0g(vo==6h^#oT+Q{}t;lhN|Ouajl
zF3ecX<hx^;`HiP7e71hCE<B&Y$L#mo;EA=Y+nkGuXCh_QYU26knqR7Zy^BvaZ_0M#
zW>!1#6^GdxS1n!ZU~9&CC4I94^EM8Xl+_Mb;~W+k-e#S(!8w1!X<yl@JC_!o&y`&z
z+s)@}cX?sd+}8$abNPg?Jx{F4Uwd)k;dM3Eo2y@EH(J#w2TW&X`f8yOFh8GFs$gx6
z$+?UZK8<c?gfh<LG^(A^oX_9&-r?=F%?~!Zx7$^hN_=SLbN=?y;E}iNu{YNf4~0Ly
zP^-Lm`?G1`cCCD$Pu-q*kja?k)rOb_dh@wL-a4?p+8ne%aW$*dMz;l7zgL(aO5Mk2
zEB4~T^V9!V9&B)}N;#1v>!x!t@z5q4pZwlZtCEQM`9WpbJOV2YJ2dX9(Fy2xWcn(p
zbD=?ziC0qVLPsJ~ucY3E7Qw3KSi9>BkF&}CdUHO0#+PdnE8W|^TugL{mp%67a-!4!
zq8EE7-jmu}`odt<p1G1b29XQ+F0;P+)ahXB$9?6wbz|Ec(TpSCnR+dkn;%Nu!e@Kz
z#f9|npQ0X#2P|xjQugpEtGzHt43b;6{TQpPRm>T4&E2MXoL3%hbBKM%apmzkhuU|X
zCQmjxD9_^v;oV)O9HaO0!ee&XUvJK-Tfco5{^i7kV5^=T>khZfetMx^`R&c<k{27c
z?(vk=+2FZ=FP`(t(*+K;`$SiqS8Q~X5zRPbxObP{?UEN8W83YjPhFmMXu{sAlq2Gm
zGrpY>wkolhxjy5XarytS<$TpFuZlbtXhkxG3QuuxPUA3nB;0uH%&)cAIHupT`toA2
z^H#T$%MISn{QKp^gnw2&5(^Hu^q)?g@b!$PRmqI#drNr4e)ufl%VvGG$!~$&Yt~nv
zcRDD${hRBT?DltVSnPDwDruW(uP!_<sf-Gi*yPmT6EU?t^SsP_`|4Z9>d&-ieLXXe
zsdPv5KEHD-PTMwC{m}@RznrOehw_CPaaE<UYA-J&Z{n*x_hj<avh9^sDM$VkNu=4X
z-p(icYszxfX4Y>UCJ#EmU809u>Wt>sS%rM>=1XRKnpm~<{L2f8vH!l@y!y{)!K-Tm
zD^4plu8MYEU?<HYwIOhU;A|GD&E5+*t-mhYsdH`NaU<DZHH!{U2({{oSbKPe=~IJK
zyH1<QuF^E(zx?{sUOw4#pp24LC$Qo$W8<zG<$(V6tgk*#bx{7sY4VJF?=HRjFE2cH
z_{lrnX@0X6kL5~vxxz&<$0DP?KTDi-u>Gm@Wf3bI4S8py7Y57s_)3<}R1D~U`D<s)
zyVuV@S%lnMA@WN`uiZ23|Hh}OYLS1%r}3ZDESV8+*P9ZcJBQ`fr&b5seHXh+XD)X(
zjeE9w*0uUm|8;6h=Gtx0+_3uZ=e@aS64NU?k1Bo$th5eZq1VY5>Qwro(7)a8d8tG}
zbi1N?>56IFt$KE@I^3fDc0Na5v!3;qY1KIwXPi&#W$n2TaiaLGjNo|_vCkpNoc={R
zZ=I)`KKtOjuJERWky`oAO?jqK?Rtw#UKj?o+wCopFo<baJX|7S7}9R&Tr$JJV*1G~
z?(tWm)|q8UeV(~WR@%TdS#h$dn4xE~p|Hs`gLP{z<^AGwYILle5x1T>b$1hwRZZ|V
zZ4IaPSf<jOngRW?RU7r<UtdTLxM@4zasI*oo7Y^>dChnEl>gMg=_{GO&Iqbo_efO=
zM4C#ia-N^~bi<FF^c{S)Zc`3F+E&rTSF1Md@FTO-X1-dpX@?)}Donp=F~e@I_Jzf3
z>Qns%f3D0)I}y>?`}C#9!ov}=yL_%JJT57_sxY9PaeAplk#oCXe~HBA$ac=%r|<3Y
zS$NuM?Nd47)Ax9MXP#H-W%aohal+}XjG(uv*k-R}&g^2Hz3abaoJovdSLpk4YGhbl
zxLEbC64_mAt}Q$+CA;e1VrSD|(|Zp|@<=W}+>vP2GjsLf7S^4sC)`Vk&(i&FvBq$|
zvJ|()x{VX|r9O^6rNow{lQ#dDP}+3WL#KZUr~h+**$~*msy1zHY?n#GEC*%1DQhox
znLG@NY%_YbIjCJR`D@nEp7V#doUWO^KKokwyC>)8$3AFh>aEbZ&?5i&s@M7xrn0N5
z!`ltFpGy2?v*p0c{|g=4gP-!ANN9ZfH1ll60msHR8G(!=b&YB{TIUl#-puEhW&3g^
z@q~};v7D=khql@Hy#G1*C(DxX4=#3$H$`J+uCFU&HRmvSviy_wjbBg7b~p&TJ=gcC
ziWPq`l{aF^;R#2rdLq{yZt*{z__6ZM(NEj9*(ueAY-85C)Nq`Mw^HfCjC3a7ia4{2
zsUc_gJmu7|vz)uixitH=LDDk5<g}Loyt<V$zBSDK$zrmU(;}j7rIFN!wGPgFoF>m!
zI0*N>4E^w8wex&ND;|jzhdT~hg=8nls`2QyAALU0`jqg6ZyKBS{F3Cop>tt^E>rJK
zy$da}RiZZMUR_A<<YS)p${=YcU$WiR#6w*+KGhEI|LcceT*J=uXT25gkB9||%`8&J
z5eqn-|E&&V?PsoE>^R@9D#amQ*6qvXM4x(DH6D%jqt7RwKgE9V(dU!PpWa>c_2-in
zpUk$}&6m-=Fu{<i7gUA+zW93Sw>B#tiDic;fUD`lEfYZ=lK;%<k>05OlO<*If!_TW
zGY|MSw%rlRIFj3_c1QC)<I&~akH4N`v$>$`yzZ63vsrw?>!u%mq<s81D1?e?LgqE_
zh*gBd{q0$`(s4mnmO#dlekR|FRo72HU&qJ%?xn$#eSFF5UKl(~luJ9$_?gqA`ap9H
z(<V8CEqj>LO&$a{wyhD!IO5f)wnl6Jjic%F&VM<@W^;XERM88AW$kfkPUnjvYOJdE
z?^&zqliv7gR+>q|P6uZ*E|av$4#H-yLq9~Ub)Ii(#q-0bT`;>;VzXa6=j+b~ZyCRT
z;>_?8-k=@-_f67y!Hg3wjmLb1G7hEe<&ujod9gXPo$+>w#KzcmL+g?m8#c>`&DX!L
z>+ir7%9J)W+<r0RW>zU9#|2s9S{GU>t3+*<y$D##Qo16n$*O0@y2CRht$b$8Y|rH1
zG0)%O8uPSgtLJ}hNM+*v>9W93nq`*3>OXb5cE0o1U-y2?S|YI_pk1)LL}GJ9JE!-*
z?3d?u?~t7H+r09b!TLSZG_?()+xbqHzWB6~&o=BuK<=(mi49)uimOW{HoCPN&VOl;
zxb~XY^q*3nBNiCWZ<Pw3ZPdHccIGjqX&E|cD~}0Hdm3@e_<H7<hm&I-Z`zqTgHN{Y
z^@Y?Ge7474Ur2A_V^(`*kTQu+S?#q!S{I-2vaGcwk_!#{H%fh$Tx_^i>uSUajb7KD
zD>I^YXZx(Zb2_5%w~cQy-{sR%(>F4?m%b?QY1cbm`l8UO-A=t!qQI+Nae1jkVL-cK
zddZA}u<WI$d3r0)T$yp+qL;O1`Nj$PrecL2$%gHw(+X6MZ~A`B^jU%4^&M~K-CW?@
z-fLBIbBS~RS*tHM7aeX`YsGVOnX~ES*8yjrScMqxyyi9iv()Du3k>IXOL6a5vT=gE
ziCAG|vf*x%X&)*jwe1Qb)*H6pP3=7$(U@QI!oa;<um9g{He0io7t%NLF>iZekg}Rj
zdD}~awB3BdWq-EUXvcob(EWa6wc&hy6R{2c$%^q=I!XJFCGEQuap=0`G+XY|S1tFZ
zmpzr5e%Cnu^@WGhvb$t1EIjTg`>STP^VYSO5>MF3x}CY6c!o(<P3KC~%T3YAa@8i!
zHU}m%#%JiH%s-~I?@Gj(x?a_q&|_Ww8Dg1d5(^_AN6BAUb7|q>EZJRaE-pNtZuKQ{
z_2Gt6E1t;phda)ft`IwF<#S{8)=R0K$84r$ok^d0jLGg|#EBwEU!1E~b<LTLIoB5$
zwoC6kmiqmSv#eFj<%Oq{Wvgs1E<E3F#Z$5B@Pu@$o{DvcXUs2|abfYB*nJ|q?p%p_
zSLmH=x7}2%z&%;9+*GX4KiTlR>9h|EdPL>3zUr(l{x~yi{ig55(ejydwXzG@Wc^-W
zcxo+MHRs~O^NO-;HR}&gD7NacSbum1x0TP16<hB-U31KaFZ;~%j$=%HSvpT<98>Dc
z)_K-)Ovvw9MAiA2OEV7hgB{qqX>T^0tlp~&Pbcx&)?HY5K2?@2=3?TBP)N(YR95Yd
z#_i<g7oKjqR@AR8^?B#2jSZes+?K27DnF|3Jv!%d#G#)?VKqDz!O48vPl1wLb?J)_
zt$eZHUS4?YE&J=u^~3|=Ry;qW+6C{wFnHF$C(M_%wq)m8!+vY2&pTIdY^avv-no8b
z2fI}F&#+|9>LQ)J>1Ekx7M8CoylGqP)t+T?d7;$Vk{5-(?RJMx=bhtW>$#A4!b8@r
z=ThRC3|X}v&DW1LODvacjp<F33SK<(*p-ZBhNiPJec;@%V^Q`qqQjoQ`Kk68?7+*V
zFASX9^^8kj7<#wcZ7!8CaBo-KTPpE!#ha{jgJ&E0gy&`GmP@YRdMCN*SdChiPQr|1
zPHNdYNnOX1mSyQAM)jq>fBp2;oO{Op#l5HB&D^*1PRe&5d)ZxIt}i^!Ap48wO5%az
zRy>kRK=ntD<f6kZ3!_fQ&db#OUa`(_{(KX$3(ng5OO_u~s>;-PwlFNtY5xCf-?*Q~
zzK*{1|Ek&Eh|t)cfZ2cU&t7|Y<^An{Z%s(xuF1a4$DSMY);AY2o3-_2gRPnDrqj!m
z%WjpNv77Dp<I<$y>6525-(~upd+VY0?6RM?mf7yxkU9UdnQX!RWzO$zmK=$l-M2IO
zGUs+(%dZxRmN&EuPA`+qyS3#s@9b|o@-Ew5&#`*2%C|jc<I9fIX0o4~ebr+&J-PCH
z$J2!U2V7^D?MS$+_dNI3<2k;+Yw|BMrsrBc>G5^1Nk8dzz_6RwGHautyN=nj4M){v
ze%0Qd&v*H)wCUE;(DmXof((x(zIuQAAICJqWe4`0a^(B0&AwA-h0K$U8;T~JUv$cm
zv0IzHRcED)$i^*20cY-<a^w`&juV}pDasvWe7NP5Bj00f_MJVeWu6$WEShkB(<w(r
zYc2NHob@sy8`l;EoVj+&kuzE=PPG4}D0fit>1UvcBrW!xIcsE|Y+P70;r#Qd%}m$A
z`M4ybEj5y2pDviOb!xMa-Rg?anzRrdkF$Q+TI`l<*2z5Cw5n*r@l&T9?X<PnS!<#!
zHBu^{F6i*rdS;Z6c67#sNYP}awD6wJnZbr?iJ#uz{`X_4KyY}%>GwJJ9-h9x^{=Pp
zMe!SX`~^4C_zP}k@qhSnM()Apb8-S3uE_~(IwmKu@s^yx=bg3+iOr^$^?t8mU2!vO
zv8_XI=QoDwXTLG@fBnWV|L?bk2JUYS6Fz@y=qUcyFysAOM$YuLGsCLQvKh13tdjW)
zew>qgP;^V~LE$O62gR4<1PTtx2^8It6DT|*Cs2GvZbHG4S()?qUSqnlrs#&;gMt%s
z4?eB6J@9ye?SbbFwh9lVs~t{U|JKm4eoZCUjpVP2yVu$!O}~2l&6Zy+vipCV$NpP-
zQT+KNCTA<brv_ij|8F}TAJU~|u+BI!>+9W_2Gcp`a(<q1W2V7;&$*o3Gw#gXFhO%J
zXZMU-GdIlGIG5A=#}47^r&1%-xq^+)A2`=y$@9WzhWGz-EtNd4d}f^Aa;}AS%`2aX
z6Q|C#xUPBO6LDtwTu$$Zd!5-&4fhDQuH5;0^0^jEo!34yPG36LQmOOOXU6$Q=UP~G
zUin0L>_6Ays`JJt;!M-I7S%KFk8J%Ud9m}*l{e<=jT8Bbt%aW%EjLb-+iWfT%y_kN
zV%Gl4GdE0-1i9^A=Nq=3H!dM3R`|KN_PlcmIWxo0MV05@+*JFp?{3cDr!l41M{6E?
zcqU(W_QfQh#>Z2eW%|PSieCQQ-Q_6PuQmP2=N&}>2PU6#RJ0G{>#B&d)OZ&8bV18=
z(e+)Y%RwphssGewnW_+<9kW#B!}Lxr4u3kSrYf9|>qW4o#*+M}3p!p;)s`z>R}^sQ
zXIJ>q$0bLbuFR=eSTy1A(Nm6k)3w+u{{&c`cwY2$L4)?xW~X&wd|f-jEIr<?(Pme@
zv!Wuj#xGRI<7}O|HoN7WRWeVCb{9=JKKGQPUAZ<p>z&myB1QX)0**9y?c}kDG*o+1
zaCAn8vS@NrUuch~#mC$GUwlemHlwYiZ}(s8>Xu!r_WwSuSD)9dQLr?q<1qhJrx>?C
z`<yzCH+U)9F>AN7)Wm42qy#LT&~b38Q&L+9m#4(9>gs>7d0{8!%sSZ?YSBOIcw0DE
zVD(-vMLA{d)*n5qM1%}>1$ms9;iV{eUhApqv%IAfTB=2V?LXej)w;s+b=^{dcJ`@G
zSF{!dbwu3^<qCYg%S$mUG+fhV?MtoJ6{4|AC(N*(>U1S*ozuVCb>SK=XZ`F!s&;V6
zdY$#L)oxv38naYjYtw3xMc4ADI$e3SHps(eKbNxmfvsFSd3Q!ADm@Bn?U->|R7q&v
zzjyt+|0Ie9hCkmI5E>jDem&y$l_SmZe*&l5Ydq9-t@$sWTmLiQ;jVRG*PCn)*;<mX
zSKu$kSDyN?$X!fsd+NjD)gdNkrdv!x%&#onx+_v^R@Un5-TR_$PwA3=x+pMLf3{Rm
zVXV0B%pGA`v$9`jubMSGtA5(P#_Ru%D@42I&hl0L_IKCY_3G0)zZmE4*E^Y*CAB*=
zbpN4y@za;=*;!q@*yt<o`i;wV_MDK8dzh8k8x^<aUDW-pM>E&`l?-Qjxa`pp{abd~
zGrM*jTlOma=EZ;E=E2`SuQM&(`sYLUHhb3S@c&+=ceS^Ejrn!d{`Dt!*DD`_uD{yS
zZ?gZR(?@gn-+K~^_-gO}*sNEtvzy8J?4`sRk-K=zz54~zUK(H0VRoCDdLm<Uk3{k`
z!{oC@iE|9A_5SvpTfG0`PacC^4UKN%pEh1}<uTed<DlDeweJ5ayT3fX_L%qhQu*EU
z5<H^5eSF>h|D=Yj-pr+^`O{t&-qfjGHzV~x=w_asY16W-^QRe_pD}vaW4KvQxOe8)
z87U=p=Dn$Gb4+(lc;Bn{XwJo>bIf<Oa3?*UyCqt5N9r`b?f-*svkR9-KIlAZ^(SMa
zp1<z3$1{)q;;B5G!+b3|=fra{>5T`IlFg!W4yk>#JH2LB_u0sAJF=(QeLrLLU|(dL
zjlt=T_B6@QCv=46W~W#Q<eI4}%64v>@o74*YqjpRhuqzzH`6!D#Ye3<U)Rn1<5ZIK
zzHK);@^#FfH5^rwF;Tyix;nBd$Jl%R+ce1yhjpCGW~Cm{+uU<AcN*vGl$rn1>KgOU
zUV1oT&VC=U8L1ojHtSwX?K@g^W^2U!N-=4J`$^7X5jjVkx_f8lY~<`d+gW0nGfl4h
z%%$|MNH!nS(-Sh&Bn|KCB&W?tJ>)al=lC+!w3i#MOxd546P3J?Z+_&O(^1`DEfmg|
z%nW_)-yNzQ&>fl`&>gxupgZ(+K)0`i(s_oX_R<^9%t`I{KXWO4XCzxq)-*-!Ge${E
zBaf{yI^A-0lTUqP%-fw$R7C1cYiG>ae=Xi$K7V7@-n@-jwpkmqT(dUXP1ZF_=sMcw
zv-L(trkJ$x-6Y|(8Nw^SEl<OSB`@FCx%%&l%H@rj%Ob7L7(LaOo}KsCeRHUGMR(}w
zpzhGuLEWL;!QG+S!QG+W!QG+R!QH((b2es`{*v$QESZ^mZqsq)&l9uGXH7%LoaU)B
z|4mwWYsSo_x2B)`c{-)ht|<QW8t3@#(wFHQ<&t&7bbWNg*81p%>H6x1t@YIn)AiFe
zOYFas7_@rZhG#mfW!FUhvN1p1F#n9vlJ=cWg0^RkmQ9aT`(k#oB<EK4w5<5FQ}JeI
zwb>i9So1e#MP_Z3bJw}{e8o{VnawvQJQkBKJd~8|7nO5Jvsme>*fpK2eAjfYvR%`;
z`tPdF)o)jIuD-jfle}%_(p$Sv8T~zaf@%5LOAoCr>t}{=S9Ig@e(#Lrjah|R8?#EY
z{Fa>d+8kmXu{lIKVspsv@XaB&!#9WQ4&U4pnKCWweb%IxH?|zpxw`KRDEe<DZRCsA
zy_VX)XZh(h$G`s1*=A?1WA<R#(Y8CAZgkuhlP*4<B>e7QdNRnRb5i?D(_U`Ar^9S#
zetH6Ln&ifdI>}};QxBy@F57=5^1-}0EpN(Qcj`aapUgThdd*>fvDcR#uRnWj@$#d;
zzHGYDa8FG7((xqaUr{+e_T9cO{$D@lvdhLG{pRC^k-uz=PB$D+ll*i<M|s`M)HA`G
zeJau>y}V&^U`k6#j#cU_!J9WqjwH$UMXfn)*!|TaZ=;>Mj@g4HN88?PztM47O#1WO
zqiS;u({Dc2-u&gqEuGqZhNl~t(<F;7=qT?qJw3xYZDv8lw3qq|#4NI>@!dZSiuKiE
ztG_jiy*7&9ci#B*#sf*UVo^B<l)8B%b2bWk>zJjjJgTNMIsf#PU2}}nZ$91=`76fY
zbVGNVWYI|-<vN4YGft<?EI6uidE-mrV4+JJGk0p%-@LKqT9WLw$Tg>{y1)LolT>RK
zk#oSUoA+kQM#0&-W@+6=)pAVLFFg(3{3RlBnw|DpqlBrEZD)*6cU(=A+<Z(&*lu#_
zFYnE)$7U}*e7xwNQDnlDqgFlJBBmRPy*9j-RC_Ek=YT^u@63dag2K9HX_Jns`IxC+
zN}Ui{#bf3@UolP6;EIlO+sxD>1)F;$6Q^-1`x?p4UN&Rt;gH~en>J={wwa!K?P24d
z?9*#bJ9mGrNZDxDuWR<8^Jv?jjE#c+x@OO29#ylMet*B$+S4Y*(`=W`UV5HqGtZ37
zX^NZA7$t3sJa)$Lbj!|7J@-A1FPr~FWyz(5?RVmhA`=!IT~&BC>FU|6jj!^yMwIT3
zTC*!&H|*{PvDNP)x<j|GIl8JkEY5$)wWZ4=tG*a{&%c)@S#ZDRqtmZh{XH+zry2e|
zYn0gFxh(mzYjEqOjW1W!n5PBlKF|$Y`#?8L*IPGitv5JNnmy<^x@zglqpP;AJi6-W
z%A>2UuC$z(#hpDZi#>Z<7IXHrtp8cl6qla~dK-5(C^znG(A(J5ng5D5fpYp`Q_K39
zIv3pcg&V*Au=41xH5(%Or;5Gad@6}KEIQ|eRCn)>GfBzUqH+#d{ha5!_H=3SG~2ux
zOV9gm=Gl=tO>z5Kqep#_$L^S(ZmCwA+2{M(*VE(pvh%(_Paj(*EB1QB<)qlM$Ti3F
zy1(8`-pE+3Yxab@yZ1)=M#K21oI^gvO0i}$mL7N8{N-lKG{)0sjZ(TJ-EvG%&&W-i
zx#6$9_k2lzd(ZHMEf+0&CUX16Hm*#2X>e92cG>Ku$9Xn?kxZS&xcQ7x%C<<iGlr*U
z>`a?!aDImE^o?%M4bQ!(IVK%@fMqfF%#0Gj$vt{$n=Y#Q815*Mn3Z3`$Iat;nr)%x
z|AQJ=_np_d8g~p2er7*o)ALOiW<>V8x;@`~;|0?+iP#fCi@PJzN(|>q#2zaAcv&~^
z*VQJb&qvOrTuS^`KjGM$MLHYqBwejKffoz6%@BUM>41){*sP`JQ#SKN<V;iaK4X-$
zGV+*?@#z+SA0ye0dH<^ym;88nUm8?fGW*;-du&mo*y~Rlk5=()ikN>;O!~u_pyv}7
zPD@s9i_AGw(CsUccy5z7|K=|*GN;+?J!7<Bdt}=e!_yu6(j+gR*Af18+Gy@4t{xNb
z_CRnZnt0SoW^=^!$6~Jw4<*(5MdcjO?B=!1-6(iJGUtqBx35LE-;&cAo4-gTPqRxt
zYm_i2vaQGbbO&der13=^VYQhlR%W&N)A*kIfMPpa>~+E2q}aTOHOGCszwS)k$hckC
z>`C8Iw>w*J%%~QdU2uPn$Mnx>FAERq)auPjJrKB==jTBkWxd&{XGAyq?9e*>Wi>17
zw;4+hSAi1bhPz3!Wf5ym`*nZ4k-E`tx2{>j+@o!8w%+K_*D*_*e^jj|({IV?=V>oD
z9@MEdo0WP%Z8Oi!oN0p5XN=NTN2=u*gQ}eTX?*cBKTYrTHGd-Nl_r}Ox#o0E_tzQ8
z8|{j9%@XDvZ9B95MhB~y^yUjm!eS@6FI?GG1F9a)(_R)I&|&7Am3m@(nq=V#on*e*
zsfPq3m&NO9Y>4o4`o_vV?F6Wxyejs3!?C1TyUh{(Tg6^)K9_{iA}Usjoi=Ofaih&&
zejd?bPMei_VtJb6#zQ*EVY5;XX@MFXfoU%<90%1nQb`;6oOQ3I&Ny1dvpr(IpqRA5
zr6lL7=$s=N-Mx~@8#$BDc9y)%o+kJA%%$b~BiVQiPETk|ll-_b@)(cN>6U~4<Eq4_
zo@-(~COP+T#m);?L}w&U<2!x!($k*EsyU|K^WUE}dN3!lZI1cr4(>F`;)^=MdXk%;
z8y$RMqb4=?yvkzk89612!999Ot1ce(G2Yekl5IMlv%SRJ!>P`fHaWiNi?q69>OH+W
z?PcM8om#mWsRx`l^Hiox6YM{0^sF;d?N7#;d8b7ef8LQ*Vt2hq@4>E%tu^T-g66$?
z&!$~ey(1QDwOh0ztAsDU+w=6CTaRZhJsh{W<mVwB+ix?Mo}Zp3x#7N!^FE`~9cR-d
zKlete$rz-aPwl=~nPX}-Ki5rs!`&B7WfHMR{1$iLOfBKu&8sI{%qKPX@b<PPsmbRw
zH<wuCPScxyq_tM<>MHjdb@j<v7n7%DolTymxcE%a+KMwlx)o=F)|UTUtXZws-ZS&7
zMNWyGdyn3O6&G7&jCXZBb`vi?^g_t5OYbk+(x~Shx##z}ovJX*oEiLDW^>7m%xQX?
z&s<907WwOp;pv8*X_7|gb(EjYPCdi4*(W07%)F@Q5_8dT>=A>-!LMaNxY_&o99OsJ
z8*WV4l5?i=OSs$14>xpT=gnApyg%*b=PNqQ^Jb==DBj%j<D|}2qXRm^RZ^RuUpoB4
zChz~$lRxhm?rPZWCSG*@h0;6e*fY$Fy(=_!eVILxwW{;&>3wou`5T|7tUHjfxkMsq
znx6C7OUbJuf4wn2-JpNgC}n@7TTSXT!}!^$hs=+?+4;rLs(+u`^ULR7F#VE_J;AWJ
zTOy;xP+mIrkOIhw%x=#ME`Xe9nK_Mb`I$>kw?tOeq))R;KV$S@O=Me5{xreoXN;ch
ziByX*NIU;DdhutAloGr4UcCn$7h7dacXcGYiGOars5(a=_E+z5Ch^{L4=2soaz->F
za~j|3GnZ1gMpmsc^qznIj8Vec$hI}cr#rr;Np3!(BWxzI`T3{ji<LEbC3fDudI>Wx
zw$>z-2%hfMdltOdJ0h{*<&4c2U&xqAPMX$hx%=#;#Qw-#Z%n=WH$U2>G#OjD)cLw0
zWLJ(^+O_2Fi$8NrcQxd?iEq05La9t5_Ke?R?;EPSzRd1nRqM_@zwg{h5yQ-x+6LEk
zWS`Akdb(!wmxzREcHC!;5++8r>6o4FP)(EEd__li8Yrt=ePOdqa_;$(#oRLzOB9WJ
z^^&GtJlbQptHqLSdM)ey7c$eNK~6k>WVzAIl8U@(diFDyy7PawtgV`r>Y%@w=Vk6R
z!P+xM%hpG#*$CLH&-I$_-#hc`i}VsZ{@zqJ8>3wlj=PC}Jn|x`&SY21@<rbJ7e*}D
zf1~Y9_ADNO$<uZ+DWAENxFm8{kCAu(#k7~kw=s*T<Zm%-Qge?VcYAJp;sq0%bnFR%
z#oZEFC5DH4^b&U*T$+3?aB;Cknn#q`vl>vredf~BJ&{#02Hx|#(<BQ{>NwXKobEWC
zCRuz`M|hpo=I2FsUfB3a%sub9nA;+?L~(hq-XrV9-ImEEoa`>s>ppKdV7j<?hn|{l
zG3&KiOAlLZE{V*amUTUGnw|6+qlCqgZ8?w(z4@q)@HUWfpj0h2_q^F+?ug71#nnA}
zNn0--U1PYb<vfp`Y;l;x+{0zgmo^zb_ugD`BY&D+{LH1tucy8I+#AXEMQnfV?2{AX
z(j+fl)=93Kk$TAg(fzNUOHcD9r`eWC%{{NTnEOU<iDGq+UefxDM{5#GIDh|pHNQl$
z`0lQ<>|d3^p}xMsjote%tUTQM&3<OM6Zf1c8xE&(?(X@sG;YhRr7gl$bA(r%|IWl~
zp?zV3F;gh>7Kda%Zj*;0eb+*^hKO2xUg#%#t}f!<lOC}hN{Q{Oh01oSCHB7-`nFRs
z(Uif{;z=^6JI|zoGYOpPJc760ecCJVModC0u{}|!Oj0kgUr^|qq{hRBKp{R!-G?29
zLVc3j4_gYIZim{7Htl74top{l@sOUa>YI;0m>GZDOe{FiFT_`=^{^vdsIO8l(Nv^v
z!S=h)_XOnbci`u=wh`+37F*?cQEsp5o6GKp7;9B!F8Lo4{HrQs>~M%vx%IVNfg=YW
zm(H{ehlMzI>rCBn+=%mcjnc!0r$T%`Lk<aQtH^BjIK;WSWm|iUNYi1a)v9kcxE<1)
z?|CD6ZA<MnPm6@TEzW747D<yX%<8zz)cezM0q1o4oZqV#xkg2w@A%~TcIzV@tC$5@
zFMg*+?(N#*V9#l7qch*N`^M7#fGwv38mo8&SDa61WaAN9G0Tia$}nKTD>k7Fr~fj(
z#*quQJIGc&HJW&lslRyUi49VLDsMh5ZLu};ipY&nk@>K*#rc}IMbg}s<ZE6Lx;IZ>
z7nKZ~+;E}Hw0oW4sesKdvN(6InY7`!7w7La6ABJ27YZw%+M;YXvEYmmr@D^lt#=ol
zGj`8%oz9WEBC=#-ORSjZjpT(bwPGF?3Hw@{pLtp&t!qhs=3$Yz?Lyi7`7H^i-FnkE
z94_SCEi-w;@j%YsG7}39ByzUPOe#3CU8v7e<6#T4({1K?+y}G7{<<CFi}#MmwN!nx
zG5(O<Y!#Ue{)ZIfJtA^<s>p11Kg7Aabz8fQaMM+tUEYWItX0>1TPXDHhF;?QTp_+2
zS`R1a3iaL4*`oGnr9*O^NXDVb-}ax4U6LjCHrh&d%a-@9tgF5)TH5R?9a<g8X+3Ac
zhSP-$Gq#G0u84Zf9r6||%M@B2*tlx%3Wuw4Aq%qfXD!ZDyL#$j>|CoawcGccl+^w3
zC7h}3N8lm7@2YPKqqJ^6&*o&WQGPgqS*XuK<Kc{a)j0(o8M~uoIS*!u+3F;=D+`rb
zY9;n33w^WHd)Oc>#Am7butVKx*HxWgQ$^-}n0ujYYrF_3%q17{n0C*by5ZIt?})q1
zLSfMkoU89nY`J>O@sQwjl{M2I2!&-AEPQLX7Zjdx6E_?V<=h=JdBgEE&fhxIH$>Tb
zTBNkLD2I7jq)lxR4&%<{f1$~;I<lm<Mb>WehSO1;)oUhiIA6uNI&^c3vzdoQ(&Cn6
zGf#_$!VBLj+JcPCo4Da{80YSs$s1<5s=nFme2CFnRc4d-A;I0MYr+Jal$Gm#P4!Ej
z$8GZP=6Wx+>(g?&f4Tm=b8(letyX}k(lr0+xzSht3YGl`I;8izWl=02_m#&J9BTK8
zf|fh1y6Lh&@IHshv+PE-nyHI3)wpGZS2&;Feroodi%k7RGf!-|b=dpH)5aECJ<l7@
z=e01u^Rjr-*P@)~ZSibci*O!SZuv{aEwR4q%v+4EJgJI3lr>@MhU1}}zhfpA97yGC
z|D*7*gIB08QtM%hs?%-TI-#bkPj*Ed;_Fs<vnk+^9Jk6F<M>02pH*ax!Vd`+tH>Bf
zAL88HvhBBxc+=G<R>6n(7OT85iajKES>=s!=pn{n6&a(*LxPi4WQ+q3aSFF=V+W<U
zCsuKX_#UggF$y~*m#p%}IO-6iv5L&5@I!*tDl(g+4{`2p+173&3bH!*5TCWmn@zEY
z<Zi3H*&KR^F<M1tQ{*AR*(x%d12a;N^fUEVDqUzfzF%i{%;mYSH^u*RoW6hl!ei@t
zw_Yt>zv0c_`g>3PZ+l)o&wW)t{Azjbx>c`#1=Z&Aa^L@dS?|Ba^4Yu0_6H?29<(@9
zzkjXcTD7%)jg>-WGk*V*FaPPxD_-$KyVKf6VCQMuiPdN7!ynmQc3o$c_d9BN%kDE1
zv)&s<AF|thU%23aiKf+)<d;(fn^k0tBMx!yy?-{g|JJTsuRx=$XKKFBFZ-#!blJzJ
z*JnqsirQsvtX&_F>^yf@m+tz{KlT4FIc=|HdQ_R~OkKDLUvbJqBlGx&;i2zWJ-k-^
z)b_mQ`%3fs+UuiMb=$sgJGjErW_sE38=u#C-gx+e{i*GO4(>BQ0v_>Qc70dm`9yBJ
z%e&&}CyeE{?sI58)SJI$zi4EWt(nI~yYH$p2H}Ski&bO{qYq_iO)5BahQIjw;=RxB
z{@t+WxuvY_`?!A#zRlZicm4C6ZS%fAa1LBix>_ej?x}Xno63HBo%fY_mFKG`tp+>8
zy}7Vrmi6T5H<jmA-h8mR&fK-*z;mVY8+t`sMMZWV51aTqN3ilh+R9m3{3?n4wL;&1
zIvrxHR+ZW0ekg0X=EE7WLVh=9?w5OOn;*RH`A%8e{A94*de=YS**0&x^7VsJt%nOE
zcf2_tzT?K{x*rSnJg<Cen}3b{X{dMU#^+~EB-$l+K0K)XZO==gvKiB)KX>go5HZoZ
zM{wuqjEU7gVmr@!O=Mp)Vbx2c&_i;;DsPOv4lzzvkueH9Bq*#RV;pyg^YJH;*K2<{
zR-XTCRdw&vAIG=0`MK+!SLWL0-(+7@5TV6A{pL?D@#=ezV$Od)U43s+%+;XSH<jGH
z#}Xai`P6NEes=l>=l3!E8jqOVUEY0|dm`4a`_AJjCu;q=Y#y|P_)b?9`nE$QaelWD
z-wuU`6Fv*|S*Smp@m_UKfmyxnt?GLpW6poJuDZ7oWbymWG3P(uuDUlfhCN%9Z+hy(
zBGveZN#}P@dtK>Rd4BgqNSH4>XmRJ%TuqH{du|Jr?U?+U(KRMv_6b?GdX>WIj7r}v
z{z`ZLuF?L~FnPb=s+UFKtM(qZ<y>7luZ3C8!{W)p7Ug}D3eNCxs^^@K@BcQh{E_)n
zTm2U=DwB)5zb@GOeC^4vdlsyH-dpD{!nZi(p^?${1N>dK`7U|wg44e5dAM`c(p@(`
zACvBxm0O(7)oq)<tVK3$Z}>e>yeCbx))Cx!I%s0Gj_^+Z`ibl|r{5kiTDOd6<u|!v
zl{d!WkkTUlkRZ2;%;tbYoZaBCw>|qd_i*35@5y=j(%Zi8xw><n__nWmj_=gXSzS<}
z!acqHXOCF*y|3k`tK&lMeSY_R)wEYh=Xa+Y@Rb**cXipm?`x6Gdp<8uq_A<{&Ut}P
z_-b9=U5b7px7X#}<=`ibwngG*kM*`MxmA5<%7*g_D<?GctI8M!91>(!k@*~BmFl!V
z=6v_9>U;h>=ZTko+4J&e^R4Q8t3YDux9?oIrgg>0Lo7>nO1}HX=X15J%e_xB@1DAU
zO7G#W_-(cC7o9aJ$zJn1Dl~rWw9Rk*)uUrK-qxMI;jsFCoxOi@cO2MYuKLa(<cXfM
z%R9q}C#%vsVoH0>TeEiEnV$8&@Y#CSH^y#SDhXR!oZUPvl9se2yLnh7{+PWp`|7>7
zb$?#p*_xG8v3A?aWe>OhVq(5$V%h$0r;xSW@~_4c?fZ6qnlq{HqI&qpYwo#wRo`6D
zF0Z{Mw^dhs=PP%m@<_F!{-sLaBb7ci91Sv!t@66DxV@#e?o{dYYwo${Rb@W;91`?b
zl`#&mN<FmvXZF>5`eIMlhkEXh$SBDYp02&|apLuw=E51%wa@Fm6fZ6o&94<O^E<@1
zx%m3>w~jYdCR+Q5>^#jfvARcm=Xsfl>~BuQ_FiL8+`Qyg^_eLf&hv1x`%Eu5VZ!O|
zGqvE12&cNwx%k!J>I${Y<94Y2eYE7F<(wa{KM7gOxyqVLv?uTUv}aOXp~>`{*N%s{
ztGp@rvHtXW|J%u{^j+U=@PDEg-*M;h>pNfbt9`bH_we6P4!!(zLW}LbsT<Baa<a=z
zC^%s*)b}ImkYT*1MPmKGsIA|-bAM)sUeeztJ@@drm`+*#t}@A<!|!4$>pb`Gn76v(
z+myuzggLEk&c)7G(kt+O!e{RKuE_a`-2Kiw&u5)rUgveSq*5iZzg_5CrNYC8-$Hyl
z)gN~37V6un{IKOVIIPw^0fp6$oDx3!CthzVdWugb$ml&g#qIU$Xlu;T&FKQH=e%z`
zjCuD<q_8n=|AZaR{u6h95!iX$f9J<VCu-+)$K-A)K3sAvb{{AegdMV5t}0`&?C<1v
zsuoM;w<!PevRKyNB3$)fseG-K*{z+H_8Kq6?WN`(o_y!bm5&pit~$8lZrQp$8<xb|
zoJrmGmGKNSr}drlvGcdF8(eo;cT9ZZ?lWh;c5h=hFm`!o9Q%ava#3b}Ekm*Ys=dc!
zIe*`oSa2Yhv;EGbf+N11$L~xiIP~?;)~xs9!Sxe&hpGNe>bhv@bMEVwK6i!5JZ@|M
z6+LxT{hQ>Zw|Q;9`!Vkui81efZLyV;)GBIMR4SL$De8Ar`u;QG2_y5;tX(}*H@Mex
z{_dGpa6p5zy=O|n5f0AdJktvfZ2;TA{OS6tCGm4k-Mue+F0p<c^R+A2%Cc)_>KB`*
zZC|x)`mR-rzIEPt$USlQo7&Iv<v-h1_pDsCbeiqX2g_cC&(6w<zVSEh_VHCwmARW2
z?Y@`uTe9z%)%IoC!MUa0xxTT#B9?7C@Zx*#rNo}dRoS`I<Q|{7l>X;;?)=X|o7P<j
z`E6Xb?`Qw6Kh0%Vw*H&?uJ=vF=D(gnb60z<c>60WH#j#~__p<|nVoD$<I|(vgGByT
z{%*Y+bxwNs)@?PdCuGgaV=h>2-&tLpoLlRASUcy{4uMir{XJLKE_9n}__&tWs^`kW
zTNC%*zv#dkzjm$OhK)-ux<1>m@Q;aR@Z(F?QYABf`Tfh7etxTv?X~PP>2r@UUCYvW
zV%+QMbLE`0v1f8t_jkYF%1?J~lUv|+?AP?HY^hh-Gr#%WjxT(5;brUkSNARjoZnRb
z-*tMu){P`a)?-n74__#Mdg<!bHER<ij$eLf^gHVMtZCD^dA4V^3kKcPJ$v@<tL%7f
zgG))WZjozFXLNs+NZx4YtYeliXUh7p-&wJ|kC&<~kGm^UTIy5rU4QqV%GqIu<BGk5
zb3?a33b=mf!d}m_>B8RU?r+_f?Hyhk<L<S5dd%BBr85#YX6Xhey<YX|s>FhK-=D48
z^+@+e=TR$}%H@yM{JU#bZo9X3UDlHq*8g{%-mmenH`G`6)r}DI^8B2fjG(vAZ&=GR
z2Ne3Os{6R~%ZtZLmu^eblnwIhxbtwyiCDkRJCC=VsNE-Ad0=L_72A<x)8p5BuZ}u@
zv;3C)kL#wQ?dNArmyVh>EBePj*<FA3nq9pUbiS{z{d1{m@{@<<EN=?-v6x@Kw(@i8
z<x8!TYD5cPU)`_4-R`X#nv=O|)&Kv})|(YH*S+DH&EZ~g$5`%vvj3Up;}0dOZNBxq
zoq2M0wVm13t1F!EhWeH^y7~WAQM5c_^E0<<@(uIGh^>oQJs0pYM%_5Nh?T{o;fA>9
znxuGD2k8fsXNFFls($|MrS7KBf1j_be0{HYlW}mYZ0=Jp@#$B;u9)-XMM>;s(=`Qd
zht$s9`@ecweAtJMa}`(1rhQslQgQtFm)rbT%C7ZI)Xi1CeMohy4Yz36mBTd)D>JJ1
zHK_7mdA2b*rO-w4`J}nKl2gJf*rxKXS*I`M+-e$`k@S}N>d~0J32T+Pc7B`q(bXz`
z_nBDBsFzdi)>JRp^}Wp`<{Rsp`USsklw7|X8+enK|Ie<M@k&8?=CgNav^|=v8-8m0
zmZ`<%Pm0SQonqdf`RnQfyApx89s8wtmhL^2{3j{*$C8VIcW1p`lF@q5`q1~q*U}aq
zvfj$5cHw|pqgIZXhHL}V)(x%;%(ih|IcV0n>xahzIXkfx{co9gHz->t2*2L6Zdv18
zrqCZQ3)IRuuN=y2ymdo4fPH$F{w~!kM+F<JZYT%Hx3fq+*!=%2&y7RBa>R9R$!`*k
z;0kBSdbG(ww?;2OdOp{cgNcp1EHnc6-C18f-r>MJ=LFx4V{LC5a+%dPi{9Wm&XV<L
zk%MlG=!)LGOr;zA7wCQCzH*qc@z)N83k=UUGnZ{Jv`#pFU}p|%-=WCHSr*Cx+{;<B
z9#3(Qt<eY&*S|M=shk+smE%f{Y!xr>ooR^OGh22;_JgN6tm_VGGnsx|<)FJ~TJD*{
z)i-009RD3D@u2zl>X~^w*0XL$cQ57R$`-pHZCjGO^viOC#;jcZd#%^suhqU1dHYu8
z_4uH8jle^`|3AHWr5Mq7ex>)~|Eph`8)}r51)sU%vsR=#^;iD72(4D%FhBD<@BY4D
z_J2Zbv}R)d`tPzYemh@&FZHu+VYKv823DID<@zGrGjGYi3ikc~nfcCSw!E+Z(l777
zI{k#Gwbd+cU1#@_Qj_w)gFjl1-Pm{E>~GYEZ0(k*{V#O3Zz*-{d;DwFMXq9<uq>(j
z-`D&8*Rd#hVyz`7ToJANbK=gVg)v%}B4e`_ixz|zYxW4Jefzub<#wy%MH?6EPL~fm
zRbI-Qs~?nNuyUcfZj0d0iVHLDeBZsS{(8l84ZG~uCxX|wEIu~HZd;Jc`H5%WvMu`;
z5Z;<;e`TuK^?UL!vK|KIo2H)FwQZ}&$=Pjnl?CdvREu_9I<1#HSGe`ylftdrrCzAZ
z<?6E8y`5;GDq(w-!TKm~&NS9m-AxHet92r7sBxRwO=D%hee~We3%#OSk6iN}PdK4j
zB)GFXR_XhPeJ5&b6h1M`u3F{kI<;K%kg)S1yLnRz8kV#8JU?8+scoa4D9o*r^YEwK
zwh0;b3v*xh)f9X0yAmhkc07QY-)d6Ts+D_>9X{~5H%7!R>jIx_5wFdQ1KAG}AD?BD
z<5T-EU+i<i$B1Stn>{xU96o-T*M`aFVzBq5EV+k%v+^|`9G>@T?+h313)ckhAJ=(c
zo4D)r+qqi~9^nlrsarI$yZyY>&L0++PN*KZ$fhT<M?U+>qJm8myF2xzc1BoSJ#qBd
zO*cKhbEZXwh5IIUx1a8fsfo#aB9xHMEPhJFF7wHv4Z9|CFFo2DqqFDoiKEAMx#{s;
zGcPLKxNu^3d#~-)+X|x7cLkh05dCL+XfSuh_V%Op7kwZ8$l-k-8uD*t!ItT+?O*$p
zPaRz-66R{Y^3W-6i(`*ltjeEN?b%)?uKIWu_xA=ru4@HN?EPQkM6QZ*Pt^DO_KJPV
zf8njyC*{A~wR^(hgN{`d$73H(SY+20czvbgEx!Mez3%?sH}$SMw|<IrmvQrz;8WAR
z&*rvHc#xB?ySn4ARa@zl=&n;oEqfn5+~f9fW8`9%9|2)L%+V%Yhr)W3l4iSY{4mjN
zVSvYCiyKP<W8PfQkSog)k)NM2g<*F}>$jJ8|75lO=UeapRP5C}$5P?y>YL~L>U?8W
zqt0xZ^*!}e*p>}%I`rN=KVGz^z20^0o$Iz24mc-P=YRDVG3^h^ODPsVdgX1`rM-2Y
z=h~y4HTGYf|1#0nY%SBhH|f!SOM>s7-D%BTv3UQl=V!X4?yku@H}!TGtIq56*!9AX
z`yF+2A1vIkq_Ms8x^zWE#GM0&k2SH$@x|pnc=%{)-LxgwDyO`Ecjocax@~@L&ra=}
zxU=t>AG3a@X{&40*&|MC(;m96O?%9`_Su8Vwa*@Ht($h~f>$P|@P_o@#)`M^51cQs
zF`A!k_MvWngXq22>(867x^X~jqV^h*ot>ge+c(5KF?-g1=b*4s`NtI}Y|jYq?BA)x
zZ{R+QP58anyDgFD#daP_St-RWt(ueE+@k3)aYJ{h(6<lUT58u!ENHmubiDmi>D-xR
z`lZM22Sm?~Gco+Oan<rNbNyq9XEqygi-vu<@=p5Ng2rs7&>vn4)b@$2XkE>;wJ>l2
zpI(Y}T2iH0RE0HnuFpv)_Q{(s9DS$rWrH$z?VFQ_7_J*{$VdpQXA9!Gcm8<flAqQp
z0mAI8Sq~c=a^HxrXs*4fH>1LgTi0fCtJ%DBPVBcgT{xP^=dD|wT%L9GS@bFA_wRDv
zy*eaq`?X!Ou<KRS?Y3SG)8p!Kui7|IDqBS#vS-kLyV73m_U*HJx6HKFO{RGyJ*_$R
zdS!>bYS4kLJC>JywhXkkevxs^du58klt<E+TOY+n9EsN1{_N0k?vU_0i?U^XUtCO_
z3*EEgoHp*Ae28(+g<$#E(w6(->uP)|tM{+bnDb)&`<l5)4~ply6s}xmCw$zrt2bHd
z=<&c_p$EYWxi4<6xw8Apn(Lli)uod<YpqTms+*hgxWTWeAV7Vm#p1~}HLEAr)GVLO
zRI`4fM$NvJlST4gst9i{oz&VG*PHU#aqg1`igTYN_4s`<2vh$lv3xR9&vlP&jrk^z
zH;Jmf@|rng$z&a$Yn~-;FI3orODA<_TAe(6*y`l*lDQ%YZEi(8Hj5^Uq`guR-dr-N
z^`_OyW7lWRESI_C!78#*#E$3E^q(KY?c8^-(+>{+s=PTrgKK7m`(ld-ZH>h1JZr9L
zaIJ39bLnbaUOMSe&D@knnsZYgdd_uG+~D`=!wx^L4>Qst)WWj3E^hm^!7pgW^2t7D
zu6l|+d!Zt|xpY!<q}9ozK69Tu6rB4cxy_HuAlBGlEG&bo_5Wo3xl1<qsLza8HCadJ
zqNiEhbx%IA>|c4wJC_N(6<wdnWmyp&+_9}I+_W`j&(c7fJu3ri_N)nHvbj9{rrII@
z+<lkA>*LL88ulEqUuS75x>Qx~W3|BLAnRaP-q}k`*H0E%_F6^QxMWhRd&#8UnO2?G
z_V^VUMyprOn9S9t9dwsHdC8=Y+j*ZY%_}`F^t<os;zLu^)@#^lT#2oG_~BT*$)rQq
ztxg^>w>o)fyVc2K<yI#Tez!V#)OYTahu7ja1xJ1Vy8L#~$qFy_ppSJLs_(;WZZE$q
z5_c&}O>F7B-6!^JNO<roo~<;XVnuoYyKpjF`38fs0(R-gK`Y(=cJp%wM|-_m+A9A0
z)#n-KZhiixzr?Kl*K*I}%Y$}5>Ab{g`}O;;)4QHK8ybF1K53(EqFE}uFh*-i)EtKM
z-&0p)Cp0=}+KR8}G-cXa;Jm<W9ru-krj5IPcrB3IC$ge{H4|@vs<$okbk<cLS{;02
zL{_wNGi@!5TfldY^UBf4#wrWt0Qu#tQV&9={bJMO3`q=n_08SK*GFVUD<{)d!?*=}
z$2hMXjcBZrP!5pi=QKHR{bnEw8{4LRjfZj%UO%GS7_~z)K>9xSm4oF>r61Qi*w!cq
z$j|?F_5Rb2jOyx&&1yYU%U-&2&wt@6ZT)povuxGHqh)(vJWSsE;<55xiG<l<OHxXk
z<3esM68EiHA+DQqt=r7(r7OGq3s>>?uU+}yzjT#<|LQ1%^j0O+4bfj09rE0p@u($k
zOF@kOEsJI1vNBh@_4;18^3Q+mDnI|_QHI@Hm1N!8zb-nYxHsd`g19XO3)b8HS=|-8
zG-l<~Jm%l*fui3&#@ATZJbK@IPbah@c!AnIp%ty$S-o!dImoUNSkXO|>FWls1$JTF
zCI@EeEWJ{>)<N{1;EGOGrmY6y3(THzUOBj!sr2Iv2U{Dx0C|57lLM0@y<WZxW(u95
zA0X_{edUlb)7Fn`9As_O1H_A2UOlXA4C2tdz@VR|nR|enb=8Iy+yChc34YzE!_BPo
zHr0M%(N}dB@29G3J+z;t?p^VrE6(KOs<<s5X2osU7@==f5v#u|Vv)G*n#<jG*IplG
zklv!Sc6V=8;KSI!9&?2~)2pti2<<+l5^-bptxx~1T(es=L0gRLs9@@=SM&DW64eup
zDz-f3#iQdJSGK~bHT7h*plL?JRtM2*DcS}f-Nd3QjJb37Olal1cg{)t^yUkPyL7&6
zG~#AnbLxO~M*L}~Th}&SII>G;%LY5{+?*3m?9!Vr9Niu1(Rgg{)$Lr$5igc>o2VZa
zNn6g{e&#@Pq=#kEvMrsFl4*%)Z8s}a4$GW5VIY3l_{`zMlFuH0vz@~#@u03G@mR`?
zLwRx2^iHqiS<GN{SR?P80oQcno<&c17C-ng?^U?4l33h?ev3u%IlEFyEVrf3I3yQ$
z<w#hcPR_#<$J%8qZ=9Lf?JHCHqd{5zeX+;`+qM@<Z=QBI$@lrZdEU{a-IpWt<itV6
zz8INjCk`)vY5C^VcIh9BzCFvGsyXMBf$(zq6|42CET!k)4c^{4wask$y9{mVA2AEK
z+*z_7t#Htl5nj>zn5nd2Z=Lem0CD~M)0fJ{?Ogw@FI=Kks=}04ByRWmU#ALrc=puB
z-`(Jxlwj@7Wo==!akBC+!@`tgad*D5;wMS`?sDIXo+Qh=Gk)9rQ9~!)&*TWdWst>*
ziJi_ekrrnr_WD~EeUNn5vwMExuwY+}%u|g6hGufY+B1Y(y^mW4Ssa|$Iawz1N0YMj
za*LvZS<2$^{YfVdFA97)FRG&R>)m5oZZd1qjvSS8vssgR<hXSYOT_fJ8){<9V>6~*
zGhVczQBYV~a^nX*WwU$9M-Kk(+3}-6kbkzs$Bi>5GW0KHPki`wi}#P`rfwq743m=d
zl*OJIBqf_E^Q9R+N>WpnQ!{#$%%;q^Y&m;kvgfT<q3(9cz!}FnI#>2Y%sAB1d$Px3
z#*vP0cZr2d`USmyNGwdzx8-2>zO2WWTGtbB?KnqjO;5nK;~c3~Jpt2>cXYG%1e6`;
zNG<CL2%9d~(w&+qK0V0BO=EBJkt1GiI&)Hw9J6$jnUj9xD65-IOv;hts%}g%e*A|H
zOJ|CoKOpKRvd$>!k++*p&C!nD?4Aper#rf(dn$g+5|mGu;QWwVC17{YXZH`beaS8l
ztKEF=q#QY<>y~pT?a09ce={FFa&)t?InvQ@*ux?b{ri`X3)7ydd0GYrda)V-66ZTQ
zIeQ{zoapFfUX#WiEm62}sv!SuiH{!zl^N~!t^d}(X+lU*8nb@yq#cJ&FV5<pa=n;2
z|3uTF*_#p`=|*n&uubPiga$WX*omg@shb`oPSyDlq07y9t;0C!k?2N;irM~R8miM+
zy}DBygOVc`e2Ea#aGl2LH9560C^d4y7dJ7c8ijKmt>H(RN+QlrW^LWMDIuv(Ct`*!
zw^`cBrskQO9Ml)-RLoH1wmWv(siFO-<i?M)A{zYuWo}wv5Gv;5Gm({ha_YfjDv<>S
zfnqT}6Il5#rye}Mc$0(T91CSWv2Iq4o{AVTk$DqXh5b)8wJuLNc&sL};KLT39Tje3
zHa6PacJn5;GH@S}Ed01Dq9JM0^Hj%&tdRyE7wJSq=xuwO*m<H>N3^mbb#c~rAMHKA
zw$C$`a((z_#jf3%OMl2|Py4ge&iDLtubnk3TZ&g+(TvYI`ZFZ)Q|8{)tl~i%Os_|t
zn*Q<Xi(fu#E=2ATzV3GY?imFUyMu+cPs2`?OYE3&>LG__j92NBZmS~8t7^S#muk0b
z%d|P~j^aP<?7qdU-{eE8m{!fyR<(7h3tL}DW;}Ki3+kKIwDVfxVXo9Wa%U4PgzxvP
z;!ZxL%f|L^4igvuznytvi}s)MU03^SixbbD0Q-fCK@}5~g}E&^ZRk*z)-QbWAhj=M
z&!LI!;g+91O1U$N_51a^Cvr*i^L{K1>)SJ}ASFrDT}<xLiDT2hmY;Ka@<6*UCg$;p
z!=-&ScOGaQxW4uLlcODzT+QUV-1a3t)!_R%O<DMUVamhmzMMNxP8`(ji@Edc#9@Zn
zmE4za+}iZfK$&lu@uMU*WjVRT7PAC5rZ-;tIl|$W?=FnIHCNAN@|Jc%;cCf^8~O#M
ze@hk?Gzf~bOMWcq5R`A1<ou9XB_MWeNvy`1Up<1Y=OqmbTLih?B{zQLROah5e)Nb%
zS<cVs(PM5mra4~xhmPIY!tLs|Tr$w&a7X9no(PNM9lf7>EOs2|=<e>R*m0zz|8x(_
zjTv$+&4robTxs_bS~e-VY49ese3Ey|(MfMHl5^9zn%Gh#<EF7Qv1QY&FA6a|{<{PI
zY@8<Ol_0V3Osk+*q{PCQRzddD5)0q72zq%-EUak}^m4h>{J}u+?IXz>M>-Crx%t$b
z=xEjLxpCuEM{{n^jvpO@{PM<+lC6~)%a*V|OisFW)O^Ea!PexLvS-W<y9K?bN^CT2
z7i2G%EHvyF<nK3n^w`ji$!1=Si@pk@+S1)J5*13Z8UYoP1cm(#lM?Ne&E};YIcVIo
zqhgvMzp>=UjSUkS&iAkDuF5_yC{YpcgeyGz|M~+W<_4)-Gy=-hxz(1PY-(*!J$S4n
zvcSMuOymAkR{rxRoBCrn2_$T{P*ywE!TO@+t}-`Qb?U(*c99!4#Ea#~OkibaPd#{4
zG4ew}gjh|D7B{1vaQcx$5gQp~ugyQ*bVzWcn)G9rcL{q=XwDSh+1{!2-O%$1qguMP
z)boP-*V1QC3^>`)*SF65otFPqi640()3j>z1BA_4vmS<ZwRtB0kUi}mwEwX|rp>&p
z`IC-K3)(2WJ$PoI>QtMFT(=gNd{A-cieEB|<MP4al|8E_@y&Z?FsH}(<))`X=dPXT
zSXZNYy-xky)mdu<gr8@JFK*JPUMj*b?iT*}jmYxK9Wz#`{F?OA;jLQ!UuT~!3p-;T
zF8sYJ+~nxX=h3^bXgVft*O_rcm0QeiBCE7@>cN9*kp&xL#a`T)$|^5^(y1YLtF+w8
z<9iL<<_O-pu&vAVV%s)XF^y9bSh;7X9z4buxnPcyn8wx#tfvf88ijZx7t}a|6dsY}
z+|0jmnE~fS)>E!2jX^?@8w!2IG#n?go(fKByd)aAV2!JoMx|hSi&@&o>n_rpPh=*G
z?QH*>^w;iNr_F(TFQ!FTTT9;EB3QD0+NZ<2+xgy_Z8$eUwCjOsSLar#qlcuFYqo2z
zc9Hh~@#xkid%jl-Hslnr3wyJbZ}{*`{xH9FrcBqvdE1_UZ>|nlaq+<6Bk$PcrhNQw
zSF>dA-o0wee;It`uL?~(rLd~=Wb+C!wZDonQ%bc;PruMBdDwM|OG`wLyGfF3I?F+>
z)^4po$0DL$^jSM>YP@<ZVb`Hmj-|rd>{oTy82sD#a{1D2k1o9HOIo-#MyFK#gR6b|
zT#K9k{eA7#ucei4-}>bC?DuB7ZbwDm(mXQ5*1%<&$g>wJ!u@F~p=&=F&Rz0hho8~M
zBL@Vy9Gq6}R=s{L_T93KW~T1^L(|twg#Ix5)8y%MJ1ScF#@#TD^7i=TcmF*v-**X`
z-FUfkVZpMn(AkzcbIuiQynNthor&quDa)=NSk$Qa)gkfW0fAdySqpZ5TI$05{1E@K
zlpTkDz6!biOs9wQWm95J?vIltZBfyT{zaZwy?W1jT@CW*<@s4zveGk4pD+7#VDt?6
zvLET09>G27Y;AuQSuu*n<;Zp|-@L=8LTdditCpR6vP8peyRR=?v?@KVFygB+vvb|G
zFOMb4FNUQjuqkt0zO3@6jY*m7v(u-^T%6_HUDJPGZb^D-val=9Xi<UopAbKncW0hk
ze_nbjYLWB9Cbz&H5z$eu%+rOOABMdBC9eJ1vwQ8*+!XCNpJ$7;S}&WJ#{8<Ef9$ZK
z>T4Oldruk__vid#J%0D?(U@ZEbzeSrojPo&8EJ1Ax|MJ5f!iJHZ@b)66fMhe`oz8V
z(gx@4hx1<*M!h^AdQD#RTY5~9V)w_V_45Q<Su>r&-&(qf%#)S)#6Oiga!t%XLz%#e
za{{4X72LU)Pu{SKo^<+uYVK)|6^Ft(WhefK6JBxX>#b7@7Qb^#(x{P@imfcpsGHH4
zdvw;q4W@=ZYy5b)<->Yv79Ks&cy89h0u4i-H{Lv_WRni}-m27Jr(hy-&zDD7TKMpx
zwl2Y~n+q$>2Hcn+p%&-O!@b_kZFkqLNcm6!Ns+tiZJqkUhYwxt65RUPWogrjx$44)
zj|6unCf21)d9-T1i>OOm=jqPGhe02g`_@ZK-!0*bUy~8|)L1*zW$Jkk9&YaT#V?O<
zycoMdqwlup%Gi&gR`Q`jl3dS)4j;LBWJAHSB$vfjV!rh$8Zy2-!tKI`5B*s2@Wcx}
zi7Out?s)iP)7-x79{t-Zj~HyUH`JN4Mk4U=Yi&^%-u2!*!rj7$4}IG4@Ir^Qo$$)?
zqsn$tS0yC5+=UJwdES}$aC4WSX^pK^c#6gy4<0U2;lqcXtax~$L;9aeo`u}UhdYi{
z99b=N_{hN{8wwUAx$xSDuT;pY$V{3MF-<~j+Yw%MWjmWc?`PGS7)^h@Z}r+K$G>fu
zTpoJu<EIV(ZUjV3ln|NG`dZs8V`+ld(vT|?j~{-P<os~skp&wx4R!Vim#LWT;_kBA
zWE`aJ{X6PPRBC3tKM%M0qGj3Mzkhd$neJT><a*`Hks}6%x`sMFy|enRS59AaH`{$l
z*t%b9R~$PPx__pG+B3JqYhu1yiYjOQC`-Cf7PV40>qpU|hzLp3nDVRPN7j{H`LN}N
zsfoxkwYE;r&cuhicX*dw`EYzH*P^y~KOW)rDs8RlkK3G^)q36b)c)w7^>5effB$#0
z*MI#V;LXe;!oa}5!Qjym6L$Tl(5x+c85sV4V`SiEU|<NzFYwJzDa}dMD@sXvbGy9a
zYIW27@6W%~o%{Xe-g(bbv)8?oEedb>-IhHsd;ZD0ukV)qOq)CR#_XIu=ic4g7<@JJ
zm4bsvpvuBF21N%^0U@UadMYeVOp1yu9HB0uhppmccNDz8Gxx`Qn@YL!@-{LbUxfVq
z@^8+4?w9SaE?!z<&35|!ufz5~FWdig|F84q=ls8u_y0LMzrF5*d;L%M`v3j^9*NiM
ze)((v`}qGq=l?&E|8sah&);kL|E|UJ|NS=qU#3UR5AlWe|BuH1d365&OZ|U8%wxEg
z?%KWK-BQP8epAnQZ`t;~_TO#$zn_lRKkq&=U%u|&;`{&p@$dh`qiOU+BVdtJFPpB_
z7YTzTrZW*6k`DJVtLxpV`0(hke}jVJA(svz<#YRw?LW8w;Qo{QkM2Jk|M>nx^B?6u
zeg9eQKf3?;{Rj7-+dr^>lK)Bmqx|RXKm33A|2+TW{7?NKx%-ZP|H)|o#QhK7{?q+G
zZ~tjI|3kCBeg4Pdf8KV_zyE03fBOAr_CJdMMgN=r=l!qyU$(wq|L6Ok%Kz;CGuNNd
z{~`aA{g3m%mj9jqXWBol&z$)0((~Vz|2ym-%YWYg>G>bl`jhq#=YQJ&@%)eHf8PI*
z|1<wj{Ga=O>{UEHrcF>%40W2-Qo<=Iw9WH|USfO7=b7)PZ18qk)}nK=J$=5eqPx?&
z78}l=LS^1JlovW2isSsP@@9H~#>0k|W+6UL3!Q}?hXgs@Rb?g@#5iqi5#n4b<maI=
zIY4ir)25%NLcCT?DhP7g+7c|}=WU_9(Ctv<$!Fdn+Ba)@&v{ztKWu8T;`}NkrDEch
zG3kQN!$mFLLVDgA6AN6OR=1dOhO2Jz-l4Y8`A{jRxk`!Gi%A!B7rHyGZ?WV2E5xSq
z+Hh^qo~HSQ!G{*KC~|IB;ql&~`>?M?kh5I1$4g>zf&Zae&c{M>9y2BwXg*xnqRH8>
z;^SSR^RTmJxlo#l&13`ZM889OT39)|g{G<4Oy8jMu(f5nP@HFl?!(@e?LuKHYrG;R
zZ_rD0K4i`588m6>5)aR*lhjmWz1pVa25s}cshGNaN^FqP(iG2=(<UjahI`GMQlq(h
z>6?i`3aRU-)CPU?)|^u0aLQ4$eTmGpAeE;bQx*sHd0Of%jqvK65~QiVWQwQe^dQBh
zL0(g*Ob%MLbk3xrs8f=fra|YtR!%MQJ5{MEzT}GM%ITLBmIiuFo|2|1zBFc1QKVP*
z6z!m>rCU6AYAuaDWvVF~w9b2{;?iKR=~MDFZG)<oe(`)c-ALi-vMG+5&Ov#elGBQ!
zPswU32fg!>oNA=@bl#NTLC2QbOfXVR4Laql85|_%Idj^lh*QFv{!7nzRH~(hotiVH
zQj<GKY^jcCrCw^}DQ->urF$lQiaI5&nZI<6N95E^YN@fO+NP8TO-fNY?J;qpma?zg
zt{xuA+bKEIH##5lliZz>a_YpSiMq<}ZtHq%B!3!}oxY*G*x^{5<nNR>(*rdgH}o_c
z@tw5LS?qC4P|`hBW^!SS+r}Ot$)!eqCp0Dp>MeG1TiT-~sh%2g+Cug5mL6lHtdu!Z
z1GOK|>G^D=cVfllK>fvzZi{==B+nY@o!X)DcvFv<WO&M!QyG&BL)~`wWE)wf#7r-A
zc3a+~CwbSXD&@<m7n3jQCp#STm25U@OSv=2Q0MWs9&01Flsi)mwUT{~*-Cy-?Kxec
zknD79Nl&}cvJ{(1hPsb8_NW`pJ2hkCN1tPBdMqVB8;PapoQjzAG3MB&9&w|%Qx&R@
zxAvGD-8&UAaidnU@3CDyypr!zR8D$Mo2;ZB>NjgniKS%Gwv#vY(%a|EEh;;Ca>`^?
z^=QAoIWd-%MW3F&nYP*8uW`=aqHiZ}>MsvC$7sp^RAy3fgx|zD%0+#rEY+Uxn3H6w
zTIBU~&V*pK<uQJ(bG$4=i~LTloL200F4Hpo$(0k9+RMYvSz11S8Z#kSeR-T;^Bgxz
z-=bMhW2O{)o=dgVEn0UXbLwTa=d0$FSzdoqa$=|6^R_vDma#><o_;y;a_VLE<?-hl
z=FBc)d&+Zir)qk{xm?TgCp{;2YNv;sn=z-l=-p|_>7O0WwaoFf<bUdOvQi~I>Rhs=
z{}Y?3#>&rU&WW@vE_(J<=S1X`%_`4#&Plb@f3j!FXRmYFmibTiOx>)M9(r!poKj2a
zqI)MjgC?v|aCKbOWWxHA%Sa?Acth+#K2~edH$f9tDtJ3?Ym#Bz$@NL(iS~`P3H?n>
ztkt4#f;YrFE^K1v;?uHN@?b-g605t2OlX16!9v!fTwWqmv@8}b@NwMP#Kk(5Yn8~H
zkbt!hrZipVI;XKBuprEFZBsGVIqe+_7Wg^tZDM2X<(eg8qP=6qgGo)NxuQg30xzsu
z;CnEX^)1&r%@+X$u?Kls=W^{5DbaorcwzMdf5-hzjI8FOJX#V#1>OfYG~MQUry&to
z5Pon)lOgMOkvriA>mD>Vak5V4lGB<I^ugocmZsxe^E4_}CAb|lX5Gy7OyrDqM8Jj>
z37!XwSx<AtX;iFyFtzDA*FBAj)d{W#S2dZkzUDF$*%LfriAtnr*Q5~DOs`8*Q#5Wa
zOW8e1)~ihG=2Del&uNo#R4u)VrasX;8NMm{q?{_N*Eg-3%TxAGa#CfVA`?>NaWYZW
z)vHg#a@CU=lOB6@O`W2l8CvAzIdf8xs;HON)DTU}l}n;dYN{@uIwvq_@sl-^qEw$x
znG;^*=s9;%l`5~7)KnAA%&?-sld7uIr*6^MxoAnK=j=(Vy>3m73BI)O$*xJ#URF~}
zG+%~YTD&CObN-|{Rc5a|Ey)n0B~PYJN>trG^-iGCq9^Mn1*#@{z0=&eJ|*TPv#PL{
z+Ekkmqoq$KPRdm6pK25MDdc3&q;#*esb@52hHqMs5_odbq~~7KrtS&&6m(Ksb^X*m
zfuF)oc1;RZ&Gx!BHAkazxr(RjrY;fDm0FiVmaIMzu+jHunW%Nhn~;s(uFJY~L~m*p
zg*;hxB6#BZr0rd{T3jJ-LO1#!trKn4;#*;{;?abz!&+S-GJ%C5M-4@fhl;G$2n<~H
zsHMwD^r@CsNXV)cL4`rCTf2g_{8n2mUgUN(Qgo}<xs?{{A2oGZiGJ0R3Ncxg5p;3Q
zqeWfbT6(K90t;PTS9h6-hKFuhy<^!T=cA>f<{>4kUIblSyU5*jeV3i+UoEzfJ0XTE
zl01&;iXPU=TfJj(lFQLp(ZyQFLhb|?#vk3$#jd3m;<Kt^MUvN1Wzox8a;qyAJzCi%
zDSAHS%&Hl|hU=3Yk1py`6+IuSv$|s8qorNpT5+o@7C&0sB`UgF>srW~)i(k@`ngV;
zm13%y6?ApUO3(1g3)5!LdYe_Y;^xY<>9c%HBeOPLeX`<Y=;Y;U@n`c)tFMTxxVbuQ
z{;a=QTvufRiUZCnnzmmRS!ucG*@{`oS$(TD!-5wr3pvYanwoX$YRHO}A;C+ZEt!>N
zy8OzVuwpmg-dUTovaZevE{;3PYFe80>Z-|#%#h1VmPMX*HJyGXChYQ}XI-<xOmnl=
zt;!59_VsO_^*SqW#mkV(OP59a_Roqlt<Cy%m1o7ym1zNIC(Me@YP)(Ttl0f*$E@91
zZdZF&?p*$C->gDY{wqE!W`=wYI6GyQd)Bh6HesJ#&IX$rXU$tVGt_u_THM*DS+1tu
zS@Tv$hJAKA>utLJ%AT;#ZfB!SwX?#mu2~rwzIoxZxwBMwPf7)uEXne?JYk)p^I<dI
z+oo?WYzRKA$2(JMlSxYUiHj3fEBZU{Yr8A;EkonNhVa97yuVFFvMd%nT+rq$#h0yd
zslerMAn$P#nd<>-7P>gEY!j9`Wilmu#f5;C3%w3|@?Mql%d}Ygu%}ImH{J9~mc{ai
zd)m0AUYT6U&bV-4#X?W#&28OMdYL=cEOb3A%DYzTmPyRj3+o=vYUAU*ZhGg!g_R4v
zowv8i@$QxSW%4Ck;zB|2;RS7qyxUE9vUjX~*w-e=TW;EuC2_gH|8OnuV=1|e85ayz
zK3v$Q$=h$@lU=dqVQ1TNsWcOt%Lc0x{SNPGW999Znr32ieZ!iEt!>k#;xa4NKJ0DV
zE){07CM)9dhINU~hpl-%qb6-#lHqxE(lXUp@3v{VQQNX_E=*lMEjG$%Yf9$HYm*kM
zhI`MOR-?On>zj)~3sToltBv}Wt$C%$;k2V}`xcpNK}()?Oj{h)mub0fX@qy@v>;ve
zEmJZzuLmt$8st57+T^HJTjyLViaIT+YZ`SfYvt7<ztfev;#;m{uDpI}!O}qQ$<xww
z#ka;>DvI>(o~9iYwRKD8&Q(iePn+t>My<=<xo~N)_w;Fby0%eOTfbz!yl%AM>9T2#
zy3SE~nUdFvqEE}}Do4G`lDulP?CHE|zoU+AwYgxlFg573vu<#dT;|McpCV2R>-uj!
zlTo=WHSF}9X_dO%QDR$lGAq}mMxN%@)!(}3(x<4?(z^Lu*JMOq-Lxz<_H^5{@~BBA
zDz7~*PF$tz>%OaxNA`9}&h?GX$Ngk?m!!NpacSaOWq0>=eKxW`t;$~CSiIQbc%1C-
zk~h}_S3GX$YqsKhX|ZOp$8kYf_fnb5g)#0M`-EhdTKT=uxE#1{v6K7KJ}p`G(va5{
zOCN9PGq%bqnR7L8_2W5xpRM#>thgMwezBwb;yyLmvsQYqb}V_ksZUHcykyI(jLU_g
z?z{W4t*lC7t`|DHFYnWny=zrf^5xZw%NN%tI~@0wZMJGFxpT>I&Esu-)>dvMcdi<)
zO7=N!EBn2)=XJ$`WT)dx`r56QmDpS|T>E%qpSsn&S2Het^f|t!&r<fYl~{?+tB6Y<
zV~%g?6Ss<cRk8H();@Eqd#@rcZd{e@dwf?Puk8C0m6x8^CNEMC^`AAb#8$Fu+sm8l
z(%a|FttxwY^2+3;>e2pv^I~i(t3G{wb8WM`f8)HpRo`CTT)#ZvJfki9SD8!25&jeB
zDOdHqvRw9j$Gjw4)he&Ab1nogTOQ-zI?u~Cw94<*%4@}5=QC~7zg&4?xq5lnc}v^p
zUt=x=FJB(#-#pLF*0*Zb*O)8Cp663-b*t9B$h>-a+4EKN%51NHDS5GT-Sf72ezvhy
zyS{#T@$%~B<;&yGH_V$|#rBow<<6z)5$AJl%fIxz*tt4A<ot|z)m86aOJ4u%aK2@p
zr!D_ipO=+O(xc8N+xma8xoW)l`OJBdw#8M?zUsV)ys~-8^PTfjZS}wGx$@cTe70@=
zmpxZEFG>$RKWkp8t#sABm!3uwaukj_tZFo2e#vIUlViN$?g2h#Yu-0T6EYP}J8Wx|
zVcyC1iRX#*jogI(MkeNJ-Z#b@?mH}OWM<=&vPgNbp;3w1okzyB;LL$S=A&$0JX54B
z5*M6t*xAU%Je6$~&m5D0+y_${FSDJKSYcRj&0%e0G21!m9SIB0IqYp@WA0^}#bYA9
zBjdrO#?x$3JTZnBvKE{@5X$_PZJp!`gMzyUc$w$2?cynseqnead%<~!{f&&w=Da*o
z5=I554{T_>&Gt@0!m!}_ffbF0%-?zLm>c9hXl&$Up3EjEHN)t`i33|2kF(8_sK`n<
zcEFf<GutzsGtv<T8!{439w=r$%@!w7k@;Y1<8`)s5_TUhKcD~M@{{c>uWtV_`K5o@
zKfg}Wer^3Je{cDZ!MCdGy#9&Vr*c=E65-ey9O2*GuuxZBOUUmFugb3j&dXW&=ZgF?
zR*reLQ($H)qsix{oHW+^CS0c~l_Juk1kQIbtkhEUioM^oHYU;EwyFIack(X9Ju97W
z1n*{8X_gq|&RR5;`-7F@ht)0)Hwqh*rZSx<+Q)n)kGn-mSfMoj#1%2uuUx&mlpV4h
zXIwE4$&NS>*1KV?O``M9rrvMd_P=KIZesmg)7+cY!Z=q<E=1S+Md;LmMT-yhoNAGu
z%H^#kwl3tiT*<7~xZI6?lNFY9H%*XgmAP7<;_1rN|Erb#E0@_TF~2LCXI||3usHkB
zB(0Xjv$b80+v`Nx?h0S{to?E63)_7;Cxn(it=}qhwqC{k>Zf=;_sRDgBX6g0@~@hr
zuAuKZEnq*dVnenQb9f8SK0&V^L9-t0cmA~gr@l8w!ln&tO%}FjI$gfSvMW}QVfxp*
z6;uA7Hh8`1jqsUMKeP>BH_h437RIwiD#Ca}Uc%u6*36!6lX{m(?0>X8<nj7v%T1JD
zx*7H47;n0Jf=}7H_l?n{OqJ6f+a}5+H_b2VeIj|%eADd{a>}f3-=uD)r|h5Tq|Dy)
zfBvV-j*tJp|M7Cd$MDaW6O~=v`Xnr~p3Io|*sZH~iiD<V(IJnS6N{8Z-L!f`BrP+S
z+&ZDDyu5dgVNmjuH4~$hpZ9Qv+T{Ncm9kj<L)4-2;EaYPOb6X6n<f;osIS!&TDK)N
z=yg|%gDPj2SN(cF>lWu+VYR8td?dm)88wDUceU8Q4O8=Yy(M88e@=*=&dVhQOT7|(
zRGU`VJ-CvX7<AOB-fAl6n;&M=-vrkkRZVSL+Q)rZOUS9jBjCDN^41!2zpGqxtp4A%
z`06&bVfp(>E2W*5?YejRN^PjqvcA>(Cav^tI>u!$x+q`btaED9giWFG3$L0#d9m-o
za+A<QOSTng#ZLXOMt7H1sA|Y-X1~2bb(fo$*|#pYDRnw)*Woj5!b(v^{cfkGC{~GH
zj;EFi4_-3Q%$1Bh<*rlu!8#(#J8>7w%_pmcXKhwUdA_t^UTUMAchlrutY)QLjjse0
zuId!5@{I`XE?DjDH1S!h%vmniDwT$p3lA*gWb}W$s)cv2aL5+D8yTTf4Ho2-GHqaE
zN&C7|$jL-;L4n)M1G_^)oxWdd`Lb3+c-r-KUJjS|8oh3_7%b(^@e=)Dvf|e%;pwe%
zdK|ej;c81Vqkc}E_?1KXcf@h8)}ub0%XVrkS?2iS{1U51wbofIm*4zqo62$RrJz#q
z%AYO;cHd?RDH_Q~JYnt-$q?FStj@7AZf-(%Afwyirgf{Xa<<-F?HLg|pJ9EwQ{OZe
z9^F;@A5E1>wDp|7>kRw-$~AsJ&P}_^vuFAyey(j=Ca+d)SS7?*(9W_wG-DsrveWVx
zgI+DaR_ro)!}4sWQ&uezcZL3K4WApZeEX{wnOcR-+D%utJy;Og5xxDxZe7#W0qPrG
zZe!Rg*qC~}Ws@n_r0V7WmL*>05WAy1W4+ge`(lApx!-43_t&<!{;i#GMAvrDBF{O8
z#W`6egv|;=J%U$1SaP=bUu2?~@*(}a?ERM-`2{|Nd_MW}zy8%la*b+d->=M`|891}
zC*D6*i#$)9X7@aKU3JNuMbBn0`Q&)qs3gAnnkS<$>mk#!7yHU4aNlYv&K3V)xXM%E
z#Hw%E->R1T9yp%t+vE|%GF|26zHJ-Kop$qa-aT=x_E6mY1AW(Lu`He=ye3R@$>TW_
z`uKlMQ+u=6%i@G)qEfjjXK$9kpAhvaul6LYjB*U=ZCdTez3bLu--wfb3)61f2d%pF
zU2K~Y!;9Vz3;JW<tXi<8`OrD8*2QmymxU?3&~=T7o>#D4Cb1y$(BrPH_cJT=P2;2E
z*1pp|mo5HjNA&awD^(YUa67H}#p3>rWAPl}H(z}gT%DGiYxr~%!<u{F@0cy|JP^FB
zVR`hd^<US<%-(QLtFcOS{->Lsj&<rSJk$1E-*TMkgj}YuN0oC!X1m(KtjkQd`c?@~
z*tNv-!-ecdU*1DKuUaHy#dSjUWmW|?giKCYW_@VVFBY?}9F4m)O<r|1tjgE8D&`kk
zmml<bR||`+NYR&==>qdTW1H&KN;p5>6j(Rq)4Y~vEV{iMEm~L9O<rx>uw3$CF!wGN
z^}Ux>D>C}+9tK!{;oR$WN!{etI=w{C+g~_uM_pF^lM#C2N?C2w_O=qv<WtwxcVxxx
zxM~~gH2qj>$JtjxUR$FBLUuJQH*`!`ysIU8uGo{354M(5Il8ojbxPwKPHQLXoos8l
zwM0s&$ym`Q!{@+-jtL7A7dkaFbw;s<PvzQcwd%i1;w4^A6)ADPt*rs=tz5Z^A)6gm
z^s{Dl&o|=XbXp}cDO5jUwMWE_O2#<TL;Ih#w1#qvuNHa`swnbiO~RVuL)&h(`1EpZ
zmlDdms*sZ9JAcB0%37wjxEI2|Hv3xyE>m<2^lW2^l@-~w#npqIMSUqpk(Cn5s|(eo
zvxJ_N`bI=ARD2lL{fk9wujskb$Q9Q%U04@+FuI+W^V=+u6I=B%a@HHHadWg^-Xaz&
zqGYVuv&=i;?6fN-TxTN%!oE6bgiZ}u72Y&0i^bKH(_@#C!D{yc|D_Cze=}{K$7z3S
z(gI=5cb*H*dnU$3FACA=dAaSwvS_BwtL&YYaw_^hW?H_jVWpo$So?=HWsQ;kEZ%21
zp05(EF;n^TdeVV4X$RB4Hk~=g<-S`pU`_mn>#WUwtAE~mZvXSHzA#JbwOQNr>VF>P
zw->h$Ty}NU(fu|5H@~l~{irUl_wNh$-Y{*we-FOb{d<}&U;p7}e$7|U{hyD@2c<8$
z=g~aTP1)CNmihT9JuyZ_H$6^2o4IOY*yH=ZUtKnTKV{q5$zjTG-PTFIG$^`zf>(L2
z+peAx>6eC=vX`9q*gug`*}RuWO46w4^ob1<Z@aydkTfhR|Gebti5t%%Cr$kAHu;)D
z-oL-X-ZoeG<^OEF_T#Vo-*eON|4)2${Q15=_s;K2xO0M8S=ddj*T%#s^~uDEFV6<Y
zDEs%=m>MNNnK?01x!CPluZ~2d$)=PiJ13?p>-X$2`E=?;wsL;Y9@9-pbBt<>^zHwC
z<c~1jl$LV$MBBu2w@E%KvpozaW+|O@+11G-eA_3-eB<FGe!{zbQf8ennwYC}++|&-
zjqp#kve`G17acefC;Z#zjd@_kqlV6AHNKe^Ig3sl5fpa!l`$^7<Fc_+NO-B5-wX}o
zz`R9=T$Xlf39I{t%(h5<w58KnEz4()X<+uFIh~)?^k%Fu4$NP4&}DI_n($dQy;(a_
z9&PFr6At&;GAqNl@T$x1&TKU+pBVGP!!FA^^@Q)LRr!3G^}_gKe$s&>zQWCFZ9aF5
z409fB>$FyL^SNVcn3Z(qh^_E<-=5hO2}y^JEa_}lTjpb9WSIMCW2d^>yje30Kb|?V
zrqfdRvznNX&a4Qdk9Ur2>J(Rtn^lqeXltjr+Pzs3h8wez&K}v-$t(QcN5#=-iG$V>
zN2`<rW;gh(6V%@{`J8CVInfw%qPgY<Z}kF(Z-?w|@V6E)@EuaL;BhTr={sa-!FM!(
zX=wq|sRc4x3xrlZPzgD#X~CPmfbE=<?wsb&1&ps2$Vn{_oV7qw?~v*a9^C@gsD-@i
zoUCJ-`F8M`FA(0fK)Pyy_^$=>Y!B3U4hh_8O5DL)p1|_%kVelz+a3ImKQO2z2+181
zv1!Vz;PHQ;dFFuej8@AT?W_?ksug^vKQP4|(yrjmf1tbOfYzFWRyhZ(J&Y#js2%lL
zHPgiSWt!2M9OKP*&+r*rpM7IAIaBSl&$gK|#yitKoq00*W^P*lOeW*%vu}(y-}hNK
zlR1rVmSxJb4KtOD-OtFF7N0p&XnZuy>&%o{mWj*G`0Sj?Wjr-))tNaa!MV?-%)Fd-
zZpKQ(;%h!@XBMZOo4qq(**TxRGue!L(`KD9nY}aP*`%4L)1uDA7+%g=cJ@rD@!Pa@
zGhZ4M-#x=?JU4CEnUdKr4KHUeJMXi9CZn<WS)N&vM#ZPkY?ygF?cEGX!{X~_R?IXs
z{(k0;xpCgJ#+jVPlhfp8%{2Oa;>?zr$J6G`sLV<`cE;FvbK0{rXJ$tlY|cnKd8XL-
zbXweu%FJg|XI@XcH={B;?dX|RGfj<Or<tAEV>~%U@upK(YY2Cy*d^VRh#P5%yIW<&
z%A#(hDqeP))|$g@DORNWB=W@e4Yv=;akGkji@K4XxWCnjn_Wj{OTmdliQKMYeGwK}
z4`;MK7VFZT5}~oR;E>bI)*^0EF)iJYNQ=ycw+?A?FV~&3F(CQjn${@p=Q?w?7aVk&
z+gioVD<-9D5}C2B;Nl@w?&-Q)B6cJ#yy`T&b+y<n-I&c65+Ckrl@_zoEs1=w?LzXx
z>rV4q>$sW4@}eZR7^FO$)|$w@UH8sLgQSP+S_8S0#ok5k$WOd;h?!ehOikBji$Utc
ziLII3{kk?AKU_J~)0!@prh6uG#`X;fi5Cw|YJDy?O?S_R50?&UbFbIkv+={VLtU+*
z+}UE+baNsq(iKm7ZJH{gy)x|5nkCUEH*7k4s!ZE@&6_QoPJ1nzs-t}~tZ2=XsFRx~
z<)?0+Y8%G2=FQek=TFsXw}$aWSY|w(F!gX)*BY6PMORK4Y9C)K60Ny0DC=p<R3q)D
zVOncKqE>Dyy5zNWYH*lev}N+rW2Yjuw}zdIw9J3nG}TJ`Ynap;lc>y1mvWvin(7^<
z7oEAW=&0A~sb<>YYqv!2Oj~;RRH?T4nv$rOn=a)pJ?^!Bs-5=VFt#;!wisoko;ane
zeK;&HdS`O#kyEkSi^GnsxwE<G{;3^P*~8S<_(WA^q@FsZtbI95F1j-5>B^~++UM7t
ziJH0DC_nY!sYO#&wa>5BiLOk1x^!xISX^{v^3%0bMYUIlU0bsz`sRjD=e#EMrbug=
z1?4We>9KucV)E?Xw`OHGZe%7;@AZ+6G~1N><i?4u6VsLNAIp=j&JnqBBfDDfZ_3mC
zKW?5E=C;<}mg)B9id}Tl&TYpEi|fDNw!7Kk6rD7W?}pvYxn~V+cl^xUwqAUX3s2a(
z84Gg^J&TiM<U4ewg(g=8pUK`YaryAJBj0k*MNWJ>c{`W<v)?D4_1?Bfd%UAJNm|v+
zD|gO@z_i78+**6Rq(ja8Zmrl>c<NZDbb8K}8y4A%uN||Lex4h%AuxUMJ-6mwH)&t9
zS-CM=3QrzOmDV*|cOzr##k9w(ddsA*=ak&ok@vW**H1duY*%jQ`tbhVIO$rmU%5Ot
zc4Q`BI5wd-+N>@2j@bJDveL?C?`}zKm7SO!Iy*nW{`Z^H=Os25o<CMA{n$+I#*7Vy
znU5Ftt~?ul_t=)+<7V@2RAeO|J7z4s+3Z=)ncEQ?HfAJee*TxMbGst(@zZD7X^+1?
z6F+h+T3XvIEO*V#i0vB_AJ6Sou|8QA^k&H|&&`wb)DNFCv%dZI&4$gF&*@puEZg)Z
z<@U*qle5*&`|X>1x9r;u%?+EcpR=?6{Z{0bWy13XbDhihZfkBTK5{P5`uH1}?ZG+A
zkNB;eD_nN!&6L|KHw0%cKXuO2`f8cqP0QTpJ#(e3)8AgXWtskb&s^@ZS8uM|&fIW0
zWBEzH&2ziU^lt9VS$_1KsP)>iTW?~vUe0?yYc8Ml^|yC6T+Up6+Hd<@IqSV;zutVg
zExDoi^0@_b6|J|w;kmst_j%u3LF@9jJ+~w`7oR^@YyG%P?#9dw#+lC-&egQ;f8%qz
zGUs{c+~s9yZ)`RjXQ!V#w`VS^b$8jcH#XZh=R9wnJH0IKW@Ya4-nrY$!rrX86}fqH
zUi#s4*4CbUlVq1zc$7|frf}D>tvQ!(oAr%{3Co*f`HW;!Ece+t*!};?_~|wCliTbk
zj;`mMaO6Ehs`ZJ&39l8-JML?~%lFMfqhQ1JgLdq{Wkswk9z0mk?99h!tx;5P<X|BC
zaT%HNfHw<{IIe6K<~t=b#d<|Sz{>@v4tla*<@2+&c>AEIS&BVf_KKCo^9OsHx%pnn
zT(Qn5xbR}ZNyp92-F$kMJKiifdQg;oE#EDfn9>XH9?WXyW4|tYr{KcN1*aXiH_Nf_
z<@+V`#ag1E;PSx*&5G>XWq7Q2ynWEuEXZCi+hZkBTyXwiE&F3WIg1$u1}`5hY}RD&
zm+`T#c=MpMc{yL2j7_n@>x6R$_cXJzck@k?u_@p1=0R)obiO#tinkAXo450Y$*i%8
zDBkcc;qXCgc2B=abC+0nmQH%6a@VtMa<1Pt>zfZ#mQRlLGn$)Xd9rNMW0mWk^Cs7*
z@1FanFz7+b`pLC^->fxDiVmD~RBxXnQx^2(Nyp^Hetnjf@0Q%~?3^5=u0CgqrDl21
z!zGtIr%s;iw`%U3qM}<TCDl#+&RMN2Ejo9yQeAw`70Z?7mmVy+=s9_En!5Phn4+Sa
zp52qR{i5b>vE2D;$=#Et>au?8tam>A^XtRUKiAvOujij%fAjEi*UdlYecU|1=I7r1
zyMG@0SZ)71>9fYGl1opQ-1h9B9H(CE_iHYX#m<*07fw!?9PQUO_fA>S@sk~scl)``
z?XleX{K>w_h3fosd@N>`e7bOQ%4B!HWpi!HJ{>t3tZwW#&vIs|(esphCz~d_s(bs*
zvyLqLbm*kF`uaJ0%03-C8Lh7E7dCf|Wn}rLhfn5CRuMm`7ZkH(mq+o$cS?tknu*_z
zeN(XU@=-nUnR=UIQg)vxocLPlyz9R1yL#VtXcTO`e$-C<cdW=RiwBPubUW+u?bawN
zJaRNp{CJE^dElExM_gBS3+tVVnX-FDLEy_pr;d7xU)A&5Y4P?^Pq&nKdhC^57SA8;
z>E_mZ6?0{GM#04wi%z<3?(Wvp+qvV-qN7Je#n<ZHiis(``0mlHZa(qrv3CkCzFc(L
zb$hp*_+GtVF<*8|6ck=Qx}aN8e0vPf?j3I*^>qu1m&f+(k|-`bf3#Nov7X$H83l$f
zA1&<G6z`Am*<JDGQD^sZy|fscV#C)-=Z@~_W)<(&n-*hJzVXeY*6!(gaXTyCKI-k>
zt``=wW>-Y<#&=1Fk6Md+=1sc0WQS+z<Y#JkecNW|=55=3^I_WZ*|B*>cT;wrESvmT
z?Yi&0*)`_7@4hJvevr0)c5U9b-I^uE2hKX0x8IQ|3x4vfWA@^_zMYoumfi5}oE>DY
zerL)~&GO)f%P#p&ojo~k)!jKo#kbB%nw#dG+qJT^_}tk_bMZS@cCIYH{9xHd-^sJn
z%*F4<6cyj}?Vhcj7j<{b&YiE8-92k+E}OS*_s)mQF8fZOoo8;FS9SNx&X?uJ51uWX
z?P%_tm$y^0toZg>S##yQce^A@jh{W6H~V+qvAZ?}#t+jjopm-3&Xe0Yv+VPYv%=>7
zchBsoe3o|Y?3~$^=G=K=cXf7FzDv7#mfKwa?w+F0x6VqN=ignkBeHb!v$VTs+h&*N
zO|ns`_V_sAmEu|FUF|&lw{3F1Z#aC|kAJsKO4W%^6W%HwcV5?S!~avRtop{|g$EAD
z@&C4Y^F83j!-n=|IlfAZHw#Z37UXxgmHAw7$9ZGB5dTs+zY2}d0q+(ba$ef5#jkD~
zQf=|{;g)t|xh$JGUjtr0oYVeUPOoCc=YaPM4>~VySK~h`r&qP($-_<UV*KGYTdFcX
z7hHAT-JUIHWfSwg;IQ-Zc0K;Pa#c28s$P7)@ILXtVPF1cxi*_SpA6nS+}3U_=Vr5W
zQ$N?YpZw<kAFXQ-<WH7+SGnVT;+@0H{K9f-wl-f3o<5w|p2^>DYxD8LmBT&l>2hhd
zXDVlW-|!&u;^9f{&*i4s?)mWH(qV1>^|pIHez<nHt38xITke`|PDRCY#gpEfri<vW
zjJvdFN%hGOo6ept)3@I9=F6tj-pi)z=--Sh+ViC9<mXB6Q@2mIjpN$$=If^Or|a}v
z<M=8pUp$>K{cv2@9+{6tS56!1AKxodt@$zN)zg;gM*2_VwDyElt^8DU$$RVc;5ff(
z%g0NPosQJs8h5VJ^8M4M=~nt*<D~YORAqj;^ycZJ>E3aA)tMiQj(V@2Zl)i;cT4ro
zXG;&CF4Z^RQ&RQv)1|jdk9)75Zm0h@j&0AKFGep?Pn_1(KOC1=z4LMEk>4km)qLDM
zKR@qO+IeG_73RkKX1+VyuwVH0Mg46Fn{Uf!?bmAh|LCt(&bvdg`AIr%dwVK(K7YDz
zdZ9l59-oStU(~ieUN~J-zkiQUb>*9<ozs`!J0f6Hv)^4hX^C97WR;5A%bhcpa~g)u
z=H8^>mLA}9W5$eyXIbyaOgVe>X4K4_jUtgrMrB=ZPY5bc(!8b;e#UFwjp?yT8M|DL
zt>2sfBY2~-xywWABQjg+j|Y`>JKoHyd;L3COZ;(=a@(?y9>1*;3$zT~M3Z)&I^vOg
ztjuf5o`^Ts4L7E`AK8_=ZLh<V^6efU(<aP5>X6bMklvM%|E#wC#E-Ny=F1B<zw%C!
z6+05d)5-dCHT$D`zvte{`B(n-%7N`=S;g+##9kfNcq3~4>EpGWbyu%lixJ%&scp8!
z_03DwjcfalY&qLisx6rPsaNyoj(hC)&U^j*{dJ|l>*C-g`{Gmfhx<5epV91R$a^*^
z*zL%RnuuOCLqpyqzE?-S%n>&Z(N<gOcINWe{Ig*<%imvow&_fTu=a=EGkNb$OJ00(
z_R_kp*cpP-AEVwJO`8_q!CI+zEhSLrw5Z^1CPRUjNjgDC3skxn{X4}J|8K<s&-;-N
zTvlZ7GZmh_vVPmoxvx{to!&FO^=y8qzIWWb>iVB1I@Q9_#vkjP?bp0&ejjm3{N53f
zypG5_GRLRK#Z^|mecC&H`#t|@8AngAnr^E9`reU$c{y<xy(jgj$ZOgK)h_wz@qOaM
z<k|gi?aF>^i7Hh}u>bXtzusWk-L9MO>;7%F|M80Vlb`(Vnv`EBK23b9eB6Cqzm5D)
zyRzRm9xpy{JWl?1&71FmFCI7aH{0?3w0N`l#Bo7+_gb0Hg?HRH_6x}`we$O-@j39_
z;zRCB`?ciNYeRlpJbk>S-`FmzX3p2Z*N^A)f40;6vEp;!`^5*{7x$~lpS9Ebwd2X-
zP5ol>;Wb-+WqdBY>b|=_+s>*c=6m5`_vQV1@^|g3YQFq>@%iHW<O9cj<(uu=YVLe8
zeDio)zqOrP&7H4?uaeIkx0U~1+w;5PLGq#FOZwaGmetsNGJN}ZW52rHyk9duemrx0
zO~0l5XFIVPonH~3KHfRLsbAbK?pMXr$6Nc&?e6`G__*;^^4a6N`g!Hw*QosT{5JWK
z`c?l~^Goa{>$d&8`7XVE{@l8<pC`XeeyV=kzi)nweP!LJzi+;6KJMQ*e{bElpEutx
zzi^(>p8c=Pr{Wv_6Xz?}_5HGZ_I$_uBzx65ufKCX1V3AT$G>&HmwjlR->;S5icg)-
zv`_zY<%i|#<=4(z+CTpr^C9^8@_YWx^WE%y>t_9p`BHrHe5$=}-MSx{UoSs<zG{A%
z{q;X3KX$%*-ZtOQKDKVx-!DI2e!cvB`Tg?^^Jmww{pI<&^J)5x^SSore|moGe4T#f
z{EYe4b?<&le*b*ne9L@Kd;Y&ZKP#W4-#VXc@Bhc<tMTLKGv`Oz7uP-etMeoB%jPG~
zcg|0>*Z;HU%jZ+)v+eW$?D@L+QTo;Mv*wrDOV{1|>B%)gMnTmft6>YnOGYE69PSOa
z2l^PSncr|tkW|oiC~KI*u#@o<(-Za^vI+hTO$^n{Z@4$uJ0vzRGxD)nh&(80Sj6DY
zB*R;vbKoPxQARJODQp&k3v?VR8@d>#GOl8p!xJF;Af(|k<2jZUoCRhMxedjP=h$}$
zEYNePZD?cYWt_!i!oEY|K~TeK#wey3&I?itbPrr*c+0qs^#w<P?SWo~xs1D*O4wg;
zUXWg(?@-^+$Y9RQ!zRI1pnagA;Wpzt775M*^8*<T8yUVc-QhQod*Im6$uOBwj%^0l
z2aN+I4aXVhu~bMUs2$kMu$l20(;4;%jtvqCng>2JoMwz;sgQgS+Hjrm9!rIEg6e^+
zhOG>*8O@mXa8D3XvGnkoa77{0@ltaN%T2MA>It%rWo$P^Rg68tCcIIwbS!Fq!g`W_
zll6&t3apOb*lvoa)K561z}_UoQ>1a?p@OSpAB&~blZXkA9lM&RuxRoYDS1Rr_@p4}
zsMQ?8YALzI>cmQg<;`<AgM^>tOt_`+ylD=9k)lWJgkK80j#AAgteJd8h9{OPOmE)8
zvQub@sYmpL)sDBCW4JE~KB<}@?P%3p!upc$lJK7;>!R~TtDC>DzT`I&c#<~Zpn|hw
z9;+l@k@bnW3d)Y}*d%$4#Gb@W`0aSC*@nYNFvaM^VTE8vIo6qcpDa#HR`72=!%``h
zVs;|t!|GjiD^icUAIzzWzaA!d!z}RJ>P35(yExguOP|Z}Uh?AetckDJ+U1*820ba!
zo_$%qw8&Vq+%@EV#{s_X8+@IA<OI*&)VYy1+1TaFB^QQZmyf$#m;QE1t9Ge-?wXM9
znv?EQF~8$XoptT((EkDZo8m+Fw|zhQ+wGmyeew5K%Ac(B+EQ-(a_XY1vk&f3Z~7mz
z=GY>Q`h6Uw>pIG|as0S8E9Y4K>bED~-`;1*`2L8@n(opFLGO(UVUMRqOb89!EP8QQ
zVBn$cN*U{2@5FUo@U5G5Hov^c>q@DU$k(6SZ&_>1vQhYTM?LDUn%6zORrj<O?b2Yf
z)=#q5F1o86bYEBLzGl<@nSVdu58QUi|8$w7$%hN>KmV@YJxkl@M{KOE#;d!!N|E|p
zJJmk@TljqKqRG08W=?mpH&<Wa$zmM5rFQcN=_I~wr}ldv`M>JKSILX#^(J1Hxp?*U
zqK(&GCY*Mu{_Uc5+|}%T=bXOIb$s26?unMZ72o@|R{nhE#LJo!uX#?qd3@2y=0)e3
zm6jMUTEV?&UGbtVv-kep(Jraf`QF9vx@%OpYuf#;C;P;H)@lar`D3?jcHuvP+xb(>
zT(6Y=t9>oza&z0IS^7e|M9)M89$jmbH?_?0#HDAt?2&w*lun#hSl_gV?~~ez=)fyy
zbJlf)tYeGh-XxdetWv&x#XDZX&*e%pJQXK7FKO}Mohas&VrJ{&){(n#z11GuBYgta
z&TqITN-Ak@iF|zja+Xlx-<RU|e_za>D66FIlGm|E;HP3)`wihm3P<h<{C0Z7A1Lw2
zp`%%muhl|kk;ajU0`AT-+=VtSg&mUwmM)Z6`?vd{di=jX%lDt}6i8J(<s8zof+tY)
zQA)=vf#ptf_zKlrd^<KPW;xH{F0?z+diJ=~B0Cr77x_7R58m4&`tiW0qs^H-7ey9T
zex8_bcTixu^Ola^w*Rii{`Xz`@9)%ofA-%0|LFf;(fZ)ke_wUk|GW8J==@Kwj%xzB
zitE}k_zQJi+&f+?=C!=wxhVSU)!+I@=_cPL%-8?9tiSKi#s2y)oAvD%Tf6vo+!Lr(
z{N>EkvO_Y-;7CA6v|^j{9lk>KBOV>Q72TYBT6c&)s_XbD!0+VKGK1%%!I6*-cg1DS
zHhdpdj$9TnR-D&5gV#_z$?k|#$58=q#d+-!d>@sLoEBK`w1@Ab+L7A=+KOS$Yg!}t
zHwr$A?O0-PGBN1Tk~UB7$#QDSXSNyKKKzDbv+<dA1~U^k9ZG3G$vIhCP2Z<(#@)nk
zEt(vg&Cl#J_<dNU%~If5!VKp`zIIKnVwE!&4UQj@;SZKsrs9)1Lpbr&p(*VvIf5mZ
zX`MM~a5d4d)l&AE&x~0H>4&ehS&BcanZcd->d=+;OpePE%QSt8XLKj(weFNzrg~<o
z!P>-Ihhlgy%RP&l(Pwb|@EwlJlFPJx%4f_o*qiw4(3f^ej$-382{RTNY(K=)zEk#@
z-;9X{<%fIPB)N<A&-^uboG8~alfzi@S>lY92K|S8+AC$AdCpj#n0Cm9+gLhH?@Y~%
zR)g-uX@_k1H_JS8oiRNzuC-G3neUA4iD8G<v_*1nmP=DUv)jN^aFX&87mwZvVv4p-
zZY{Zj+uUymCZ@N<3K}V=xSr^nAgpNa6xZ^HW4H2~&H#bL{FYk5Z|)jB1qz1_a<nVS
z^aY4K^k`Wu*ym~?x6s1Lv*i+py3!O^js5_^g+@-HEt3UTDbMLDusSr8!&LB`+lt--
zy+c1a#FehNuIRrYu+Y#cxaAp#xN=Nafu)moi?(2t@)p+}QVVSlZRL;^T<5++aG|kN
zc*{EuTfr*jFRm~84Fn#hwH)Md7R+;%=qs>3G?znJ@SU4PuYuUZxR&37$CPb43<ML6
z4jtwQ7L;?H(f7gP&}0sO<ufi7Vu@ylVp@K3a0`kl>$q0PC0ZWp=FnH()AhmX&}@!;
z<uxu5y&J?5Z4bG%lnYK;q~h+`IY~-Y*Q;tukH+mqIsKcIPo2})y(q=)WY;8FRduht
zDSI@229~+s6ke)u>Ym2$MQ{3pB%V4<X%6IbwUk+^acZK5`(l~yA{(#5DU&po2Ku>Z
zb_dBVRq{%mvPwgJafrL6=+lxZ#(`Oj=JW<hKaH94IZ)4KWp|MLQbn)iDa$m@2I{%(
z6nR=SWtv9#qAhNj-9@He)l;$qtro@f7b$zCPg$pNH?V5a7q^$)m*i6wPMy_g4s2U=
zr^`s@Y1tI(K(|GAdX1z~bx!To_`bNuy;2}m=~T*;_P}L}Y`TnOpB7G051i*Vv-6YA
zshlZ0H9iN5Ez)s|?D}MLs%VOMV4Pc}=+n|E=7IOzB0D!prRtuln$oNBev!&ikG_dQ
z%BF5nJzpdw4YwV=A(!mlGuN=}=!u?*qRQ58em!?2Dh)p+zv<hk?&jFD*YMlX8}f?{
zjx|cKC(CpdTDS%FC>!=2vk-e+(ep?`)zB+>PDh~FVjDNto>LN`hJMFZ^c89ydnu8g
zbmfSJ^kTDPJ0+ec$8-dWFSc`Y?l~smYd9-8rl(Nz*i#8z!*xe8dM}DS&g%IlaXqQz
z$PT&3ZawEDVhwjCe>w7^_oDb>`(qA0vklpjd5-Q7O}04pR-!zq=g1D}WRqhNJ=KQq
zj!X1^R5<3+b5epo+2?45NV3(j#}fWYHob<zk0X0-N)#JDOV&9O(X&zHab?d_3H_u!
zJs-7>y_U#N+S9vHDB1K_RL@rlX~TO*J-Q~#sH^&A&Dmn{ve4*JPWNWpbA1-pkKc4n
zmQ>gFE1NUNVrSu}M^BF5luh@a(_~To_)Yg_d%wgv%!Pc%EJdCd%vogN{z#^`Sm)eF
zi=!X?!#;kFU;k)*_{Zz3f4mO;^Hu9#mFWM_IM=h!c7A-OkvA{>mD%|{+x2RH-rNzE
z?N>A5!P)cs{=7-ApYX%h-s{nnW0r!;b^I#lbXiO-T=i&9Pq6IskU5tN&mCFWS#0K)
zJEyqt-0__P%k}(f=d@Y$7S4KPa(t)6^PoAW3!@&zbY7NPu6ypP#oNMlM_+an+n(#S
zm|M8(QOWU_otLGT>-*KuX|ynZ%yUe#t62M7!JONL?~X`z7Mq{Tn6uI1`=dMk#&XXc
z=X6?3E|fbqv+J|Qxso}@3+ElFluB1Sx7lKI;j>3)jz@NEmPpt9r+=gR^87WoT;h$@
z?s~rWvfAml>+<u%epYkm)XlG)_q_6*ZR+x0#edmt=gdn_XDk#85eO7<WJ?urWl>iv
zbaM?Ua1jX!D4h2@&iqmL;oZ9@UAEl4>f7gqT2r@cTsXe9?tAIl?3qc=#OM7#)E!^(
zlY4#L!>{^#eztDk|KXkZz5j>W<A40%o?rLyv%XHqMvtROqTV4op&NaU7Kv(yh^){E
z30#u2v@1z;b;z2qjc!MyL|=!j3E${=)J;@X%PTY|Xk+A2G0{}5Q=vJbfeVvncX^54
z4t*04xHM^bSFF~m&^KX$ix-6*l@l%1dKGH2A|qsD_)$AiU#(f8TUKO*Zj5)G-NmfM
zw<;sN(8IO8>#&wpXvs>8MUPf=C2RGqwph3*+O@wcPPA6*SLmD&!v&9~bY0dux58re
zqd8rlwe(g<gcJrIRTZ5c%CmCEqNIqUp`zDAV!{m<C50U26*UjN6I2*|R93V+v}fgx
z<w-F|Yeo4(d{)c|`516iS+qaYXXT8rk1j`pMbC$vSy8d>QES(9t+<sHYajJ?ZP&WD
zvSR(C=B|D%yOlrIBrTlfnkBY6a#7mKS*cmmR!1&e=HR<<mXfLVRgu+^OVhT_GR?ZS
zDsp++-dVg^W~(#<gIA?Zo^>{B+X~I#;B{%MXK|U{z7n$P=DM`@Sz4ynSKkB$uT9%N
zOUiU>*0&WagM-&EbM#$2Yj4)Km6<`8*DQ1OT|Mh>)~zd`HSfN=XE|qCU6Bd9ymFcM
zSwU0xt1`jGF=shV?`Bn9`LgO|@a6T-mds*=u6aKjY5M%iobY1DvkPW*XX&lnxhBoy
ztf=YXtaYn)E_=3XmZ0h4th^OFS3a9I>vq<=6+2g_`JA;ieVp}fb>)IIr?X3DwP(q#
ztXz}kb#}`v^{jcTDwn0Xoh>%izp^Lfv)9>d)BG!YLO=VRtu~dvQWNso^K7~)x2evh
z4H1Whc)d+^E^mlA%*D$p#bqjz8L@I<#9=Mo)uwAMZU{SU#rxVc=fZ|S=gzhu-q|KO
z*EYC1&ulB=jW!L*xUp<u(BU#(Ym<=78!Hp1w`K9}HocOu;_3!>=eccFyu4CUrf;rp
z@OPfvmd2|q6=nM7`h{f=8`_$sZkftly0Gx!hBiOmSgF1Yi&YP2v^|#c%Xo3^!s3PD
z&hy*qc-2jLGAx!p+|p(&bq==Voy|1nN`dF$R9<JPbr}-Z3PKN?@?JOX$&k2O;C$GZ
zce}}*YX$CyV|kOM-epQ$FNi<P?0b3tufl}-^DS+jy!@s%mp()sp3;`d>u+LnRiiv<
z{~q(|r&2bTHH?iGKit_SE)|zmvGn2AHgl<aSyQaHMEV`Hv*f=1<ZWf-z0KlJW&ipe
zuI??`-~Ibnb6dZZUFMH9f$zSw-ii-*&6$3~eB=B_dT~oa+0r*oQ;nLI6}c>R=QPnM
zt}P;2Q{P7EhOhHD?X}jZ_GnaC=G4gOwSVibrMdg)+>lyZBvW$Yu#=2r_SQ9*wU&GC
zwNbv7J#}LK<mUa3r`>c_qrA4B`j97dKk`q&$GKZi{fM8)-Wc(_Xzivm@=rGSpH6->
z@lHYEosOxmCoVt3S^21=IDPdruC+%09$gUh-r>7CXK};{FWuW)PgQhr-d(uV>$IQl
z?kyqNH`gw8^IrQyW6P;y*D_a%?@w4;<kVTbD)4mN+M<fLmoF_@8d=jjE$rjkEn6}z
z7d%}stxUIlOG(H4rQlWb%29n;mdl>*m?j*xYwMScmsc;T?*pyKXWIf=k^e_TH+}1z
z%SCagS#_JE^t$>zPN(WRN3HAVcRC%adwomHb)!Y8A*XqD&9~mU1YT)hzO^TF=knB;
z)3v(%TYNHRUilPoTKQvO#>`7bYoB&bTOKtpbLPcQF{e?M)$h6dDeg4;$INbfKHd3S
zb*^mmIG!ZyU7~Y!qtEdoS?v;$7aCUrmn1LkOOjn(vgX=Gx8qT=uS?ck-{^SUO;**)
zt2F1*#>nGhvZ+?5N^`CTE=-=?=Ouf)^v#99rOC_tVy#w{zPT2-cyZWqIoVRHSEVK|
zGOlb4KW-=MYc;EM%ZrSw8{^$)_c2@Xy~?;==;7Ypci76RwB)75qQ@)xlCAn)TP$20
z?cU!PCtGXvt8~s4!v&A0^j)?(_re0UB46T4Vc>C9+3BS`FLx|TjyN7Fd%Yy)y5XYa
zkmJ0v=B0No6-FPIl`SvrdAVbGa?J5sS^g5A7c;JW3^=YV+h6MQa>lifF2{pq&zGEe
zQL*lEYu|LMxR(`cANTfcx4QSTV*TUhzJ4pamp|4dFP!IECH6XUQTobxsa4ZnM=o6M
z;J<L5lCAbvk=Kz+)3?qut-AIqa(VjRdAwC-uQV?PuS%ah?`+k!7n+xY*QKwX$7Or_
zOUNtmf_yDo>#uJv1+PutK2OSaYt^?GD=!DHU+(C?cphj$e&(giYnHqEub%g}>ed&T
z3&jEcyXQGqS$&bYc6sG;@AHDT?q6jt7ss6Ew7pwZ_2tW}mzOWEf4*cMqwVr9bFLM;
zosYDA{$<YfV#o6f=5<%;z1+Db-Q&Ed?cu6*uXZkbzH6SK?c%Du7duxzpEmDy)w>rv
zSEu`&x3zs-_3m}$f^?_zOXjs#$-S&xlkRnX%RKd}d9Ny$rMsOkMqOS1+3$R{t^Ajo
zE1x~jr`vM#>KJXfaX^UKn^(tp!<_?M%&cr&ydshjnG0?l&|+TAyT)+CwF6enuX%F}
zHe7V*Yz$(a&68uc;i$vR#v<lu-Vli!X$vkLC}Xzf36Z>!nJ~REi+MNi6^Rw58;&oS
z6IROh^u{Xl3keG@9*AT9&0`{&VRj*D!PNtG%(-k&Pgo~BSm0>+^$6#Uyay8=p8j|}
z@xg{hKjv7rzK-=K1wJ)1f8_H*SJwOg5os>Um}g>;5a4$^n9Wam%Hp4|XI39O5Xt<U
zXO6js`X|=rA})R@=t})Io)|-eoCm8Kv)R^3PYEtP^5Ln6tZBjF1HR2gef75Q@+EiV
zKInTmyZHY)N6TNwc}`udKYw6H<8L-Oi5Uh4nGY5^CiVz*O7`>Em>Hxd+&Un9czwgi
zD+>&c88aKRwK~j`u1I{av@x75PP!ub!P>@hwtLbd7C#dnEN=8?vy+a<NSQeCsM|D&
z$gGr^6Q8<;NoX1bWh^;y!b|z6TbN{I?w>;j**$AaH=R3Cr7Yc(V-l3K<jM&(<=MSC
zhCyjd?wkly7IoVuxl-i6v$Azhh~&-8l<5<*ly~=DkyvTE>A1(-iB-zHZc@E(OgEkP
zm^?8}S=TM9_l^0bv?mP{o84~pa#hP1T}phiVWOXMEMir;pTtYEOUX;Fd(59$r>x$~
zBVn2PWXnWjw{udn|9!1V`nmr9L-{2_^Nfq`onTdNcGHvEnet@QL`CKB9v<nPSs_23
zY;6&CRCB6lnZw~xp}FwstU$)uk<z>JLUcTTOnJb5P_cDVv0G34n)H40b0;1%H0e*j
z=Q&BzwCL~(k5f8lI81hBh6FsH@?{NUrb(@k&8j73oK3q@Lv-G3n`rI!PD)aE{-lY8
z%HMl!42%*}E}d{z?(eZNGfGXlbwc=Kw%MmMCwhLYm8?vBvUFm&Tby)d@{_d_%iUP#
zJX?J3o~8DmbGJ_If4cg+o1MhZj3<*Po_7<Q6_Jv(u~T)Ke#w?AOMZMcn*8Xf)As4J
zMSO&q^c1qDO<{3gYw)*a`l;s<%MQ3K^kiJ3B&_W#GCLwQ=+3vPSDycrQ5DGE`ZBz4
z)l@zEuet%HUen`~e=!Bly`*$~mdIiot+$)9<$^hxMR#0Po4Y0S*UNB+*z{jaD_;9{
z#d7uCN=RDVDKyzBa;cixOc9>hscR>H3OtZDdC{EZPmEjEU%0C7_|E#+?W9F#Ty}P@
zeRMrDX?CZV@a?1KCV{C*%R6J$R{6d$3rt=V_QyrI^ii|v#e_u{kHmePIXlDXV$PzY
zOD=dXWto(8(s-@fx7i{tnp4&&bu2x5gi)B?cgt*xltqV+Bu;J$S}Zg<E%0sE63v6^
zP0Ltjp7FTw%0%;m=^tG$POB>ApKtf27&v;xs`bsV$O>Y}>~2}=D>TPT#YNV*@XirV
zq?L@1mh4FL;WD3Rq<KW+#p^Ae3y&D9otq`nvqxd#r#YRUAN4!@5&oE}rZ;m(PSS}Z
zq8~G7i&WWY3x3(6a%r_1E7NP0=2&CRgvz^1E<DTaoW5Ko@tT+1@2*^BHMgVJXY9xf
zVt6y5Wn;6@8J2mm(=)10AIY8El*@GQT}OU4c&+4PwRf{c;$oFN^S3XtYpi5)WPQJP
zdcdw&rKhXqXOv~|W!rlRiM7~pPgpxCgjL<%K+{6YVf(}A0^La)ZmBvhjW0UX;>kE$
zkW=EiN)C_r2Z>1nMp`d3rp?mxh`6P;GIRHmmFz;6$5iWlW>sVaZCK?abYh0eWa~*m
zdY(x;6&v3!V>uDXIr+M3MBLN~mHtYp6J2&QbyhNcW7(}Y^@q9Q%a5HZCpA5%_Nydv
zofE0~;V|j>jwe?QJ(rZL%$1vVqb%;z)yR`k%T>#HJ=mnD*j&@TxhA^kR^iE<raLTs
zT%Sb#{PfxM!u{mZ_o|EKeoFq(oqR*B;qye#g=g<8T{^C|%qM=qmI$YpTXwh~xtsE6
zW~bz2rfJ7je*F`f#9C=*uKDEWp(EbH>yKQRS&{r`?T$R(J>rkmrd>FqE<E2?$7J(~
zGfBqYXLL+ApE*-xtbImghNekyO4`zyNye+stTEes>`avL>oaT2Hy=FXW~`d#bvDOn
z^UX72#;Iwi&gPf~Cx*>QnmyCY`1aX12EnOm%V)->tvdV0Ogmv`T+xH5lP#-N<;A?i
z<}3|hY}Z#UICto|v**0e8;iX@l`T$rUv=_fwaVn{9(LkW^g6z5Y`M+UIXzWK-`x9_
z&cr8{Ka9`5oVVPv_I}p6oB4Y^Gp01$j-B*vzjOS-!%bbR>epw8=G5sG{+j8M-FT$w
zWV-F@YiHz)OVeJRHJOoVvibTMJ7eFpS!cJ*$TZ!2-)A;>5oo4)@d=;y$i&l!(^~ti
z&X&xyOnSCrX7VFrbL}J1nu@EOn5G_BC7jl}fF-GP_De(UD_S?UUiX<lv(8xkESIyH
z*NmJA8mn#o8*01Mo>~)dt%`T~*?5QVJu^3_otr6o!Y2P&(@e+Bhvx9B6rJ~YwGXmV
zwDqi(YC2cdnhE{eUa7QldC40UA3d`n@?l(KR=FwH=2%m06`S83FK0z2ZVU4)X?neG
zw&;P$2Fn;WA4p?7rkv(>_Ktz}`DJ;tcBVet7Wr_mA7@>x(9d@>MEUk)|C5_{{LCF=
z<GipPQ5#-Mbxv%W%o=ph{PTe|56Y*eggb6%N@nfiUN+Z2yTCd#?8l#lGu_i#ud;5m
zH`DI0OHI4AX2wxrWB;=@#@aXb#|o_p=$G8=wBh{Gsrg|?o-B>rI4L~MRU@vu`^cJ(
z&JTUt)9%fz%n!Sha?SeJ(u*|)+C2X+t~u~c-FW`luGA?W)9xwkjJmKjpnvP6Ox8Pk
zTQsKZK5;0C+gqorpCdgoD{xB6%+{x3VG$Y|0x}k!_~aUt78o;O+XgwuAL^=ax#ve^
z2A<gOzv_bMO4oS#D6wdfz$*QzUONtGon9}u<<=sNP61&>4qGYL$`+$F8#i1#WX1hj
zH)q3!i=S54PmR#ny5Z!ZH124fDUtgmB6qf~71Pqm*{qQilnGu6dvpn3hKT>lO&cyR
zn$i7X`twT<$|BwVy>d_bzxUIT{2%Nsa#KWNa|3@=Zg;IziAY{}?Gx+l=k^sMd&-@{
znt%3eKMq>y+TB#iv{qWjUVZOgq1yC=JHD8A9lMr4-?q+IYx9IV8G$=$*Sl_Hd&u`&
zXTMeJg?6>>Mf_*Qj_O3|+z+36U+D(l%oSOxFX~j^hH?Ks`u@-%#*ec%UC0Z(@nb?O
z-$(VNg_oVC@7SUvBU=Aun*N8HJD;>|&fB%rD4)Kl?RimMhifu7)B9~20(RURm#03Q
z)q3OLeT9g|v$kTbf2wuAM84Qw5cFqfghlGZEv?34=b}XNh2jd%ed-l|Gcl_mF6ONm
zn{LdOf|G|*KX!}k7w10wXu7VQ2KRN{o(PGp1&0s$a&OnUv#sFxp;+(|TnX{(8(P`L
z)O38JDl#5UY&|ZvOvfj>BI)7EAI=*Mk{|AD6@R3(<%3tuQn7mx71<Bxwtj!qwdq6P
z9mhx0vl16hbqy1Xj!a5jIW;wGT6ARM(gR)#rz&Y{uN8@oOikT7)imr{RAhSU-l@D{
zW>KJ3wW*V*o(<a;p}9FIFLm`)F74ZELZWWwrM6Gi(zagvW>ZjZ>h`Hp+FQfEMXcN$
zl)v<#*W#&r!@fm=me(!?t*ZSSc598yhN25zyQeybS*?-Tb}4h|=~IH*?rUW>7u`9<
zseL!BYR#9Zmzyu;KV34FQG5BCIoparD|Vl+nX|p<;Hd>uyTkM%cjly?I3=omIBZ?i
z&a|hyrV45=4$F(!nfY|u)Z1b2B6en{o;hW!{W$DhbY(*7p;Jqywui|@R_3IhI<;l0
zdf2?E%CyvDt7NjyuidlZ)1_0|+UwWu+4$+&DeKjnj=f)7v*FXlRWkLyMZ4@HrwY5w
zQJ%l{l;x%i$CRYIb9FXuymHJ)`gHD^4S@-ZFMNA?LSvKW@?&1Ji*9w53RQ>ZBv19V
ze0F4|VfBwL;k7Y~=M=8aJtb*gUD6d9ot(V3x6~|k!u8uz)P)|MxSf!^xK~JeshQc$
z8#&3{zL9>0ZK-CduBUTzwrYNk04?_QlD?h$X2V(iEB}9N4G6ypUiX_dyQutp+snrJ
zTVLK3iq$WFu=Gw#cai+J)0!54r4xUx$hqwPB=@$R_%i>x+skgJ%%0c#*tm$j?DiDL
z6&nMyllyzEZm(as^WHaAlln=Q4eis<yFF){9dus)bd?_4s*A_sq<`m_+|1Z^F)4W4
z>d=}1vs*{%#o}`o-#w-%Eo+uq*7e-<(NBNrePUULvenO?zFd^gUD|o)Pwpni`q($~
zuf4jrQ}zGGw)1(_kMp0rbpFis_1;dWzsd97&C$N{`SX`!@?2XbZqI-AlDoLI>WR4O
z%KOvw`R`15&l$36ia6W4)8E;Tg?Qbc&-=GOW!|~~?V~&0uic(*uYINT^QQMtVov}2
z;Jhu)Fnq=H?=OyiuKJR*GtPK@On!j&)IZ0a_C}XIWW3q&JJtQ3)%vjdw^yW7m+MWR
zTUECtZ>ILGwVO=KPx}3h?R+=&>8`MCUt6=5Zmyqnwl?Z(_PKwzHl07U<4^KDxk(?Z
zBE(*0Z}Pf7)$rFgp3CN`OXMb={k6*H()ZMP`*f_oN=h!Pe{<sf^G#pRoZs{{XLH=T
zpOp*amIki+-tYQ5d*{6P72D6*TIo&i+3sleI`YZxZ<}pm)r3F%e?PJ6LH~;@OY38n
z@6%@fa^1;RdRcqw{(n)ck00q5JDs`swAucE^Sn9x0-kf1q7q-{=Pmqq{5{v#1wB{d
zxxa==u53?TUZ*OUI?d;w3HR4C_K{2T&#%7p{n@H7g3|7}`?tMJHtW0nFP8f&=fa2E
z^h2wv;!Mtqzp9_^boZ0<JiW=bRm<O8u{B#7aQ%cwtm*oI^ZA#fQ_kg?UCa)iwB^AZ
zzsu8|_Igb^le2l<53`{6^SLiKIj^g|y)1q8m+X__`YPFH+cFOZ#vd+uxW`O)M@F5d
zUD~fpE1$c+@>XkI@XLqGi*v!Nsja(iFPU&JXS1D6_!<6LQ-o}1uiC%u<zls^k=tkQ
zGs$+G@z*G8{-14;=hq)=GI;-2$E5%Cz8Y_~r?1siQ{s&^Ie%o|6+83I@6+?x$d=`*
z#dg#F{0!LiqUFh@?ZquS-51?$V7Yi(jnyLE`+LWcTZT6e=Fbpe+LIY{qiB1|(MS%N
zNv_p%m-sfWcNKDvR-LXly+_OA>nj;)?h=t1x(dMtpKH$j@e*;KWV`B_$Ky>cb7X~7
z-g)ZHnsB%B(h`-OKi`<BRVJtOnQ!}lVX?aEiXN{Af-Am7hgNNi{J+KP{Iciwxh@ME
zDg8I`;Wl|Y^}x5}sdhS&Z~mNL^+nJ#kG)RWhua}{a>@7P8FEved^`T+<@(&JQ(v?v
z*7aV=S2-X*{m#G39)I8b*Y?++-n-+#pV<?4MY+A|Uv|IeO7vMTjrzP_XFYVcEOH8a
zGvDo%eXC9FzwN&1W<lTooGE$c{;IXOvP$f0ea{u&G}fuC4F64n-cPpO6}atX)#jkp
zuTwU}>ECC}m{z&VRqRz+<O=JQd3GAjrLC@=vu~%ItJYM$tFwRYmKx8Qm!Ik9mYn*t
zwD;YVM*o7lW!+4rN5xuSo1J#|Z+bl~T4alG%yvIB7sqnjN%QBQe4=&Y=i<nRuj)Jx
zF)hD;dO}smqc7LhJ@iw<*G_X$-;vkqy7I<m%dfkq?Fw^x%=vk`jE!b-<@(6X7parJ
zv)nqX_GA6izu9H;UH6$zvOJz@q&Kg9R_Le7D~dOlv3}y6E$UEf<9=ebZoEQez_YJ<
zo~M_mdPx{)|BYpME4o=oeA)AW%4w5-rxx!|3H$ZCC+FSfJ-f~<I`Ts4^!gkBO<C*>
z>pc%_=K64G&6Ahin;f})Z|F~5=xTROSV%GB+mxEi3ySYWv;2ONy!Z8{c>RqvD?hv~
z{`<FK$(@^<>d*ekKAV5#Pt@o9)7LrUW=}2CS(4oAb2xlSo6LopmpV=h(oC28-<rH(
zHm}%ITb6|($Cn0OJ^ORYgq3<fy$-iTeq1cqd#O)5RbMP-ueaFqqV7e3PRq8PxD%5V
zcxP4GyT9$bKOJo_-26!Y>!s9hC)#J0FL`{&Kzpl)Md0?5`B^^=KEJkR=s)8XwM%8b
zEuUBBE(LjyzFAYb_Irr^Y!zdD(=Yv8{FP<W|Gk&yuGZZzxiVXaYyG_0)doAhw|nST
z<ZpBTe`94jcj;7}E4%BJgRcMiu>C`tJX_sa$>_Qtr^`Pp?yTUuyI<_pv&xCPJhw$9
z3g{XI)lUy$x%Tbmi|vJ%zGYj_JHMBCTm0isI^WMtdmZ`3Hud*er`lV`BxfHwmYzFj
zbK$*XtkTVo=HJ+n@_19P;_RlCO(%Ej#w+}3dHUN!cfsD{pNcy~pX_<h{^8%RD<Kt*
zi=TQgwEMr=<8SOjxrsX~>vZHcYi#_{n{#=4%5u4_8fU-n^11OnbyA$(?4qAB9C5jS
zCoPvh|MkUs!(As<wY&)pTbfbddwp~3uQs21teeE&Z_wIUeP@x$)1;ZJ_G@3{HF93R
zMa%Z@x}ImwMr+QCy;?m@zjarb+Dom~myR#jxgYZKP^D+pHuvc#74{wbwRFk)8QNE-
zr_Ou#U-?tXe4k7AkG^<p6#ahw^tI<tulZB3PRD+dX{8t2i{ecI=g(hrHcCIw_PQ`~
zh5YH3yEfO?N2jd)7qwCSxzM-0`P^G?oDqLC`?kck!mHnEmy7M*b>!R9r0eIoFK-U5
zTIkDpjkP#2s^@CZY@_{~L#vkZTs~?Pah`8k#6qXr)1Ul}T{v(4^7iLkU#(XMt?>ST
z(nHse#c=9o!`f{D-Mnk&yuM=OqrJ6i@}yPS|GMx0ZU6ND+0<;ab+;up8fHCi>z!?u
zcXLPX<G$YAkEZL^b@diXf6ukqV3?SE>6o*0e~!&I!_?$k$AqQ*b8R*oCO_WUEB<Kl
z=8vb2WgCi^-Mdwh{&???v$uX|*r`g-KYBbhed64sWz%j%W~I-Z`?M_VhUSLgjO8cJ
zd08JV3%ePao8CKjYuUA%k@@M(b9>9Y-b}f5GbMfVTwU<am77`151li!o?5o;_RYlQ
zr_T9V?|u_<`)2O)V}5Jr+QN6Pq_3Y_TQ=*B$?eRIm$TCM&o#4Ve<QQya^CX^bNQ^>
z-<I5ZiP#xad<ba^i)Ci|fpePH%iqq~SbXiArS<c-F&m6Co-dl~U8Z+ia#Qiqa~tNa
zE?akVXI}b|b1UXbm*w5snfiR&T<fxTkZmqI=Ke-(b8#<|yFGK0aV~h9%e<R2H-5fz
zj@w%Q?Ve4aZ=I92&VRdS^XGf#*sbN?)@=HG^PCfVw~S8Nh9d`q*iXyoly5lX*x2mE
z?#dTtrBSxw)WIzFY?(Es8_pf9VwaZ5DG7MA;L1TY_Sv#Ig#phN+&LJ=F3Pvfaz)98
zy9fE$L;1?AZaiIZ>tG(cDPNZCo5FzS3+_2KH@mS{%f2bT@MOVF$L{7Z_TREwEWtZq
z%GldwN-QkiEV%4Ay;+&B&&uN2gB{Jne7j`7SiC5`@O;7jgP!cne9#>*oB7UJTD*VI
z)a=MEE*n!+aP#1VW^KMU*_hITvj<DrmHFIc?|}BV=(2B@y;E3l{ost|YQAH#cgjB;
zIM~wc$<8lpQ}p4+!70s|?EW$~r60~5>}h_^7iUrN^1;;R>wNbtDqcUB+x(r+&f>?5
z2a}u6^NCqSK1ta)S=DcvRphgjos&iVxaNphX%=m|<hgNjs9%_M<l~gJlS}=sS>Jq+
zvUswP`cgkL%bRafx+fc{KlR&YwX$H-S<j`D-};qVtSs7e`J|ruRlhRpm4!jCQ~D=c
zsekp8nqy*h^L5Jn$!h9n{i5b<vAX$w$=Q>P>g;p3SX(|>a`<GT`dq(Vb7TsOuADSf
zKR$O(!KJrLj(e`3Y^VO$&(BJ-py<@eO!f3Rb6^`_c&v85crt17X}`8PF@;8No~)Xj
z?YGWave4+&leWpT{qih#zJ1a+dAHxOId_VU-aXkjncYuqj*nI4izgE&ANN}}$H%(z
z(UX;v)BV!s*p#F0Qh7gTPx+^VC*9TO&#5Wfbm6Fycz3K$;l?XRjl@sKt|<t7u;_y8
z#O|qjU9oG5Hr_faC7vC-rg-DMqio{Ru~T+vlx{qEG)+7@X39>D@{LzrTf1}hv|@A0
zHy(DK+C5jVZ0CxyjkjHUyQ9RbW3KF6QGW5kqKil4#DB+_?93>;_-N79qjloBdVD)9
zUM#wMR8d@3k8k&jl8a9tb#yP*>)UDZ?oms3p17@ERqU6YFUkuq9c}0i*7MtK@%Yi2
z?qa=jyLUWDx^UE0e0oewnc<U1UEPV|;juBrg?Ep3bO(x?$J{9?Jbg4*ygcSkso}Fn
z^STSgzsK4X7(Pt8bkteAKgOoa@M+Smqr&3;u{Onqk00&q7T1g0Rq^!E)^2mXd%G&0
zKib>PuV=UG$CF2!yIb?bc1FHQ>zutbZ`#htcWJG&nao@By6&tg34W6{b@tV~Yda!e
zr_G)HHP39v%@@m#_^zDon`gHB=A&h2e0R=Xo2PXrr#Sd!+V0u1d8_WcDcOA5ckArC
zd0BU^?7I1Q**V|6v)Rmh^S<r6`Fz<)-_5hd%-809+nreq-skc*k8kJ8g5n##%V+DE
zyWf#1Ek1L$&|Lja$?lhhmtQYC@4J8Y<UF=Jb0GU%((leGF1~k`)x0@RZ`aNz&o<3g
zG!MVSvwP>OXR~I%&U3rdvuo$WXUk?s=jH9*`S{to*@oud@AT~6`TkksY)<pZd2+id
zpFG<*Thsh<-n<=^ub$1EEopxK?w*3rm(FUNufMye@bk5^*5>c;))aiccvjtf{#~6f
z8%`Wf;`g@E`MTlE;Ua!*8<7f)F9A;ym$oPIueMq9ZNss{QT(rM)_mV^@UR=ds+^Z?
z&ZiAG4~y}q%AK;!`5N#radx{G|83hh9|E2xE^m*OTV?y^TfpOm*AC0^m&(1eHL1w>
zvf=t+JAPleS+-j$GQMuO?>xJmS&pwN<9opg=l1r)a#pq_l@^a4u4qq|>#MeSxbU`f
ze|sE%t=uo$IbRGOJe<;gS?*kg1#Fv(#Fv7LhgJEf+wxTIc$9eKa47$Eo0#tgj}osO
z=H)lHz4NKy_F-B6a@(HD9nTZ*9IoZ(xACc%@#VvX!^-^qwmy|JzI`}yIGF#u&6$dd
zcMn_Jr_04vR=j=K+rC}yUS-Amht2K%a(0zJ-Xt!Z?iwdn9r-AA<@D6JY1NSrmmct5
zI9*9!d#^}!<kQrx(@o>9RYg8e-8-E(&a4Ww4JCE*^s{l>Dl|U_y-Qs^olF1ro{*}W
z?^4^RYw26>ee)^kZR+;vQu<rtzE!OJ9Q1zaLGQ)W_r`sz1noyzdenRM^uKYp_Q-rF
zy5PNgx^tY>9+_{KUM@X-T2SA8ugvG7JEuAI@5WW_`BL@r^QHGsmrQ5WU%qF~w<6FM
zm*;!td@nk9dcpMWIK9f9Z&FX37S%r-x2|gEv!}bJ3+gY9%d6P=^69kcx8vSb?0lVi
z=CrN;<G6R#l@C%6onA7%Jx;E&@=fZg(_5yi$IYv%e3p9bbg{nvo;_baojRSZpTB3%
z*H7n8SL@5~srmBh<mq&M?pmEs8*dyJlJ~CF`MmMYaV~jQJFZ%hpAjz?-#D%%zq)qK
z$BoyHTgkt!&H1qLqI+k5ko@eLoNpVCy3gz{l8>$p`Eldf;!DTN<gIH$e%^SQJiR|l
zes}GaA1l6YJnlZXze=9hPOA3J*Nx}hC-<kx>)J)tzWILf8F)X$ty-B+7au;}(C;T7
zYuER~;??6B{g3VZe!Td0@$us8?(_TW<kf3=en9s_ocm?*{PCWCZacQxm@kDVkEhBz
z+pYT{@vZRcaZ~y0wLL#1z7`%n?km5&=FYdm<Huv=lkML9l=xnF|2VU}u$@}1&6kfS
zjwj0p+byfL`S|h5abtO7yLrDW9zI^$A8r@-yW;WVwf*IG_kRC)@OW{*zn$Ihh!^P-
z=O3+`_9OCD`po%H>%x9$eh7ZC{KR=L`=fPXKO^6!_s-v1ckO57`}F4dy>(uHru@43
zBz^OI-MUkMru@G7YWbn_X7*F-w*9{OaQUh8e)hZng#5nwcKI>?wexN3Uj4c9JM+Wk
z7wPNg*VfJYWAZ!m<K<WB`{$e4v;UF#a{1l!3G?~v+y9pQdikmN$oW9~<9}qn7a#K9
zK3~p$Z{4pyUw&J@Oh0g5(|-BiIUkE*+aZi!JYO{5yH4-7<fr1J=Qqq>UAOM%&UfiY
z&aap+U6=Q3=hNrg=3Cdj`z86g`26`D^MBXL{h0Z|_~rA3^WE#@e$V`5{Pub0{N;7?
ze$M>(`ObN6d;PzAK7GD*UfMqY@1D<}@11A2m;YPy>GRF=hZwq<boe%?9Js`Ann{O$
zgOY<|!yyJ&#xOPwz71LjUNK}dt>N9Eci<O;G*b>wfY1Vy1Irj@Gv{yyh%K-=aE(Ef
zaU1Ij9`J66P{uO08=?!W4!mP9Wz1rJ!x<pHz|O(B;TU)~#08NBmJZ$x*BE{?Z(+3%
zc#zQWjiH^XgvCN;fw4n)gEC_un}yheiUwiEUCdutUhrNJUtoXWBm*;}AFGAz1D}S?
zjOSP_<R3US9Apq@j^Qe>JP^>J&Dh2q!&{(x;46bNqZ{)bjsoKYYZ<mP-{CAUKM>JS
z&3KIY4*v&*11=3G8TgrPxIS1M2x)l9;Ll{k`$6Y`Ps4LYl&uX9VjI3Q+OhnQco5uh
zo>7b~QY58tf~w;*wn(v*$_b*5TumZunp~TVJPId-I)<@F3a8{wD0RHXep4VNdBP-x
zrH*E-H)T@1Cu~x9>bQ+<CC4URkJJfo9m`l&a&0m`u}<NtV;TEO&LHU&{|UPkzB)=Z
znXuiIPKlqeOyR6!RMQr=oAOI^Pc$m9H*aBoE+_IQ`JbaB3>}<*r@6`bp#4#HR@sAp
znw#*T{SVk#RqOxm{%`U3cl{4%8{xmZ_j~_4E3YHNcS&-I_KArK?#(jXMK&io74AA#
zHGN@w$$d%wNy>yqh2>3i_=?m{+=OnjIFT@++fk2or%Z~*iKz;Q9oMn#6nj!NVWPrf
z$2^vul25`W+;)7&A<6;XHt|v6J7U{Jf0GTLk!Xq)c-w>xw~_FZ$_e6*acq^MPf914
zJKkff6n|1Xf#1=N?Wf3-;t8#aVyzJ}NuC``6{odE$R)XUGzqjSb~&x#36x0+?YOFV
zttCP_DYoOQqFKugiA5?dnH|20X6-kG7U{TDcC1y@a?0TjluWAbkX2me^oD1nwo7Tp
zUBxV?D{VJ~7wNgwcC-ofDt>FbA-+h{rMP37z*@y`?HSyK3P%nKyjA3DeZf&^;ga65
zPQcwshPP1X$VUNnr;_#;oEN1R>ATc-Ojcxbn!{CSb!4VMI&`(YK(nG=+YXUOMI8$T
z!ku{9cSt>o>Ugc_=G4=+L-0{rN3>#I`wrnpc^w-CzB~1_?~s4w*wHC4Sy8U7LgZ0l
z$4Y_Ait}13q#i|f%oI59yockX(UH{x>z(&-el$C>Tj0HO4aY~rBg+NmJL~Xl);ROX
z!26I6?`EAdpA57QiL_|)1dF7l&Uj?7`p_D_&1z?E8N5EUhJUl-nPUd3iC%|uxHem!
znP!lhc<OKtZ?Irm^o&#Bb@IWYY3Va!6IUI6!xt>P%<RlOgVMxThfP{CdBE%BeG_LL
z-qMoEyV>3+dIobMUt1=Bv4)TPjKhgmhf7*5g`Q>1NKWi)w-j7v?c+b=o<VKmufubA
zj0K*B%($F*uEkRNS<H;jiFz%PJjI4*mKsby%+tD4D9z%`RfFq?V)%`P(oD|u8kirx
z!&Pj3X0Ac`;hxr=;%PQ#{u=Nf@@bjL^V#6cVuSv}KCLtPKC7I$Y;gY2nU+d9)J5<2
zS}WzBInU@%v}^q-la|=xDk$b2A(WWek}5dOJwkAyf>UD4A`We35%&nu#L^a1!E0_2
z;)%5_yn<$K8l3@BiNP&r1-H3qbO*>KX18>4+*S&4yCIk8-m;3rTKP>^fNWxU%Pfwq
zg5O+LbO*>URCG#i*(><XHKXf-%tBSC?3TZRx0GZ$3Jjd8Tbu>0lw|rYNG{YqG?Bwy
zS*E+d=1?ccUBN1)FK#coFUUVkX=&tGt~95wK<&^?j^|2q`U?~fCA4%4>bdTaNz^zr
zmE*ABI=3BS535=xax50ibJ-#JFs$Xa;5(Nc(uq2U_HsNHeCJ*vkf?MhrKMd^&b2}&
zQR`4ii@M-Ew+gXDwL_md^p*DXe9$`dnj>FnPwxl4L%%uXm1=rEXdZgb!M#|gYm>#P
zNgCdZb-Fj%oa)kG4dhxZ;u<Nr)Z)}Cjn#|SbZ#;`wM*mm;+&36hF+diE@{kOl+(9K
z)hlw!CynUEAucz?mKvS<reVD(#Pz0RYWS2_8oL)?aaq~BN!=@U$}bJxK&i!VdN=8N
z1y6aVp&J;r_)Y&MG4QhaTZ?77E(ty@m~u`dHn7jdQtD~Ml*fU7E-(8o2`@GGil6dN
zLwzxi3v}81IX6r3r!`Z!1KAeG^b~2HdaB_ZxXwkgugLV&R*mb6dt4-Yi<D2D)!4r1
zPG6Dwsk<7<f$v-;`-|*PHERe5sx7wZ`J{2`u|{y<vc)!?pG;0|)-Vp7=UyrJG<8aN
zV4QoU@YCEW<$?Fye+oQJp5h;9=N>7M9N2TzaN3awspQC>r-oriG&%w$7Hb?kC2`a+
z>}Z5+vTx5;!)r$)<ddCydJVmjrX0H=l3d)QYj`SY%JCagi<ORTlbC9_?f4DB#ahSC
zN$gGvIetTSv6@?MkFDXWq$|fWIxb2i=l9ea&Pp;lp3!+xD!IOAn*@83OwUER#{oTk
z679()$6j<5svNs0aXd+;zfj4oyl0-oUc+BWUyfTyCMz6UDX~0xPG_MRbk)3}#N(tM
zZ$rJ~5?zI=#|nB@8?HOLLoQk6SVoVuVcxMFqL0gZtPS5CljttgKUUH6+feSvj1EJ|
z$B8}ehH}SebQ#J%_Uu`1IPd6;&W|?7x+V0J_jG-<IyPG(KY360N4sO~67tD4T^}uv
z9kS?tq|>)q<=iEU(~oreH!Jx$&N*b^S{Qarvv0H3xmOn1kJj{V);sshLi$loPq5H(
zlXJ@~W<Sp93>I5%bMBgjXyLY_D|^6;=0gk1j@=YpZguXRg=t~d<2Rkb;>+#)oaY<^
zFPgtBvfR?od(JhB-;cK(wG?=sFz1^^`=gR0mNLtY{le!c7xo>q6nkDVN4Rj;<1a^E
z_FfiWZh!8i1#_X_QA^q9K65q~o;zwO|J-TLK@0K6F<r%$=K|(v7q&f)=`Geh_tiqV
z(CzV^j$-3;Yb~}vz5`m-9x<o7@Yv%!{ht-ixy(6f!T;E%>$AnVkU1|c{2$r$e%3kX
zGv|3>+>uJj=b>}17v4KkDg8Wl&i6vQBR?gc2hTZQD5e%MC84lMm2;X}#I%IUCQ(i<
zArUnVuMI|yg-xNHVd@c+6LOnMIj^bTn2?a%G>K&?r<v-FISJlPn^>N5Zc|&~u|d}{
zwdpNqnaT>U4aNu8v0UXWQ(xg3FgwA&X&1{^PAMT1wHvb&;+vMSoaKxX+M;%2{sP^D
zjV$cKThuM4EKol9kYz6CE+HAu0+WLqS&j?O@whN|fx2UU(>|8JoPKH&9tBzlU$UeN
z&G9YJJNT1DT!=?)$BYL-O{Y2Agkn4m<~+!1%H~|BF5zh~>w#O-Y|cE@9djS}HSOj+
zCUnQ!VBUkeCU#CWAs@Ah84m)Rj&m*(@=>pt^dPe-oik0y#{YxT!P6}3h4%P;P&;^=
z<-O1z{||}>kF(4ds`1-kaB`7K_hcQ<O(rKdshpm?#v^FL5(Ce`NmD($Ca>|@WOZ_u
zO7`S6-ka=Bwy8)@o}!}ZyGirpGnME`Q&ctmH<^06PRjMvnw;amN!c@W(p=9n)s=pm
ztUY}v-BPKZbVYTg|D_2_3{T!u`8~-*HPi3Xq$Q>&|Ec79@~K$PSYmr}p^B_0pZZIm
zOH-eCOj_*Or)oLxiOZySDz=_glfS6G^e-|x=`bnS(@))U@{^oN#h&NXcTPw#IC)fM
z`lJ{?qbX0kCOuRMpB&>|WP8$M(nS^XNq2mTv`@ZODW7!5*J#?4xJe&XzE8ICFq)WR
zbn>uD|0Ekfqp2xYCnu};Pqy(kn*5}4lDKD_TIJLyrIXA(@2OQze^NV%-_uU*=aeVK
zlUg;!mPX7;^6XlwIc;ggyd>AICXrUnuAntOfpd~VyRK?pTM{ulDYomYrrDAkGZv}1
zW_I~%nk~OEX_1a=W!G9wt)Lw5z?n(aU9y_1g5LOS)OIcHx~rKLbY<C%$&2({YrEP+
zdNsc-yD@!{rfYH6G?BHM-<D^17b+Y*DDqa5Z|Mt<LJQaQtlRPb-`W3mJN@s&_M1oK
z|EbOVReSIJr@Cq1H}9GM^smnQ^h*2Fzax=^-rQe4KlI<%_y4~3*L~~1S)!(&QR#S^
zJ1XwNr>1G*TlOq?%BqVb^zD7<g?;twFaCPIHr44+%jD9fbyqhjuiP{3>DD*#>!!*2
z{tb*O)0ujG6G%j7eQKrd>Fmh3)1RWIUEfsiru+NfUbolrC#rkVoq_DKZ_l5l-`{`T
z;&pmG<9pL*DE6Z|>DK(&3u^zzEq<%-c)De>tbgsrO-DbipFP*(=~kWfssDwxuKC@6
zdDA>BZrL;KZ*kVm@9#}-{abV|`wU8uAiHJS_s#b5_P_t_Jr-&IySs9|AyQbNx+N;^
z!oM1px4*wjy{%V_Qk%2J{HyEfUr+8^fCJzkYgXic`Q@qVpFtsk?x1hySEk<Ie?8;B
zclCNh%s4_g=%3G@H^0A2rT=}S|8nm!^vFSW&~IbGEp7i_l<%M1^zD27@9p*f%XyYq
zObwco6E@Fp`9ASwewpEiZk$_UG5c5Om2*o)URut7<kWRgL_9dgtI+ajK$o^=TX2l8
zre9h7ruxNOdKX`^k6NO|sku{apTg3J>mFW$Yc5WC6lWfE$EQ&H=-Vlabev+|EfH}P
zj4Luf8qrm)c`Ufg@~;0!g`+NAC#NK3I_1eN6A2d<`lfO8u}HAyvS1rQd!?hsAI}Ec
z_<vM7dRk=tk^e3=8-MK7ytlMs{v+qEeoZ^hjoup#&Mq?PzO3W9+2rgdlhc>icmz*a
zX5bq*YiefK<uzWLt<KIe$-cbCd$ZlyHWTT~Q<iA@Zq_{e%q05Kl%<;fn@xRPXXR#U
zUC!~}tn3>)Yi?%Q(v^Ojt$lrG-7=}ZbY<yE|H~7W8J@jo^81p>(oDb0la`sD{b!P!
z$+yIE#xmQp3r%D*`If)*xjglm$E?MfeM>FpJ#(4$&crse>hhPRFa3*+&N|Er&h%Su
zIr&-6tm4db%XdylGdO$HWcsBTKjSISyk<Q#3BMfUU2J>SW7b6z^GkPpinY(aH7UPz
z$Jcn;v$$CwO}<~Y@i3m4W_0$jN&h7qKjW!sR%a)h_+Pg1HlF;fa+Y{z+_K84&q`;R
zXWm;@IsIAfEdETpWk07pE1uOVDV7>BC(*NQspPcOh<S;wZB0C_l3hk?&IHU!3~jqA
zc`YSkc4BPXS4p#!8#5NFIA^x`N}8qLn6yx5@tmkf2AbzJ(octdd@uP_L)iWGww_I!
zSU+*BI-`+({aa6w$omr-?prK+k8TT1y)iSeY+YR5y}HWk&fhuVZLfHC8=n%ok}@T9
z#aWH*Ka47mcmIx?^ZD=nd4Y#N9T9kbY+g@M!<!l9S2}`M=q;YZ6aPKV=j(On#deEx
zif7(=dA8`p(VZVlPgp<sd2PZ%!^8J_ibBe|Y)@R6ve44myX_j!Z{sbg783%~9w)fX
zyyRVU?)DRbZRr+M0?oE6FFy0UBj)m9NyF8u^<Q`Ye{y~6kF8tF(_RS42emDh>`S$n
z_t2#+@8iSN7iTX_Uub{$BoDJ>YV4HWlLc0bd)g&BZ~mPz<+q}k>zh-8KSMlpdUs^=
zpT1ol@a|NLOmpE*;dG-pXAATW|Lieh%YRxdxzS48h$n5wjE6yPGg%B3lMIfqb*vOH
zE{^A$DlzrFn1@c+joG`4GOeC8&p0iaT6APW$IS|j^h^z9`REh6GLyZ(eKnjsW9j;2
z&1r9%=k)d$S$;c_7@?B?$iFD++5J<epRBub^7h`Kdmp`@t@XZmzRmH?spy?4ON%VK
z_Q%R@jB71E{5g8EvYG#x8^JqUjf=RCHgxKWIDZXV_u!4pygAWL--|@goouyGzW!)R
zOrLU*>#rw^zMLq{oa$Zdv+mqnd9%llw$Cn1%`=|$)ZkBru>Ie+ijvcvi{7U@8(HOV
zKf?8|#O&J1TmfdyZ;v<3IpbVp$A3yQck<?2O6_51E>-O`O!7F=bT04YRG!1d(Mr=i
z-&{`L87luYZ|`JdwPSBq?MOC?Id3p2G31V?WM0}1)pe_R%8l-vHBei(g6A<PFz$6Y
z3LREnw!-Pqx(LmUK946Y+<$xF^hqK|<vM-}dI#&cdz`s+U3S&1+lm{rK9_s+Oqiju
zu`=luTU~N{+QjV-&C6cYwXsX4rq#(TTyIht|LAME@)qa5_{B0)zukO0QTdkI^^*ND
zv&1X|lO`NVlASes>FJ{CG<F}K>&`oal2#unIa?U_GXC#7`@eb9(&P>6WtTGUwEtVw
zeMG7BROYK`#-%ZS*WSF(E}NV7d_}ljo1J#~%b>dHpLTyy-{vhWskZ;_(w{w_^>e4E
zbw2XXnV$EDegE(9Q+lcY-+e!|f71UMUB@Q>;r|o;ulk?$zvzF`|H8%e6D~4|={=}_
zRR660N&Vyc=l>u4fAT-+Cet*%gp*AF#Q(|v%a=>5nDVf&Z6(iT$$1^G4GwSSF_xT{
zUZHrw^zdq)^~QTnelR<1?YNbxl(j4Ehl*WUp>0a!l+?m$s*%&uBB!NRP7{sf+9Z;u
zd1{l9cj2_q$guRt$*H;1N+YkO-<*(|JZ+NB(nzz^n{!gVr)|=C8o4cP<%vzY-l@~x
zMwX?lJhjRA^g5lZk!9&CPX^6S^`Ev&=WC?YCX=+Avs2@zEz>z08MSFk+Rgb(bx$|y
zuy5XyZaHPC^67^<b0c?ck~vvqa(biA@y&BiT$;O7-8+BUKApdjerb{?inLC@)Jfko
z=WLPQ>7P2{n|RW8&UhL$?Q~?@rkImPbDm~R%Z^-^E_u>u)>F4>vm^6Tcg}t4H*I(1
zu}ybQ8_j!KH;p|~ZIe%0<&39+(~d_j+vJm8Iq7NUwDicdO*ZF0DV;v8vwqW_bDz{s
zM}M5Dlkl5KOMF8$qbT=^IEULzWjYJ87_G%OWHZ*EzaO-@q5g3FN&OW+&Uaqk*U-#u
zv7zA_>lU2_m5jdJH?}wI<<5w4IL!1)d_xsuwD^W}#;x2(o~ma4nSJ^-fBoUzI`22v
zocLsTdb!U0%{pf`Y8-zg<6We4cB9VmPcqs?B2P5V1Wrj#?RzA%x@gV0jcUhl$-FLF
zbAF@Z@nbTomR`j<r#4z1pC*%Pd8#<)Y~aM?=)O}jw~ODL2%MUn-WO}Rs`$;hz{!iv
zj?a@RwR}}<@+9NTM)TwQWPB}W6>oWxadxA<dvqVOCEv4*^MxAj?tO<Xt%^&YT1<MJ
z(U)x5_k4=?(x|w=|6f|o74-Mi|5~LzdAjfZJuOvFpI*_`U;Frzk+s(PlggiNy`FMC
zv3-x)?WbQW9pY9$>av}<*xKE{@19Jp<*(v7XACDi4(YpWdG3kD?8h;EpDp#CNSrA&
zJib(BdNI$_9g~tRj$f6zUKDfQa8k0#@m?A8;yb4bt&h)@DKGAMx?_5>&GEl7{6#)b
zW}NwGaD1^$f3eTg8RtH#9KS4czKEq$@IXJmzTBN19D-_2BI;R_mUv87^zQrL^?!P-
zHTSAr_doxQ+j{x#&A0C!-kn?QH)(gw6TR@4>y`wqoMUzJ-rn8kKd%98AC3!Is#UHj
zI^`x~L4ts!h`@xvlpp~SR|l6lqDLb`LIeaDr|Q(@%zL|ee){*9b0*)JYaMgq|MqL&
z|Nq@*cYnWzYoC;8lu6Bxd9Cjw|IAzZ{^t+d)Av8WtPa~3|MTXzpv}K*v+sZYS-r33
z{Pp*d|L46GD)YFZsTk|j))FgJ=6zzy1Xaaor@odL&Pt(As&6K42tQ=U`CC=QLt{#S
z3gQyR2&c}LAkI{wJ`auQ1tCtYEnb|VLaS84w=(v&L~)i1`FX6EenDYj;2}>=U!hs5
zTRbwRUQk;Ydq|U0R>;r$#gq%G3!|O-TjDs=Rpv~+puRBvkR>Pcq+Yk1Z)PMfs?KuR
zKKJL&cbUR_FDXa8U7mYo_f@yqMO(Y;R*A(%t6FV!D#_jX;(^h{h^>p1|1%tP<b19g
zGr>URA?QZOHr1GE21<z`hi0^B3msF9nQEZ;a8`>a=XKRP6AFS4>2hvYy)&^O{E#i@
zcU2$H3WbLYTQWKORcxkxa5)srd0xe4`Uj^&-kip;yBQ-n^Huju{t$O)PD>@Hyo!!T
z#FPyx4;Qzja?V$gPATQs6s96ww$>|R@`uPn;+*lSYrJphrM6F*8+2)DipNdW)a_Gz
zG$VsHEq&r~a_S^?)%a6#n$=6+Ox_g#YyGyrZ_VdE_xL*R%*W08tNx#T_H6&gPx*i4
zg?q!kzpmeVbJwG%XWN^4!~gHjt^fDFUU{lT-P(WlDIy-4Q-V~UHcV*_;`6lBxx4M{
z^hf%C+<v_l-+$v*)H3LekEua@9-7mOLcCh1cxi?Pty(HGxhTe~cS@9IX^@}CO7KOG
zr#v-%gJvz=;*mM^lG@VPQ<|ExL4MvZr(9B98tv6TB~CMa$(*T|)R)GeveaY_Vp}?A
zdXeL)2~)a*^gtIqMxN@J5~!IRw9Z3vdQs@9mMPgmYD;6L7dfBu)!e@1&a@);Q?Z)g
zm)x0dr24dRN_)_<r8bkGS3lZJ{uFbHTT>r&2c+uLty9c{(w6R-`pNH9wPtb9v!yi?
zHYubAo|-hJKWN(0nn{}`ami|C2ibZ5RC>C4N~z}U)Hf402D^bSf!v+)X4*teWpB4_
zJu;FzjXtFq@4vAvclEiIZ%$3m-LpRPqulosH?(j6kl{b#?z#7S?WX(nQ+}=W%AdCL
z+wrOQ))daxsGPo{q+R3N_Nj6Lrtid6<wZj8ulce;<Fjkvr`7i1Z=@F;o4n|r@cj~(
znJ*@WEEmb&>UQ*+Y=u&nt#;Sm$Y<e;UKvMEjr+6g()@iZzogBa-|cn&?~Y6Nr&)%6
zoxAAF%jl`+gpP`t-qR@C_C~)h{6+l*4cSZi{}=q5om;Q_??eCozi;g`{_l-?{zJb0
z)2aJ^pKgoK-S_FPy_SqU&nf%N-jy3g;{R!=ZQJ*M?xXAFbsu-H{O$Mg?)}a7rWHn9
zsUjyW6do_=aW>*RtuZZ7X>o|#%pPT<Q>ijj0~HqsxlQfKl02RwGd*zft(hrTPFg4~
zj&p17ag$U}H946v?V{4+P`BAVWs=KNN={hlE)I5^-jgS3YgCmwXJTQP+x(t7$>%9N
zCnTm6#vNmobOzsinH+H}RZ`i=Ew$(54yELnW1*75M(a+_m{J&ftT!b6)DBh9HJ2;5
zO)2z0Rx257BzK}hBiZWeNh7(_6-tj+_M{ulJ6)mpcxjKM<oc97(>}T#Thp`M=-$Z+
z{bavmdwTed#2^=51|Hkg<8Ks}vgTC8<d2r6>r-o{Zq)m2zy0q_jht2NPu@(}9PGDi
z4v*#TCvT=r)>QZQ+crnWa%a(}Cr?h_R8C(%r?%+ZY0U}28p}P-F~Tmn+&D+ba%s`2
zCo&U*^`5Vo!(}<OXw{RD(~EE4u(|RvyY<?_%df6HdS&@&iB93Gyh5LS7Db;oI2GQg
zD|G0zSnM%pdu74O9VIg@7AHOK=~X^$-gp03OIF`WOTFc8erxAgS*ky|ayoOuWsT=c
z=BQboEsA=w<y7Y6%X-hJ%;B?K{<P%O%So4Ym%IC|pJQBf?$pc4m-U~|nZsz=Ty*aA
zPK9)jbFP-t>wdJn%C}40Y@u9wiU0lMDHh9I=Umt7v()W-Bb5H7>vNsO%IG;8D{rtC
zez=yn|JU7uoFzBo^M9X~-*<1unzip=)~}tG(>wp4eDKY$(cAuBJ+|X-UEKc<t^2kA
z9o@G7-{I#?|0mf$_WvpTkGt+*{D=G>*Z*k$o0I!z(*7swe`G(%{}KI9yY6WH+4{rv
zr#H+wUF7y;rD`GQQcmrnV^3qI8Y@1ZHOJHP`qMiTii6MTT5f-OXJT>qIa|x`pldo6
zo-dq}Y1#i|rE18$C@a;KPe1RzBJ|6DW$KshE0=z$_gcHA|LIEEulJXhUHxylb@M;{
zm3P0^`@Y@qKRRo6t<v+Af7;s@|F`?PVu_cn>B?Z~?5sIYY^Hy9I_GU^ToiY*Qulf9
z9MEy(dnSL5J2z)erKS86=pCSo=cHD?_xD=-Z@S&&|MyqAfBc{Qc&5$QlzCNl{}(!}
z)O}&U*QxaXrsw-Yc4i$7ep>i3AyQAyNW1P&&d<xIN^@)q=82u3{@?TH?7vU9+27TO
z(^~Rm&56jVo7J9go}*v1?^NXU&9UHXLzifu2-x6#FpqU6*Cvq^?Gu3$Rx9{B?rXB;
z`lfYbdBXlCCe~K2E)ki4f`EgHtnH#A+8TiYs}}ei6l8tM)u**0AYkPJFUPG-$y|Nf
zD*^*nFYr6a$@-N`O2kCVV&wu)$IVS*tZTV$iEPofSh_$tlnv>2&<8zDo4J08e9^X8
zp5SnBLDOe0Jq?MVg202StcSVuv?T%!Rweix6lHzPwN7hBK!NYUB~7on@-%j=d@!vE
zbdTtc)eq)1{pMOGViWKo;NX@fcP=^YibW4rHl=gT)2>+fU}=*i>w1wrVISNMu4&rN
zbx*TmeS+V?Jx%;vVj?<P5kVgU4{mDm=L!>9qZJYSA@ZO&YrN<h?HlV-+9%ERx&*pJ
zbZN@=Nj|EPUYn*q(Ks18X}L=LNjcT(sc(We#d|hRa#D5m;?uBP@npiJ!_X^3gO)w%
zm{g?dJ~c#RWk}G{B~d30RgX`-qOmeGX!(;C=uM$>LM|;?66x7JDNHrj>(<mcL6;Uj
z*)qvbHP&m_)Grz@LyO!_Myl3&{hB%_*l0mYz{yHg@hLo-J6AlJG$~Pa`qUl`$xx$Z
zPr4=*s)kSX(b%~xCFo?Ss`->VAw}LNb5+Zy+zBo6KUu39>?NmBxgsU%q%!R8P-E5e
zkgGy_CWU*&X;&^z2|F3B`hLouaHHiZaVObTxxK`u>S+F4krH`QT~*sFZ0Z`#pKG3U
zPg)PUO*DL?^HD$1ms&<4Z-O>PqhBA|-?dlk+sYg37df~t>{1eC50MEe^f;O*dQ{6R
zWXdXy;6fMIon4Eyw7|E92CjWHqwBF&R>+lAD}oE1T=#adiS}ywfiDYP1i2&ht(M=)
z7XgJ)uFJdhMDJ=<g?w3MvG~y%@ExJ&R!RgIu6VSki(9KLBqpTL^XP`I(^_sJJ*y;w
z3tf-y=vu9%77`O|xbo4iE@`d2RXdhG+SX;Q^={RU<&XAtX^QfP*n}9aeKfJ_xYoQC
z6{{Z2?0T*hx1wTYlG{;j(e<Hw0u5I`TH95=N;mh)o^ZqENpVNnMY*-aLUmUDSdkQY
zRQ>9C?JbNUucEcCh17(7bUhj_`dVw->YEGGmd`3NmCPzzd2_8=u<x{4Ii{9bMOUA!
zJQ<$9;?w3IZvr=mpS3gneN|+IW=QaoXAQHOv-nn8u2~l0+c_)9G&QSlg=ToZPfY)U
z1<@xy|JSw%KD6b(g2nr~b93f5O;%EkHkhQ>aY862g)5Y+#XvJRNJEsfcpq2ywH9yf
zLyP2XPTn+9XFazpL9@e-b4L)DY-sSpWkGuzeY$38nO<GF)c>z_Zr|#af%z5ztC#uh
zO>{lgD-6AjG+$%lr3Xu9shOV5in=1*vt^aJNLFyZ!KHQgHYl|o(=?UM@>`9#ko4<$
zj$*aa3A5}>|E^rUQZm4J#k~b-kEBz~&dwB>VIz3rj^H61krR6a_Sgus_;Pm#3Cs=8
z&oI_!@H8w^{Q4|dUiT~86`s{Q*QB|eT`|khH2g}>@_XB6bza$-rFJzYyx94yuj%$H
z;5$xZO}}5+Z>;W|FiAh;rt$>M8%E0uxEIAR1}-y57oO>x*u`qi&f;i)b(w3!Wvw$e
zr#zXlAvJiOFw=a#gQw+x6#w~eo%?R}|HHR(f+icKd!1!A<-h8)+Wbl7l4l!#l|Nf@
zpmNc(l~v8N(zE8RuAI0_`PCG&)crTUZNINs_v!r0|CPC7v*Yid4}ET*fA0UIUrXnu
zF1@c=_xF4K`JP$fE58MQc00Rf*7lY6yjkbWvNZjiWw#<SVDpMJ&$I5Pr?c!<Mh0$P
zmF9ak-8BA6P3Y!%v#NNlP2OAq->a&}yW2D+>%^rAYZcv{*FCGh|84bIXW3crpZwoa
z_%^ceM0Me_XIrmt)7u#vll+-!=c85Lum0HI|F%5nqrQ2i)F;z77hyNAW@uaqSn?2b
z3=LnV#hQf?;FE1qrTQ{7t`~$jx3+olhDxn6mAPCH<J{X8#ak-nm$Bmdg#`-(4}0?Z
zO3gCel96%s!m@?2hc$U+rTnsAT)D7xVYG99TO4n?$(*YfmM@GyY{|<k#b!F^dV%BN
z32mUeStYI&L>}&F3*=1(om_LhAoOrcTeg&%Y0UKkXXKM>zMI^+Zm{%WV_Un_GRSc?
z!o2>bHkUud9OmZLH$9V40Xd&0&2-Pz4}OQMd5fi<nbus`uprSe)Qwl(R44n#l0?_T
ztJ+L?=bP4C{1AG0SKHgDvW%N6RYA9~#zvK8pS&_@scN)$-?SLr%BW9U-(1`je%em=
z_g0Y%%_~7mo;FNtj^fL-Tm!vxH8rX)L-Tr3h<EEWFWu0nRa<2)7sYt@PK(kljq=NY
zT(x@IQ`a|Y*48Z<nO83@TN-;>Q&%?1FZ<<{OG}qVd-qR^(@ozp=jx^9OXE*l>M}>M
zZJl$y$no@qY28tJnUdFvB2Rm8Iq4=xt;>+SUKDz|Wm<NW+SZusMb4*vb+>Q1bFIkz
zbgb_8EqAUPEq&TJtvzblR+~%E%U5kKLl1>HlTo?!>DFoHQE6NET>a#Cx>~n5>e<$s
z3!4_C2A-ZYtv_no)|yM37Nv%so;B@!)V_?Lt5Rc6m&sa}yty)QowD<BJ=xu*X!oyv
zdvRlR^8CKPR$L__uQV<dIvjVDZ7-3z7Pw}yi~GtxBU$&7DX%S7L9boCYSs7BV%=gl
z_qBaivg##QUT0jmxZ?2=@NKJ6C7?@IFRpt$rH@Z`d1=Y37nd%sUF`0@zR%d|9Qcye
z$8-7^Wt*+ez231P+2gpY?DP_z*E`lEyBuH9XDAz9((`)9s${3*rm~Z**1g`b@bR*~
zXsf)}I~G4)*H>-z?)8jIA03W6%U-sUds(sOacAFht9dV>C&Hwa?78$Y>bSJ*W~+Oz
zDwaRq3%-%n?nT6fjVqEpkGspBwz7K(y@)kkHom0h>c(}+yXVPPmA&%3K6zpK^m%uy
zjJ~G4Jb7*MV)bzUdGl&&pU=;^t!8oSi;jOoiDyBO`ixAWikwFwDiK;@QnG@4Q#ttE
zjvguJ@H10<DO{KHXrhsVY4`i1Yxe(0n{vOC^WL0WrG{T5lYEMg^rUrGo)z8v+vCjl
zE`=q|B_B6s>=M1$V4765>Zk=@$HkZ8$t7VuA$ui`7#XJcCMkIz6)^99_*$g-hLT#Q
z56_(ri3??hYt}A0ySr5&|EPnF$l((AGe;&#w6YpD$sb`j+gbQi$t^MN%%deUPIDSA
zuKx1o>Sq7*b+)WkTwg_AS}u6LV4m}@UO)Ra%Om_d>lT0X{wiG?t9$F`iWjfFE^bTx
z)$5ig^!K*jtv&8r4)9)d@pp}0+bI?;mTROL^?u!p<9Qe3KQCH%uS?APYt+6MeIf6c
z|4NPdQn9Mj$fbWxcb?bR(=#NR><q=47yZ?LW%sA(c6_c`-?!^(Z}UxG-T$L;;Z*&c
z<a&Xm)<u=;y8Kp)=YBiBC?~|_=61zx@k>%0Uu>MuyYXzz@0$X<b(G#s%eX#8%-ki;
zx9iO;0YP&;qg)@ByC=*!zr}rd?maQ8-=!(n?Pl%7aPMeKGv!^sZmaG8?mI=d<4CpM
zFPp2MS03}*TDz-brK@1Z$}3)_70YHcg*;#1nG!9iIlXJE%*4#uoZlkP_;q@$N)ili
zcX__P>%l2u;~<qwYaCQ=+g<!NRcXyKSC=^gGYh<3!lrU;IlCcC<Yl1lu~m*e=Ys_&
z-Cq{GR$@!KlKuP+l}Z((54T%vwu&lLA7!bPZph!qc|g#x#NOrRloN{rCsyA-cAeGm
zjdK#ie&0i9H_Q_Lyj3zjHff8waH;X`Ye#ba<&>@cZ(;d-Kj+5zY7xsgHs(x>vRAp0
zR+IWSrb)E>hn32rH@8_<3q7uh@hNGY@li@J>1)yr^UrI8dlK7cJenMxd{1N3YS*6n
z^#Thbk3{YE*tR>`@N2GvZ|BGT>d(G!>)0Kw<h;pMWXUpXQ9=FfPMM#c7iGrI_^|ol
z4vkMA(v!2?j=W6s>Ft*Iqr&v6eS_E2ls=CoJQD*~?%Acu;bl3kYuS{L*%PPgCuM01
zJ+IsOcKv?C^vBn&?46#Ukau5RkX?Mw<@tQU^e6q@mlXweDmX}r?3g2b;R|QUIgW-Z
z7Pr_#%YNnW_?f;&^6stG^$(t%71Nmg;?Ek3epd7EEavqaRL<u)ZdSN+Q`hMWdq&W&
zB@2FP9f=g5`AgSi_U?~-idUW;+9P@CX7sf+U4i#S(l5^vN<90FYp>=x=7Xoy%$GV%
zvv*kTv|#f|-^1pQu5d@zo${S|K{tNE=P%MF|LzxUUU``R#UJ<L$xR8l-gENT?)-Fo
zt>?#&mt+4%7uJ>Kp8Nmt&>#7OzJJBcXJ5!!RP3@wJT&^w9D!>lc{@KBa?jnSn`E~0
z=91;^23Pb_ey+6OZ&eO<np17RF)g;|yZ6TKlH5Y$zsZF`dVh=`{n>c5B6v<><GCFL
zpFj6se>^*B?aeLE)@?{Dw)bzGp?PQLKjRmFT(hk2{rUQ_yy)%S+}m}k!6)`#);f~E
zN%MMf2=vb8Rl%lLi(~wI=SA6;R{6bHdHwQ&<$>ot!B;hJSz=~kn_G43>zqrM7e3!I
z&(Ahi^V^p%FJAhtO|CizIazAXJVx8*s&lV*E=cz{e>9}=3(xDFYtmiLXJk2EE>_*T
z@8!-_=}zZOZ6{Z)TW%NH6>7UZNIvxZ+$+bg75ksBwGFP4dr`R}J?gx&ZU0xBi=RWz
z8{3}$y2s<LqOI{tp%;~FpZCs-w9Wsz=kn*c^K<4^+RA^?f!yi5cwVaQ{4Z->L|)yz
z?D^(-`YWU7?Yh6RNcu)%!t%xvW=Xa($s4%}mmQ`x<}h2b74bfiJYl}!_yN!vQE$ux
z5*A!=n25N*If+@7&5L)6q(#z#I|l-pMcK4?LnK$2fp2s+Wy|8dB59Gh;GRQsqZ_k2
zFYJgZhuMu~%*%O7BrI|lTy~h=n8$3(R>eC9bj9=h#yaNbJUkK-CI$BnurfQd=}GNK
zNw{$!m06k1jkiZ~M^eI_1EI{qZ0jUvn1HW%Hs`%#RB-!%EOR;U9pi%g2c|T#gD!A3
z`*7kwGP6IAjp>Io2a1{XdG?qXBt!3Oo?-go+5v0k_q=B$XPAFDc%Z4#mD!ukPAbCe
z!^s0(jiJohY<AK=k{+yXEM>mk`^I3?WshAGd6akeyfK>uy**fYr`xBVC(<{QQ`S$c
zb^9g_Jw%F8nY~xWsOW~r#)(48OWjWO$QTCYJy|i4OL?l>s-6&O%d{suCQ2!9b$it_
z#~>(w$w80B6DPaP>M@bdG`y6x<gCZ;iNS7GJ#)-1WiC1Gv3;VP@?N)d5|)sAAB)}C
zdU&KP^Pe<LR8$u4jWIIHd9rDupz>n3V?8m3MtM(GP2^O*-s2;+Gw(^;#My3nk~?#s
z^iACD_D*tVe#)s6%*y<|KGKybPc}|ecbg|wnf7GoL~*w`shNh*>mAQXRc1e#JMp{Q
zvz{~3KNC_8o>(+dRaw4QNBU<<%Fz?6CYmbG@2xTXboIopiEq`)X57eBy6e)`8LL({
z`-I8FRHfT4eVsAFm1>`S-xzMZe#B1rx39<y4U@o>M-8xh9&fmGb_NNjs`bs#FfY8~
z(%R`I9ICd;SH`&Tj!SQ6lyIq<-;5RJ;3Jhhg?-g#`EHq!VR|ub(cL4O!m?_9vtO88
zOkH%_rN1*yINfKC>BaO#_m5Z#Gpn)r&M_}Mcw|Cnx0>Ee3A4hRM>;wKg_G6R&5$rJ
zyn3XiGh0o~7jzTk5nti$K6lItj~|H@{_b<f+%WY~Bj}z-UmK&3H?Hi?y?wvNdG%xY
z();z_%cbT18HSu@T@~Ky7g~Sh>O$S9<^MaD#@cTzsXKj1WdCgQ+R2yO@>k6NBfevo
zPK>PRx@9hR-%Kc+$dv9Ppu_oGoMrj@?IpgY=Xw^D2EMS>&hXti>(%yo`7yqR(VB05
zG4AC`yxVYKRs4aiFP}#`X3REN>;B`K>Kbd^JJy;4d7>(2-2AgyYI_g8^4(k9x}wtl
z*iOeCRUs15+I!~y;5=u|+HjcZ@L{H7C-X(_PAQIwuu>CBoX*jD*5yV|Pfg>i2@KyR
zc{COYvP&xQ1(hw$@mXoAtK#!>n^HxLn4BJ`bnvld3o5k#_-M{*dN;M;>rw+V)m*Ph
z>d}5;9N&YYmX+L9SK0GF`P#8MCC|I(D@`}3hPE=iozsvJv|x%;wUftHr86=@b<?<Y
z%~#&}qUCN;7B2BGvSOds4S&x0>IVL;9$xRQmBQwA#Yl(<rEv%a8!Rh&(!VoS<A98K
z;fDZ~D^og_99QZzyQ~%b;LZk7vHP!LH!uAzf1%c;<)~caE9HZkTvw;?U$!qZWzFCC
zASvX+#7}P<15BQ#Wc`g0ZPDYb4&JrwTEC^^JL!i7UXRNhQ<o`}On+b!`(oCi;@t*o
zLw|(nzgR6CB`5OZj)06W*DN)b;C7a&>@1sEo9@&$-M2loF-`H?b{BcE6=u1O-<ad$
zS-&1;y5ZKC+gq?BYDSD^jh^VSy6$hv2Xp!bzIJ9R=UKHJ^JhK8+;n*#uY`HTJCUMg
ze`?Ym{WNb`CQvu=SLEb(-?LY~*<F8Q#kEx(3;dnto-L{qIQZ3X!n9RSdpg6_;$~MQ
zCtW)dE&SeRkGWxb(!C?>!raU5|A~9j`~T~H%}>&Wd#^uAT7QJs`|h?YPwRJFny}-*
z?_TaDhaTVm_it{dsF#?p&deVfNjHzE3u~)|`L3DyBj=I#s!3|sg1=t`-^RIQ_W$<#
z`<t)$|9>Z|(=|Urbox!rfA4-B^Z$QyoBhPsOD>fh-xjY`|M_nI(YkqwY13!kO*1;1
zGV`R_<Ycw$KJ#YQ7+0TpW4ihLnL1<EG_JEEGc6OIEtu(?#y4BjEI4V|6`z?imD5h0
zl`#!YTz1K4>dY+T<7Z^dgOitC^O-wS7<&9u@g<+hGt-Q9)1uC9nVD%`e9EVN=H)c2
zvn4ZMnq5v_cKwW`ar)UWGhdn)pF7hq)6w|(*%$-klxK@(dZ)FWjWIJ$O1pAq#!T(B
zV`pPbjT4{En(1kL{p=ls;>%}rjklk@V_1CsjIHtavpzE`6P_)cnQ7dA#>VXPku$-@
z=g-)fe?D}^+t@fQZf0ffv)-AJ#`$OW7=ONZX3oq?WBD^WGa^kkr#xFcGu3$hnKd&a
zO*f}K+dNZ0ZQrtYEi>PWl||ghRJ`ld)*35T7JXvNgjB`bPJOL0+?8UVbl+^;aQ%=S
z_itU12#qZPDGwW3o5lDdEpis#aO!Lg;!YLoi_q9!aK)*$)r&h+Y?ZFe=7Kv;y{%E)
zrDA>&E4E)qSa|V}C%3QIEZr>;8Cx%;Exdb3lUr8IFZ#un3#kilJN385ai{Cd*?J*;
z;r&CF+{|KZx}bYL4^3$87SoHA*j8}!P)951n$C3*659)|9%^aL7E{xW*^YXI(083X
z+YM45Hnz5lEz`Bx^x?)KVQzn2o6R5Y9OCBI*F6(ak@|3JtGQU3?w+k5&K;`eE*5*H
zTeAUrr)R&|G~Jp_8<G;Q9-7s9UTj~)kF3PIhsw0A*Sy&>DNptADLw7oYg3|5Zkm*<
zdfaQ>R2%J|Vc#NdW~a`d`ZtVgjYyQ{rlJF<9JSlm$ZQMBS$f24<y0eW_cc?ZEwh#$
z@>)7oOZ#eAU!-N;(qmq0r&?*NuelPPx#3dA(<M{Yw9ke`t=STlx%pDw(<xK=w3n|%
zx}eiI>>T6-p*d3-wVT7vMej^VJ#orad-@ul=$$#KM^3GnYN#E)rYCx5R_dWsrrMLk
z)<y43e7bCEbXZ>W&g7@-rdEf&i=MgZ(}7dYpu0OGD|4Q9PF)^0FS0W4Y3tPKVQFjj
zZ2ENTl(hEduzOLJ=}-4gwbcF`W)~5;VN*ux$y4syr^D<bBR6i!N<DijT|0hF&DKqM
zsk?h+&B|_p@9~`8d)Le;H|6GuZ4;A~ue;6bt&y(Id9!un`D1m`tY%!fA~!7(9xv#1
zHsiaku`MuZ@fEk3y~<{%a%Hv#CN93@HnlfP`go4a_Q2%D*WBjz3Y)#kHMx<orSOv5
z<lZ!CU9+g%EjKf^7oKu!@4akhm0NQ2#kPydi?1J(lupn60y+WW+_8pUM`_RnorWoo
z7xj9ZwdKZaGfYapa%@Jgw%M`Vn5~A1k7xCIN?*^tv!U?vF<t5Hxpy`eUO#3l{XN&`
zW<|o|g}s^JQwTmDITkE^KF4PJ$3w@wrH#$vZdT+z?(L0~&d=Sm`QyD~b9yVK<#Tjy
zL~PlZ@_2D?s`UJvH8&!*ZcKZ;xmVw8->r!48}FXuv$lS_<o3x8n@^w1L%(O!w(Q%j
zo9XHM=Q3HhmUX?6*-(7poT7F6TanwE8-uf!pE)OJ{j{v_*2)c_>oxth&P^`syS;K_
zaQ5<Z=QypumPx%axn-FNzEx8Ue3|B!+m@-z51-4lo?Eu-P08(-8!u-+@0q(9e3_<Y
zdisHL3+8?<)4L(LsrceKRqMl`BM3GcXQiJxCu;q;Y~8Jy8;Z}KTQc``S>BDEna`)q
zy<PV1#?I{L^XC38TlU6g!{-a<w#)?`K~S0WeC6EqvU#^F6Q3`gD`~y{&7N(akDXgH
zcYE2ro0a+Cn>YE(#NO!Kirn=1;<-(8{h=3be!h85+&cd4n%g(uCA2rs<+~)CVsYbX
z!uDn#_DH@>vQI2dlumfAaQ~nj_*8)n_o3$%@L5>Acrc;)FkhGK6bp^gfM*Xnnv2-o
zWkW1hlmvhdB`{<^E_=mdMQOnE2QAH2?7VzZvU5t{hZ5xS-IASCbm8HHEzN%HvCtz3
z3XUC&WUuA>B|E3s;6cKLgO%*!GCY<$UObr8oX9?1w#P!E)Zp2JuI57aa9JOV9nTUj
z9V}%xm$_3?aQa{_d%4V=Qt-W+!F+NS6)zHQ9aLuTm$fPUaOI#e`+3<t1s^UQ>}d|?
zi?gnHoN(=6H2Zs*J>>?^6Yd>kXXoY<lhv{O@gm{oL3MU*zA)J}mOtJ+=x$!mcTF~@
zeAD5Re(Ep%jOM&4+H~7<*<>B{n|?)eo>-kMp7cJYfAU_xZ<aUTFFD}3aI%s*`y82)
zq7x?*)sOml&6#4QSzL6)bLZs6ep+)vtX38Uy?ruc@?*cOIajP!78f1z+&h^~z1Ppr
zDzoU)n<Ym*S5G!mf9vOG`Ldwsmgn-xdg^!ms^)yLvV8nx4fqg(bC!|?MlYW1nau6i
zHYcW}=;X-_lTZ7(&FQg{EG{~Fa>wM=erj`Kij7`A*)>_(FVAY{(<j>|Tl>AU0$-Y`
zsm?#gro`y&6VT}c^DHV~J()TAxnG<`<;#>~C$-hr&)rjK^!mx#$>o0ctY;P*y?@d?
znN_{pZ`z!il1*=(Or9*NzS{5FoSL#vM^A>UzxLa<`^Lkh<=rLXl6qx3Z@g8y>^iMG
zN8D1cDE7(D6XhF^9|avK@TMGkQ~|Rd-!6+Mk2Z8CiL2^)#ZK92@o3SVqk-b0dRnm|
zJ6Dtyo;g}5ZmO3Rdu6A^!$tR8o4eh_)nj4j5xCCoE)!oKQ?kS2?V`)B)1jvi%qc9q
z<~qN-PW*Wc&kl)_!h1(q#hvx^cI|kQbmM5MxU!yGY|qXekCN^j4HXyGTeovYN#Wh2
zyyE7ucZv#cAC(m^kG)e|c>m~>ZgxGnT{Fr)o;aE;?jK`Q`ti)sVsZVLJtc;ZA5HDP
zu6J+8jM9(Sj#`Vqk3F+<M)}8sN1M7`#l7|Hc14tZJbARMJ5)Sd&u;gRM~_x_mx|xM
z`=(&?W#3)1dCYg;c~ds|rP^uVZL?*}cjkS%^JMqU$FSQn3xZ!PJ8_oLoc*p$QSlAm
zjkAT!m*$<iBU2ds?%9gjT;@~rR^18NZTalkj@eS?Tk~GsnNtw_e%V3a#j_{p&AMZ<
zJG1cet7T_>ch3&av$`{<?DETHr+v52mNVa*cW#Fz<oto+JhnSLyDZ;7YnrWSE`B$r
z$oS2(O|u2f7v~+j6H{pX?%Ar@oaWc>`0U#G?pfRH*?D<8cfNhrH+y&9yPZ4Vr=2>>
zY|ekzXE*rZ0rk9jyDFbO+c{f2FK*Y&!q2D9W}D~VIkT(s^|QINzvn%>b7uF?2Wbb-
zE}E@sE`L{N_s=J3N6)UBZE8OMZcX9mt7mu3ek)g2apR@pUFWv;Sh=$56JI7gRlM!o
z*B--PDfh|t%}4NA0>5n`rxYNr%)H^;*&f87D%V$`@x9=Rb8EX7f2iCl$Q_!!?NR)t
za()#nzF&B-@Zw=l_)!EGo-Mq4Sd(8?&ae8#mkUp!2M?s%%=voZ`NI2$E%}+{*lg!~
zFF1I3LVLHIUZuphf}4js+Cdj$uB(vvUU2nrOMAAQnl0#3&BMO@+imWAD>!~QmjAoW
zo$m%uA2znP%Pq6D`Sjt&VPSrMTbs`x?i}Xk*S9@WQStQQ)^>BbG}}F2Kb$*U&0j3{
z%(mtO^!ChtxoNgFpEf*7yn1+6`+2#26+d1j-aQPtBXiO_)x)Rt^mp$~sXF;-(p%Nz
z-s`5@=>LrSR&n!n>ip?{<GA*SRB3)HI&j)izkQF)x1cvmk9e<~Zlv$NXG*o@tEGp$
zmrmExzZ%z9Y58vHG4Hk0t@PFRT&d3daOuU<CDYaP&&EaV*;1AH`O>?mQ>OFjFW*~I
z^%8a!rg7Xk$k79HrZeg{$DOO*`5^VgX;=N}dw8mMzDYfDdc|}@{qQ|K)jMCM9y)ER
zKRIq)_0ES+mraibUz+)J-Sq0XchxgLeL8U3S^sjJTqWe@%eZ-!mG7RmPM;o^wr9_$
zPq$7>>u-*`S5^7^>E7v<`k&+MDk48@dXakaw7dT4IJ?TokDFelo;{teAHS#O>!x?9
zyZdGB%6@?_T%6v2*UqRm<>!fS6CW#Icc0f^BVSzuI!54l9rS<#iwBPv^gG+}{nq#v
z_-OGJ_nH05cBg7(z6L&Ae93)kf0q358kz5bj~8EapW82N_o~+9N5+@JOYl<&w*1Wa
zUU<sAz5lYERc*;n$e9DjCFRp=zx;ghz3|-ehJHu+=e02(44*t+)bDNARvYup@KN%W
z<1_lT?T*#Pd^LRdcvios{Po&99||uY*OlL1d*@@}b?~){K0hlSJYLwJDc@gX^X=o2
z<H7RhYiz!MJapV!-q<egXT{scz5S8$`L%mKf4p~mPJgAme2vbJh%XzTJYL+NDnGww
z&5ww$8=pPi+^=u9?^ne4jd#!U*<1fz^84h6&8N@j+0U%o^e5%_$&Zs?tDpDZH{Z7I
z+pn9?)A!G3vTv>H`Xlq9_`-Qb`}V&gzcoJwzgm9gyrBKly1rj4KLo#Ae#(FA{N%d6
z-zz@`zg~XsJg5EFI;lS<zbs!aKk2`DzL@>mx?6v?{I-0${P6iq`?+<y{*?TF`SJ4W
z=RNZ`Lrxudo_^r`g8858^nOTwD!zDL)&6ju-fzi|#;?-PoENo!T(|Dm%n!w9&o7z(
zx-Rd>&X>=p&A(mu?#Is8&*#nmUAOFy&4<qy&TpCTUMKgv^3n5^^V93*{jPlYeCd2i
z`}KeJeEWRt{F?dO>+b!me4l>q{GR#zbz*;Xeno!zeDVCI`Tlibf7bkp{QUXmd2##r
zziWQqluK}Ln9F#HIfdnhXhM0z8HPy4P0UYNPVi0;SFk@Y4}5~a27Bn)1bi$O5)T3z
z4l{N!PhrvE4G??a(eR1EojHVM1y2Cz0D_GS$C<CNtl$k0f8f&ai-DI>ig^yt1rg{0
z1i6g2nCEa^5PVS5aE>7sdfY&P+JT!4wT!=*=WrVcBp4j{$so?e!wSA}@gc)><{lOa
zUIVcQUJV}^!kK+oc8Dby9r(&%&UA;TK>NU3hH|DmyaoCP{xSqJ%CS^PBv>6-%+Swl
z!}-DFz-ET?%zHRK7#;9w2xp9AuMkc!J8+xfJ<}e31MvjA1MLjljAG0>tUn|YEDtPa
z&}Ix{Uc>rB=7D#^dd6$aIsBWHPn=VD>1fpShHI0xN7{rn3O5~#nx3$o<ens-;y+=p
z<2Tlu@=Fvv5+^J|T&j4~(W_|+n<jUWibv&y#g1A{A#5wTf@Gh7ZduG~y27@SyGY5S
zc0!v%ucIGZCf6mIC8{3T6SgV5b@XF>$x&qGkv?G^^l$)6(6x*E6#hD%W0mAEl6X=x
zf!ndIDTb#=^F+af(~fRUJ#3QPMXDz%CaiW;Yl`7Ek_2D7n8&tL^hwzSYsYtNJH?;W
zO<1YG-(<sMB>N<A!g0rWER|AEA}2g|jAN;kOi??rT48<j9!?|aC%F^K9q+Nv<TjFj
z;yj^Mq1$m<Qw`51nJ2*$rYfv<yw+61_eu4{b%ocC+uCmkCZ%_L5s*|YYrP?>Wb6{w
z@kYQ>vB>#J>k0mi>PMhg><KI~a0%>SR^)555P4M4@kl^b(aU*CtA)@an<EzmL>0B1
zLt0nx6@vEsn<{2GUum@v1YM)oaZEtn*`zgt@1oEmQ<vzDZvxAmN?I&r7a6;Rcf1p@
zRjhKJ!&zwN65sJp;JFh|iv$no4n6^AMZLBiB1slUo(d={f^WYQO0qd}RX|vAUF!^<
zLfa#~0_M(lxC*V0%oQkizQbK;e<Y-XT~V%W2H!`GBaa3AooskN>KyqjpzpMY$58lD
zXvcNMdo43~KSE9gIMX_V|Dz)Gsyw^42)>V+N4z?&3S=wVwf_)$l-==F0CFLoPt}Yb
zgWZST@J*If)AlKwF~?wM;-^DT+HVS{<<F>1{MN3?5iGGx<4mIg`(YWbVhf+b8Iuf_
zCZ0MZ!x=31EMrEO!PLZ6heFyd#hz8nm}Rgv@ztR@9KrI-6n&CsOirA2$fP}!^Rm=3
zU7zY1!HHIf=I|lidv~tIQhJ%bPyLMIM7BdbZI<%SoMtRE5I-ElWi0coXvRc?#firb
z#c&$SJ<FQWX>k3JPuotpXKpiQC+4;81l^FgJMmrXPWd#gGtCD4hke>BMV=MTP*0rK
zRw?$Za)x+fT-!{}&st|*8{{84(^e_{EOy5C#Ak=jwEq-HQ#_M2W2u4sVV(A$B5A5;
zvSw^Gn18s2^Rwxhsu^zu%Uo_qDuS-Zixn($KhZNmRMFbWujLL$rQj#!H=P^I5AEal
ztt{fA(Gwu@(4nPSkk8dZ26`D@s$idsMt^~clWWT<j!?l>;O+iSzAd*nN(KE~Aa~#$
zI?3THI7@kpOGfVnv4ysWR&vM+`nkX8xgfgG+R4A=9!I*;oZbuK3+)f><X{$LQwARh
z5YPfT5I~}@!19ns%SDc4!F4VY{RO6nTw1aP)s$oU3zQF?<=C!tr>{W$&|Qx2N_YAV
zL?1e~v<og%w(0r+xfRc*`-9D)ZVr9W^?0HWOIyqZ)0Fo>4g@F`e5PE}u|Xiw@K8`o
zzu+|Gnyw8(iKd65TFwjZbNL~aXnP2>$Nx>wBso>(Q|mN#FGjl<@0-g_>D2fse*?J|
ziMVNY6)BuLsL{SirY}flsft(Tlua7$i>A0+N<r_#yBgT%YALr=%`11xE)DfXSKKo@
zE=fF1nF6{7FKQ9!_Pa}RPeZ2kX)Iq{;`XxZlI&7-uly;-f#=*_c3+Zz8Z)I)qdD-L
z`%ZyWjZ;T8rZ3`g-zk%-aw=oWMvd@AJ?=ZDQk70^)tDT(&V8rg)3hnkfqCvbg`eh4
zsSbSSKC|nS!l}a=mjmToD`lQ~PFWr}&$UwSsq2*KfoY5ObbYcqHCtnI;61lW@u#&@
zKv(72xkPqsl1SA&bzI|gpq(r9hP>w*@r!DDH_4?|_sANS9rNg)D3~1HbJx%)Ipyey
zzKO!h=5BF4e<Z4t-t=zNKlV?8)sQP$<fw(f<Afe(L%!o0eSt!YP23`TlnqZM%k%~c
zE;e!t?Rg~u+UXxCyx7bwwny0TRkF#EjGjUxx8R;<61s*_$y<(Q^cQNmx%XT)v`Q{H
z`l9cm@M800GbPfKzZ`whU#NG?q358)^W>NgLlN*<0By-JeTG8GpbPM{4UZ+q^co62
zj_NrnaXtA?N1^etwG!Kt?{pTLAKNSOJ=y1Ig}~#)o|h8+Nj7~SRgPVjIG<$G|554K
zX$fP)xT6)ak9~V?!p;JS>G>%kpQHo1Eibv}sl@!GHAf<PH;O$j?$I~gcPyfRBjirJ
zCC5*8Y}P*a&SGZarbj8qPj*h0R@e8dn`2w}?buE6^!hnX7OjO{k7PQE4bCmJXn!nn
zT(dJ+YPrt2i55=_`;M*b2$o!~<ySf<xv=l}%FbZv<$C8jExr~?Ju*3FDY;zJuXxTh
zi?xNf9&I^pDY{(w+)Jd}>%a#9{Cf1|xTScy!nuSwp9}SlNOlz)o?B{hxKQu7WT&xI
zy3V<&7LN<p9h=!vta~nH&g;UwBReIZhk@_8+bR7#ZqDz*Wsht+J{z1Xnd4q4cf3;Q
zdFGt-!g<Fl1)rzRnQ5{9(Vo7~YUgt1Y%jcbv{F7@?_A9s{z9=wI>#crJ{z7Zn&V#>
z_Grzq$nMXU=cZf4KVEbE=DY;=rn#J#gi};*Oid_nI>Qplxk>nm$_d{I(-rIw&SR+-
ze&fBt-qEq?5Q{4(pNhqd2LVloIlF|XsA%{GOnczb^ohk?I7DTIPr%d#R^UzkS5#K`
z226k8()5dkms3i3j?aZD3oISIo363sa^4c2<8@);gOa9mEU}!sguke~@GVd~c$1};
z^Ox`(Z-WU51_!~%0I2Q&9Ru)?Wx8;WiiEGhv<F^IA6deMeN=W#OE5b4mBn1>j!%L1
z!M7~sLU()%^bh`J3FeejshE*qb#O6Dzp#zx2a|)FS<VaZ@%UhL(5ES!Gfur?a)KH3
z7yyIm33dnDS-3gHgmqMZ%t)|2xSU0sGfa4m>W?`Oyqnf@UK0lG>_2%<<)x?5q&Hrh
ztUc2vtx>t@Sv2X1+DY$8^HcmM?e+YodUO5~1<%Aui&WSr$@mm$oP4Nq)YEIy6g5rn
zA{EcdNsB$TCWWZ2^a`5$Bx2HI&#XyT)K+>IDS6gTYE$X;^i#|9x-@5rs%Q43Z7Od)
z{ZwCi6j^zuPg<vP*RyKU7d7zS|9vWdJ<q91dKk@kQZtF$vu#q0Pm$)yf=Q=6-6r*@
zNqQHlo~)R(+EZ;(jJMIuCsmWAJ@eFdPJL1~$=dUs+Ro`u>L#sJ;h$vVV>I_k;H2Z8
z^HeHlJ&Bz3+%rz4a%PI!$<-?BC-3nzn*AhqQn}|n^_kvA^Pf0RYE|j>oHnV(XVaV~
z!IP${toFP%smAY<>dEUWuRXUd->BR>H*?AIi4%{lGbvj>ai&|C)XmH%OD4{Ci<A19
z`NlIjU+VXz8}r>9rCKvZmKG}XHfPFsJuZ+snmJ`j;4HUDDfden{*N=HL^DH{1x|G<
zl{$XOVxF6?)Yi-^OAD2Hf0;;K%J6xdBITQDvi#yCw`{50%q`0cwR-zZ{FYyw=@u?^
zH}lJqi?ff-G&#5I;&iuqsppp@JdzE1KWD~xBy03`XU2FXTlAjJ>{((s>sW+T_@#gI
zzn%NCa+R*_<Ch`Nuk9^c`uTK-=f9>utSe7Vukj4Gd*W=j<TU?Ex%c}EzC~rP-<mEc
z@?4NrP_)p&g~ff&b3t~QgPe{o4vr2iE+Qx6e#hjlzcsh|_p)8qQ`dc3dv@aEn-vl7
zKhOJm<+DxqY0Fu~F((U6k8hQ^UVP_7p|QJn-!+--MR(2>svo~A^Sx-x(;f2{+q%2=
zv0GXd+nl*L^>JX|I~iNcs$!dSA64Ar`~J!J7xO%kIAb{Fab({^ne)XxPbAJ7PJ8Uy
z_fbZ_*yl;b)W@ZLCuQ=Be4fsD81TU0^1=%XPb5w|oYS7vZpl|H`^@r8dBlSa7ZN8P
zPHI=>^Ol`vsZ*{|81Zn!rG-}(o=KeQyz_8YJ6F3YU$*Qu%MhzI<tvJAJlJqCaq{7`
z_N;bYK2yGE*(}*@mRBru%2$+U6x?{Y;d0{i!+GtteATj2vfnJ<lv_L~xbSeo;ly@j
zK6lwZOPO+uhXt1&PC1;}Zp@c1d(LuBc}CHW2L%@&PCA_0p4P6-7cRTbGNydT!-C5T
zk1o9WaN6PAc5A+J*>{#(Ebo+Ol)osbcyQt6hYJf&Kb+{i-MQa+{o&+xb-r4@zkL3(
zY%*-JZ8GyLd8}>9B?=`<C5kH^8oc{(De=(5sfV-MJ=@Lsg87VDSes@xIXO;Dn3k|@
zL4<+3LAZgxLHq`X4FMZGHbiW2*$}e9XG2VYQiNiJriSPg&M?kXtgBf?n@pRwHkCGc
z9jtPkn$VoEIAL<a<^@q3TmzJEXsr;<5x&B?jr$cVZ<B1(+@{#3yG^!Ddz;)2+8wMr
z$av84pyI*AgMtSG4;mgUJji*_^PuLz%!85#BM(|0taP0Gpy|P)2a_IbS`b;_S`b>`
zTM%2|To8OgDMR#*&>gWmf_Fsk2yfwh$9;=cwu!yT@1V2e^am3cL|;&TA!;LLBlv~$
z7pp%jbCcu22@hr_M0|)bP?8Ys;f&)r#;VUM+!Wq)y~*>S^uZ|!ZA%=Cf`c?PC!Lve
zW}1liGmmFp&pe-bi+PB7iFt~7PxF}OHO+IHx0i~xini*~Nk%8NJa?vSUE;LKZBy7L
zzaY&>{gsn)Cge=XnUpgv#Cw}ZmP)kh)=9aOtWK7B?p@-z$$3-oChtwro7^{rU(&d#
zepBC4!BWFg#Zt#o$x_Qw%~H=&(Nfb=)l%0|*;3n5-BLeuQp|*yDKV2`rkQxJ^H}G#
z&U2l2o=2Wnl*-yk(UWdZvYxbiQuQRWleM0^mpFfNxTIOB@p6*Qq!RBcmA#XWPg0*`
z=ehsM$`l794arG1lX<-7dAq4Bo@98E`{a%%OH-Uad3}m9(yUaTIq6J*h(?+gm#Ax3
zWY^8Eq@yxNV~*M!tvSkc)aj_w(WIk7M}v+U9W8S0OlnP9x+o-YS;SI}@HJr}8rL+g
zX<gIQ5`8Ti+Qr+|+vVGJwkzwXm}_s+>P2B2eFK-@ShphFM59cjOsh<@O#7S0H!UgA
z-y*+7*+tkz+eO+%-9_9*kBb}^RTohgT`sa*G+iWJ^ts4$QQt1^uI?`HuG3v<M@5f@
z9yL8$dX)F5uWR?B&_eIR=tB3x@IwEK%XX~K2rto))36fd7me+5@5*!SUljf^;-kyO
z<uAf*LU}aUM4h|RyAqEUAMHpAF<e%$P$GO!h>u2`W}LQ~Xue2rm!ux6o^dQ!Y*$R$
z&NF42WiuZeT~D2Nx@J179(SzRPMxyIN1Lvs&OBW-U1++fo_4HP?5UWrol|zNDO*_(
z`FPW{)VbbEPghOXn$D{y9c#8Tr)*`}%|}6xH(gzNEp@i{+|y;#tERI}m({b5E!+8~
z?B?T5*Oy*QoqxJ+I<p>oEZ<I<GRsFrSDwx|T{vA>Pd(Oe=bSRj$3@qk&N*E<U2QtI
zo_MU@&X}^yvYn5Lu0EZ0x^%j<o_TCkOjK;%&O2p09~WJJI?sFd>DuXK)A^>e>&?~U
zkKGj`x3gsTmmObr*_2g2y7c_g`%hO=52Rj5J@Itr=?$lgrwdLGoNhQ>T<@`-eymzd
z+|E5^GfOHT8$C604qh;GrREip%(Tq3>@L${lVa1)CZA2YO}I_FO}b6JO}tG{o18XP
zoh3SJ>Z~B&nQ2p(#cYlWUKqJJa&_eLl~>kW56LvkFv~K_G|OIP8f{`aYwfJ4vu3_?
z({?Y5yS(7$!ka5^F1@+-=Hi>HGq1e4{N}pM1(_={mt?NVT$H&gb6Mv4oC|ZV%(*n@
z+MJ7XuFknU=ekLzUWQ(lUZ!65EYon4aMSB1*G<h$%uRJ?g`L$sn|oIFZ0uQE-`UUh
zEsMRpuyVo6D>he3GUYONncB{ZJDYq~*mwT2_|GB63nZ`bWX{WMGd*vrJS*_*jAyNB
zF`t8s7gjE<Ts!m1o{K)2X%bvK#=Na<N{4k0=QwXn>`PpiI4^NuVq@aM#EFR;6FU=E
zCeBRUx$ww_LmMsyWN8?$F`6PBCauMj&6CahnrCX;*EX%gY=_+rs~t{r-kR9G@Ysf{
z0ogawRv71)Uy+vLvF5Sn-OaO`x0<J#x3{gf?Qa`%TXUOp+u=6lw#9A9ZI9c8+a|XK
zw_R>CZrj{e-1fOm?J(<M*TbrZQ=K<I?0UHB;jD+d9=1JPw(#hMtR1O4a(5)}$lj5j
zVSLAYi?kIFKhNB@_BOr4%Ff#t9>0)XkyDZM!uX5yJSjGw<~GH{#)mgN+?ja9AWOn{
zk3o-goRk{RdY<*XliSSOG!OIiGxg7uYn5}A+ia6oEmD2v<BZpa=acsxXX<a2>$VZA
z*7+FmYU7#Yo$j5-x%#KdEtN}^TWxd7Hmo|NV$H{h*Bj3*zLdPzed=+x{$9D+HfGf!
z)j1zme7x~0@b$*Ci_azRcHisXe4MX;uH0^$vg$V<Z@j+vV&nPb{l^*mo8{VV_^M?-
zTD&Se^LWQ`&i=`A%WeFs=X|tyU3l*C9{0(|S^K-?rrYRMn^ebq-0`aL?BiX>dHZL}
z>E4_8-v9S(pO*i*P51vb?tlLN2V?!&_>a>M#D8#(vdyc!^KHlD!t0Ocxz9dc+h5kt
z*Uv6DSB~FymyKLyN%fbCFI6_*Djr>Ye)0XsE6E3vFC?FMJoEU5<Hh}g{ek_4{o-<u
z<@9aUY~m{Se4Fv5;<4dV!^6uDq%ZSd=s)qi&itEpN9~Ghp8Y!WdFK1g2htawSDJs+
z&bwyXFP+bk?}8s~K9s)Hf2IG-^IG$Z=3liFwac!#_G``Om0u#?2S43>Fn#fPwfSf5
zuG&S{WYui@b>&yi=arvtz6*YT`N8JH>C4aS&A)3`UGwdi$*(t`Z@w=+@O;5}#rcQr
z+-v%N$$YkaSA6LClJlDLFWaTpoclHBv*r8CPl^vdUvyq|{%O0jcHuSae#Ly=`L6iz
z^JV_s{;SXH&cAI}Ui0qPozIz{cfP;;?()OW2bN!czR-XAdFA<e^I!f^em{TGr}ck?
z|NnV6XUg{FOa65HKXd4FU1`Ai{pso7|D3+PIn2s#Z{5G+8GHEoD!Mp0KfL_-@@wUr
z&qtP@Uw;4j%JU897o4AP-gtiE{O5Mcc8l$j?euGo)yDnu`LX9y<y+&IpO2-VN_TT`
zJaDGrP{X2zNXE^~UChr|&hX9<H?U7|JaDMNm9d+78jB8ZgxCg~1u6-y2TnCyYS`2e
z%J`IVHM17eHI_BJ5#j-o8|)TnB{&~A*5J!Hn|T%UHkK<aIlL=)Z-@nmZ?Iipw?Hw$
z{lK|~SjOGV-&o%8X7Jn)-(dg1;lRNLXU2BsJ{B2X3$X&52QCLrHUu*+XFkU=hu1>9
zz;1!&1E&K=8@w5(GtXjP#}dQ4L#)8|f!l$z4bvK~HAFLRXMV?Whj)kg1+fDA1-1*6
zA2>RsA2{5wuECx0E~72uUdDdrc`RR8zp&fzN^nT<NN`n%8OVIFNl-~JNzmEA+19<u
z;NTxk|JnP~o!PdhG&YMy9!kg+bBUPVDrA--*=oIc&msBR(ju?NzuO(IKId<{HRGvZ
zSnAD|srP>$+@imUHBHyqwf^_i)Du=y;#hjv_wdZ%s1W^Nm0<M1_dv*jo(WACxXi^<
zl+MjmY*lns-0YOr9=2wudT@kT`x(xe(nk7QW}W`IP_*ja$twqJ_iveW=aA35=nqS$
zz6wjtI(N$F=1#NPr(eEae%`Lb7b&#KBqj31rwNlLh$?D3dpV!FRNXqIeGT7Aj!5B6
zW+|~AsV9C-ST%uHQQFz8HHU8{-%X();Z3GX%u=E~Vo!XV@M}Wb1X)Gv%koAk<tOG%
z*sIv9_}l4Qy9|e=M3Kgmf)f)bELJ@3)Ym?T!%{NJ_w&jLmle~U&b7_qwv@jlQl$7K
z>BQ0rrxnjChC5|H+bLC~`=shb?}XV4O>di|_Dz3x=I^DcmWf{VUfvmJ?Nw(At}rMT
z5dCvySLd=ir|c7JC)`#nzZBhmhdYydr~D<kOM;&imKZ-t^aww(c*460^CsMza9q*f
z>6g<l=Xq^BEj(>Jtv1|}e3f!WLPk<XVxN?@bUpugVOLL<v2dVon#QWrOU`Vaxn`%@
zW#{R&Cr@9{S`wb(^>p#fte*wT668)jxy3xQWVik08vm9(T$Qp$lAqL4v{Kw$9FLso
zIMlJIBT{g)a+mTmmovRH#0~9}9FH98a24!Up5~&{8zHvQW|2ygYl^JqQTxr$=JWrt
zk*|pT^lfVF%-W|RX?rT~dl*l9IfFm-UNiGd{)Zpj>!$L*k$Ep8^}8-HR#jfY)vkd3
zDX)F8(ciz{e0J>Dy?kVQ%C8@nXKwiSr21Y%+ZpK(`|kWtzU~+|<^Qke*D?a<{?xEC
zvUe^{YuPbnrjp*DLsky=Qt~D|x8Hnj!oD-An>06P<(#mQJ8iW8_@pzxHyVFtThpV_
ztI-`H8Ys8XYLQZs?~z*_rGirhUn{RtGIPo4xgoOAa*<V%w~OzQYaO>bas^8TZ!5o2
zmQpHndDFAz)h_GH)5}w%KA-bhQfDf>=KlXnSqJ0mPOdwlwYl8=P3H~iixM04lj@H&
zb~FpNEAhF@bXrJ7X5Y8gf5dLL_v9JIALk4!bbig^{J<<9cuy+iL-Fg3sIS6(?sGaU
zBn!13m87`7)!+ALs`xwR>f7w|j!jy>Tl;j~p_v_<C*EB<xBJaD-MgGm%9Woh&r;HJ
ziRsxPQfRrz@{!k(s~y>b*DwE%{blUF#bt}zovt0Sh3cEE+jk3oSGuM2&V7q}iHl9g
zi=GOJi=rPj7FjP+Uu6EM@W|wjeI5TgmQTF<cUzkE6YrbzcIquwv6`yNnzn12Z^bl2
z?q<o;7bXa*-Znm$6z$ezlA3aSXU~rvGXm>;CI6OdEPfSbG%Z~#xkk<STYBlSD{D?g
z%nnN3xjZbha_^#^Em9{>EPmMIAvI~*-4~~4^c|OcZ72}=t=Qeo<ysm~n(wi+Fm5*G
zHl=y4JnqwkYUK=t45bXkJ}Ui9b=}i5qoYFfqg9g8Bi|z-DVj&u^~C9%_PDgF$6&3<
znGVg4nUcX0!6L@mX=OfzXXeb<Y4|zm*>RnYNQuoF+f?2>nxSgwojmQRPQPYn<ivlq
zKAAp|XI9PlG~=qFs9|=}wPS0#SN2582a9f2OiMnqY{pr`tA^1@SxMWDT{)K1y|VkJ
zT(JCQfz8Tk>1Wp6xWp*`=F;=b(>hO1Hm&OacIo}L<GYi;9eLB6*>h8Tv;8xNGY4ll
z8@4C+9g*p^6f3s*C)He_^epAf${Cjp)055}o6~J6e_5nh@mbQDr87<&o;3_lT6Zj_
zd#7Bn^0PD_Z=dWlYiHaxEKhoO>`r%P_fGlCa+d`^D=ag9mgp0HX7P-7Gv>{>H{-aW
zf6}j{U&-^1@f_hf#&guBTe7cG&RED;%2@2PQku=P)H5z;R?oO@IN2~iX<1U5iipY?
z9}OQ3uNhMftQT4&`W?E{Qpx#Q*qc+=BVxt|jl{x3lUkN?o)(&>K1F4XN5ss4Spm~F
zXf3o!EOl~CD&POotjPD!tt0QgpG&LWFMPADAU0|Haod}6H{Ll3UsDfJ&GEP~V}oX5
zu~X@xX)Uu_)^cv;ye;%fXq);KbrY309yexg&`vBrG_PeZXD{b(p>OIk9u_kSG#(Zl
zn%J_qbE!?As*JzI!~&y-A%|YJ7;~lzdv`tc+*N$?`ij+`JC~mEGCH+J^JmxRQ|}Mx
ztHk)|*qYDzX!kzYvhvxxpro0*PoKPgV#l*1LLJGov|s+7S)jY|h-3T1szbdkvpb)f
zMhQg;=c(TD+cCMod~d!}^r62`R=+K;c5?ru&G*zXNpj}7mUhlq&VC{9`YSU_nDv*}
zetTRqqqN+~|5NXwHOKEii>z-qc>TxMUNX&g-rjHdJe%|WB;A_-_s4gsw7awQ&V0G+
z^}_pwf5j|=2?jF^rsyqddD!xtQ<-xyXELY0&@tgSwflN!s+1mvf{cq-_faz|fA4!J
z1jI{U^85P5?U~nDUpCLo6kQfNCCpB`JnYxikYBgG^^azr^~_#Zd#L2uQgLa~S#e@Z
zwi>Lhskz^jFPytq<8ONR<)}&5E^V2%bgRwUNn65}Y&BYYY0IfgQB%VzqgG#D<&o_h
zm3=vCdRS`I>&vVDSN*y7?tbW<($K2+yqUQ^*}+le%Qsu@-Qv64YVVf+hF^Z=zW6%r
z%i9&6uMhmcf8%xc{rP6P%<JAuRNGEG=>I?ZgygviYW&8UQ8&tD&m<_awv@-(e|TNI
zK9~Kr-!@rW`}_AbcSLAx*ii63_~rF&%9ryt`DV@j`j{c-_M&aJx!ud>rdwYrTlKc;
z_S>)fL;1G7)wrF!?LOOoez(NiPw)TUKdm+P<Iyeh&%!Ui*XFMNasAAJKNWwT@c4*-
z*qpOzY4(m^x6>1se|~#CHSziJg#2xn?#KVwugHCS-Ip!mZ(=roKI?aM<DV1W;(On+
zSwCBrJ~yF#@mn@)owpf2w~u|hd7JS^v`X^runmXL@$IbfTPvIUb3v5#i=6nIjX%l`
z+|1iGGvD%dZP~w@YQHViZ~L9<Z{GA)=5N8hcmL8pZhhaB{dw|*zU}2dx61eJFPGf5
zE!<u*>Gq%FXWsa$-njR>Ds9``X}a>PH^2RP*qRpY^IPM9nd`Ps`pf<vt$y*v+W&0b
zoP%d8*ld#}d3sYOn9MnNIe;ycSu*Eqy2iGzwh6y@SCl*qUU{?f#mU2$)i=J3%_`&a
zk*|^ZZ((|S(WT!LQl4+Aot&QXV#@h*{{$v|l0TrU<oj&mX{O-1d(joA7GH9aWBv4C
zO8e4J{;e6&Un;wO#FpwX)pi7k&D3$)>#D}>{(j+P*Jb_6TXl^hd48p=_?FM;Q^``v
z?tfo!#=jZs_P*Y|G&@>j!;Y!$%f8vasCg^D|MYdWzan4XHQKJPytU>0=63sE{V!h%
zFW>+BUi#&I`TYfc`*Zd8|JnY3*1w-y&VORJtp8Sd`{1#Q_Wv)R|Nmt3dG=eM;-CJn
z`S$Zl$gdy!83VkTSwt8Z7&sU_I%2}U8{BxN$IrmvCdtUa%fP@8l3(DPpHiBWs#l(p
z_B8hPro|RQHTTyWa;QAs|8kq}kv(r*-ng9ko}bETAfBklzLKk|%I~`&M`)O4@D#lV
z_4oh(e!hPH|KBJ6C9l<fJNw_1Z$BTzYp3U=#;3mJntuDtzL%S~n*RN_X{PpznB4nM
zFW-IP;kh&{{__S)QMG3N$@Y2XzwFnRI?EUR3ZD5W(JNWiAR=0z?^EI&L#J<ddA}Jm
zKUVrye8YU%luhS9if7ikyB@UVygfDizH?i-fB3FLDg41RcF+0yCsE7ucUy(~-2NGV
znf~@o-W<Q^{@EvQgnz#`di#HoeOsN^<mslO+A@iPoi2C3GAorcq-*j$n_xGgPcl4b
zQ(XqzrsMxUTAq*-Ii99yEhsai{f%oujM^sggMm9w$o)Q^s$9KBerErhjrBQho8%7$
zTAr+9aZgqKEhsZ}`WyFxkTj6s&XaO18#hh1jp#e;U#1|Dt`Tzb?!~1dGiM3Ot?GF<
zPc_`tRwMMAVbNy)RbsWj=5?P^{~KGJEMV51Jul>6FVE@gj|8ngpNMCXY0WBFZu_@^
zcaB2-e3zB+&%%Gs=04b`=)IJc$=hD_>i<h`7cOjD`9mPp_nW6HkHmrfe+B;qxr(mZ
zw`lwP(-+=fa1`0UX#SLBEBCiuF`t9xJ!A<Dzm&nodePeYes2(e7xxKPtET%e>~}p0
zx>vkg>W;?=*>xwxcP^N2@nM%t^?R}9fgKFHe;?g*dWF*Uf-5Cmd$yg|f8Vy&D}~Fy
zdw>0QR{dw$j3-os!oTbi)pGW)3Cu6{yFPKpf5Cr2e*e>dX0J$B+7NSe{=<!`{km<t
z!#|yh+jISB?35of7tcEV)VZKl-Fe3+^-#Zix1Jx}qw~juM{@W1uCm|lcen~__OKa9
za`ZlU$W^uJ__RZ_{}et@GAdd1;m*7T6LuF*oNtuRd}PJHkeHL9e+)k~>e*SJk1*6Y
z<|-q4aH2KOoIAz`s^;x=f9zdvbbAA1gp0nB6+=hJhdc8Mj<WW9+~X1VR$nux`1jLp
zt0jV}EB7nyUp2Y9CDdrYRg=z~V*zCw`U>*ib0{2Z<rCee?)NUR%}Y|_n!|<kCr1u`
z+Ftb}<l^2)r>7}*9(BAI@3H<FJLPw-+|i<~qMLcA|4livoiWGe{EipDgSZZ{pWEzT
zZ@wzCBjEerhjG%YGrw=vK2?;Ts6T;wp}?^f;hB=Vjyx~hUi|ywy6m}ky7jUjC!Jlx
zID5r~Wa~Te#q-|obhy9w$=lM9w4KkB>$5~2z1ltV)8%UMoa39TpYEy*&9i*{Aok`H
z-yPf^W)}bESfKiiWlD&|)o0JYwQK)76B1)Q{g9RBdcMp3@us=o-41bZy}y5TBV&mT
zzih(c<C9mvUa<8L?+2w{Ov|qF6+aDe-7Gxa@7BSHNiqj(8?>BnF1Ylev(DYY<mdC>
zotEqE%C|PS&yRg1eIWPCk%u~FB~wclKHj_O+WQm6+yCTl`qS3&>58|Lj$Mr0x#Tpx
z)z#b5b6ECXKVrm}mu>z*=#ZsBw-uY@_RGR;C66Ab*%nNFst~fb-SCRy=@-iOl?8WB
zyq3s`al9frE4iR4pKo{I&ffvcInM88et-4&gGJR^hW+pDZ<!o?+|F;e$|HJqSk3yB
z)QI`2kN^F%$y=(==a>3IX-%qpGmr7~J*Vd$UmtS7qPBM4{i`i9w-Ut|87jiFr|(vA
zIO9HXR^VR&O}Xk4v*UZ*{v9&D@OJaO!>4EObSpal_mJ&PYqL#)dLc@u-D5U5^9yFF
zb;=z+yko}VrY%CYa}3qypImvkEop({ijB$~6$~yy-G{Z!47`IQ|CcP_3MomPEO_z$
z&ZFy;lUKgwDi%I|E$mVj@AIAYU!67NjBDq8jbnb0u3>A`H*>!7+h^W$1ztZFJZVrb
z*KhLs`NWq22W<9jQ(CHZVAYB4)vJD433T6K=;spUQC{NBemH}5%7mF*Rsl^L*1no8
z7L@c>-p$pbr_g!>@8*R)@3`Mq2VR@e)9vjh?!98k){QOtYx?KB6D!YM^Z1p(Ma!u*
z_pWVaJH`L8&!FtqwwR-9f3AJ@JYlKGX2!)6s>~Eb!Y{F{$qL!Z_&HPL+mr6zqjBbq
zcXD|3QnOyU`>gKzb@B4Ld5X+CPvx)j?7SJ(JhkAA-KXGWBdM8-CIlW4X*c=5yu&HS
z{7AX_dFgcyf}8)wY&F^Ju5o0BZC{(s=HnAN4Xlk-zdl;3v2Gia>X~KtSF+?8<?ZR*
zRp0o!S1Hf9I{Z*u@B-n$gI+m@PDOMEwMK;{<!b+3rZ7A2Y=UT(+wIH~OJ~Q%_4=)E
z`;qG(`^M*c-7nL&%L^`x?OvZPKi^{2g%dW{gk3{(<?Z`#vQ_<gvbtpVgzahq<<^(~
ztH<pB{iwP5R>gir(N(;9k56^#-!s>8spGr$Q|MfgY}20QL2b2iPaLn#JJa6KWm=W+
z-1fnwGk;`0^DRHLZqD!c3G>^2$JbbJelLvNEj#J&!_Q}aoZmisO`}q4xaDc}3jf1z
zIg8fz#NBYbv?0<u=;>ol-`%sy7V&*B|68|w?u}^Wj=ggwLsxuBidy5+|LE5Dl<aHI
zx7=XZop*HC`uESS$$Wo*Vyo-k`hya=n-^Vjv3kk3TwcL0w&m)*-f0tVKakb@nb#*@
zaY4#S`$5EueM?f#Wwgk-26Fg2MA;bS&vf0PzA)rq&7tC*KX~tbSH76}tIqQNmEPrH
zzte86I4rR&VLj8m+NgCGE{e;)n!9b=>vyluY&$mHTz=*3?`l=@%K}%_ymwkUTkQ4r
zxowr5$+f#HPPe|hApYgD-}~p{AB$EwCa?0YEVE_($NB2=I-4*2C0y${4yaZz9-Q5M
zb&hec>yG91HkB&^u0~zCWwKeUJV4OO=;u^9mLKb~-S2qWM>S^%Ye=gF6j`j#?Ek*P
ze($db9A@|HpWT}2Sua^Ne@1btbb-sf={FlxX4aV{aA_Ypa>I2S<5jD=<9&0ErxtU$
zZ%*>EuV4=`N;|LUaq^`lk5SG%XXT@hEp1Lo%z4<!%6M;6tl+k){#46Xd-)BFk8h6f
z3_S61;{IJfAO4qo_C|Bf(P#H=#91Bd)orf~Q`x9{eBG(?e`njIkEgc3pJ05#G)u%G
zdWwYU^|B4qvXg9ne_>9kJ(qQOTHpQOhHX;?Qf=R|YfLwBejF6!J7sy-wS{?$JIk%g
zj6Fg$n&0jG<Ypf^Mdvj~kFBbqL$5@0S5UXv0^PzjHfNb)Y>x4LG(L3dIwObaM&q6U
zb@Rg!p@zj*9=AuV<d3$0f1zFPglnq>vrW&(lGpr!+pa3DGn-I*BJItWkLRlUj~hRD
z^lGN_GDqv;8zq|Qe5oGhhG7PEO@aHfUMMlAl+4n4b~QnC*33tj7R}H!s;!!tbY<Bz
zO{cq28*6r^FZA88YBT?2wqBpWmzR!<#Cu5Z%w*H!`mpt?;v}xA7vE^TS~&IEQI3gR
zp*@S2cnd%7co}rWqU_e!hHFQ9m}{TJ1RV8H>GAF~V>)p~Q>DRhiSWubT0H@K!;0GV
z%P$#SH$AY}MfxvC=4BWAC`R2UM|3ytTw}O>ht`pR`FwX0TvB!#xmgvP{IV8bwD8)3
zm6a_I7kl16DsYxr`pWVUKl6UmnvgGVd8%zb`PZG330`^M^$6G2-5rrz^TaPkpPd!!
zvOaqKw)y(gk1dv$cf4qBaak^X@u6M359Y{mDqLT1pW)KWi40}2R(uKb<Txu5nXAm6
zUty4T-Mu2D?EOPc(W<xhXO=p*f?OA7AH{gI<K0=A;_lT>Jfg1>J_syilI4-ly0V}-
zv8Ci?f`o3al>}Jw@+*eu-#<1wbkCkrZBlHfXYyGtSuN{kUCumxWsl>pQ*{*O+<%(2
zi$+X~$nM-4xKBQjPn!Gv;p;8BPgL~}hrHiv;$F+b^rpx7-oLh_&gq=hm&N}YR`^VC
zXS%^{bwg3?apdGuiAlw#9ZnOrI@&1yI~LI&)1KCOT=M${vt!ba)Hq&FQhy})tguUr
zThZg}BLOx`zV-_qh88WUo%=a|C)Sr&RPwBxX}xXUtgXqvi+W_0IX9Ym&pi80@}T&t
zhp%EMtnAHIoVRVB_vS6VP7RAgC)t%AV%g`z?YuEg^`Kvzx^SXewayu@Z*~VxOj*2U
z+0&nsp5(}!Ut%cfHKm{-kV*OJ5wXkpb9!B#zDP5fICHn8zmZ+On^;mMOJDx6t6@tf
z{A`(YYxB<)O@A3(9&vFb1TAS|u#ygNYMHq>_QtJKBC8fE98K$a9Xxf3m|De=sRoWq
zJlKVE!n(QCPTX4cV}>5DLUi)AVpX-ObNjd_?%-pZA)=|+;MXh0bmP`30q4}L1G8^`
z>~rgR6?kFAiHT_eovV}BrYohMQ3zSwCs~u*$|dT={_?SJs~%g#k*fwhJ#xG}i$xOZ
zT5f*nU2!Yx%g4EXk5yg@TkvkO3Owik(c^Pnmk0m%<u`H~{tMau7m19X>csnC?PN)<
z!WDjw=jEML)-^Mzxq5Ld*c`P*;J~%8WdXe9hXNk7Oup*S?mXw`{Ein7f2&(XMcjMN
z8$NN~?TmR{ZPFQ1|FZnjXT8^x{`NAo=lf^9h~qYmwaM={>~C1)D7fi_&_U(nSHt@s
zMNUiY37x0^g3bEoyOqg@ci*^j>{$G@Bgc;3$lQ?-o0_9D<=(l<ts6TxD5o6!b?ZjN
zs+m@c|4h66C(P#OmW`d2b~_f|($L;{f9?9-upqUAReZahmri|o!^B~OncH1g)^F_}
zoPAb)`1MUDV|`E2@&9?Xxl1N(I=NW-Op=J<y#0|I))|>q^at9#*}S+W`exJDts4d3
zZO-0*Ia@+^hF^AV?v_=H{91W+E2TeaoC;pTeeJrN+YI+V-!>#}nRNH2)ou08P|*u9
zMYpq)rv?<(iEnv*T=m$jW97HAA76Sq!?(5I-N}vH@`a8rRLJ`B{<gp4Cl#NoGnQ#{
z@U1&2S|Vr1z_w5R`oc+@%(kDHcx1ooJ>CN^bo?hz(&YNUTPq&M&y&_ODbj86CHFm<
z&tJ|mw_kS5K|a1WwMH`D>GTZyDW7gFVt6L<(Ko^LS@_GKJG;43`aCCc+%Df!*0znE
zIVkGimd3*|;-$QQLaQ%ve3-j!>e@+<c2vLK(DT*1*gtD;x08Ik1B+M0Gj<{2sCQd!
z*j8}ro<ABphf(S6f$vNyCM8;>_7@&A9P`Wk`z}fUgiDw0(v%eIrB~<ezw=yeYwz-&
z6eIqfcH7n)ock*D>_$*|#ZI2h*Ux#rUF5L9e&PAd-&^f&3mxD0e5Z?<coyT$AIs0F
zmpnC2nz?lYQ*)q4SHcNL#rM@~4Ne)~tH0jg^Gi*t-a7h^JZi^!{_@q|k1#MW*f26M
z@S$|9GxL&*a{C;!4;%2bzW*({tDns?^Um7qt(W4aT`GI75M*jxDpPQm@7wG2%c%xt
zwkii7*E+FVN8I1O)g?1LIm3J(x7CXNM`3w?c4RI{I>;l=8#d?flnnR%F<vk3z4dJr
zYe)!UUj8xs>Gx&w?YueVzJI?J-7s12z&ibahrh9j@u`>pKMKuCsD6F)?c9>|2Zufw
zpVdCRrr+adzvc$HyC3BaF)jB}Y~j)itn&SkUmsLANifUy<kh3OyA~#vf4#^zb73VL
z$Lh|?A55tJb)3TfB7uQ{frF8OK@!=&@tFY`mBs!AC3;1v1v!b8kGURi;yA72?Q=3*
z!`R5c=#p`e$EuJO9$IJ5cm|$0A6%e)D&&K|UZ8<Vpn<_gqpe$vOaniZat1Q26rIGO
z=^I+$?HgpUDZs#FyOF^rBNMih650j@fj47>rDkSxnTj)@I%{6wv>0s$1_mnz1_pj)
zXX&M+Riq?6er#Ku_SQDgjE#+rlZ};4jnB=jZ};x)^-ozh-@Dto@!$XUjb-)s+0q!%
z%#{dTs4NII6V=@0oNSP}wcqoQ%th0^uIJJVRt^S+G&KeWVPsdurzRHVRO(fvBrlD+
zUG&I9sP_H(6EAMES2HDlSeibqc2aAGwivTwXP&j1nn-Ji!`4=>VAaIUvY+2ij%2Fo
z+<WF($&OrsrKb+BJT+OJd(E25r(Sr?s$wsEvV3uY%#w#GCav#p28k9G?7Jpi87G+-
zTDDK<$Beqt@J%+`cNxyylKDR-^m*jR8Fnk{m+qbJe)qWz^W(IaW#>OW|Gzu<X|r9%
zWN%}RJsE!(%$yfguJVfJ*ZQi%y}!a{d3wS;?chgGn)jczW1pNT@pmQ1{=@o_$vrx+
zO{RyfJr!?%<9bcR<irh2+una&H>o+2<K5nx|FT@6rw&HSKPg`7Ydgb7oiSqL)aC-C
z+3E>PPOt|);Qb%ol42#h{ICMoewM>m<o_GbttfZo`Rkb9aQ=|z{C_=p%RZ+xoOt=a
zXyI%t+kL$UAH0o_xoOSgTbT0So<Dc_R_oVoA*=qFUta$Ad-+a=_jy&~8gCElYu8Ml
zsl&ycFZQZt^GUOnC${Ga{<prn(SEL?_IKy~OZVR2RHw3c=`a6H=Q>Lcc71WSX5Vu&
zbIyn9dp^tw2;UP>Fllbn`qgs-SC{gN@4a`vpsoJb^n&#TkDl|jq+VlPuW^8Pqs9EG
zY1*Il*q@p5tEpd6kJIqDc4}9r!sS%mg6Wr=m!2w|A;j8Y#NL!NWlif%MnyyEK<(I~
zgnvg`R!+|k??_NA=!rYMW}2mm>M6Z5yEj(%GQUk%GYP#Wv~`Yz<GxLg-zjzMic{8-
z3KZ$se2|enM5d!Y-AU)j<kZ{;b^YQKMZ49f*dH+H`MXj49;=|XPPl38vnQw0S_7n`
zQn{BNOFf=x92xb}%wb(>b!DoHXLZxoceTs5$hoY!)*vltkh}EYlCllii^X_T`Q8Qm
zT=J?^yy>}57{{ee21TYfpPrcPbjaY5SS!2nZWpQTivoU5^hwQaUjM-Q=1Vp)8>yL9
zHB;oGE-FtypLIE>;E>dDrb9PY%z6>?#vw%XkXX0VrhuZQGMj4{)c*#1<TYC~SnE5^
zf7YA)L8;1&=fLkrt;z4xWDdCNw;BB7xR-L`(21)b*C!Tn->cwJ&bRY>#K+k1yFkY8
z-9xjErrGVuR>$-1gzI<h@f2b+<W>*A!a2>}HF;jkWzIu9@6rPW9yfo;GvjMcWM3wD
zq;vY2$(dgormO#}u;WpmAE~i(S6#ER+GUnw4`XMrIF~$M|D)+8M}Y9(=$cn|6^?Q~
za(P^Ttg+&t4vWVb4X3E4-3gN{F1aNdIjSYL^zS{%d}faZ=ii?<x)aR$(=J>5VBg*p
zU!Z;B<k6RQ#}BS!on;^Nru9gqX6M5vnY!Ow@9b1j-X!(gW(reqd4$7GQ}?Nn7oV((
zanuZNJ#@}wvTW0(Tk<;k)u$Wxo9r^(n{x2+H0ej&nQO|IH|SkFsJUe;i-EZG#z{{e
zzj(D+sW^opH#j*$tMZ_(xtmy1$*yZFp1VaVZBF%<7u(^ox-E}yg_h9dY3&oDbNf<v
zea_m;U-Rsgg4OnCUqmW%^fw3;Y4@&8X=9MJyRLa5*`?)9<j-j3+t(hxy|kI3==7PB
z+=aSbs*6^*w(A@Vx_aV`*Xuu?qPlrWlL`txw??PlQ*F<k=53N}X=U)m{zj#lYwZb%
zi+LwoFY-oNiFxHsRdjF8T)<P-bSR+c^jaN9UBQBFc4^x(@1GMsZx>g@&9g6S<L4+5
z>r;YfLSLFlKYSxvt9xOJCyUM}-CbwqNE}^~*7!th2G`8MwI=TlKi(^S^3_SbnMa#N
zyQ4Y(aLk?jzW3nlh4G(k(-%i@?6BG&U&}MyT=JX4A&>BDw*|NG-a58tNgK1T?X=%Z
z16WVX#uhET*OeN*-}9byR`Dsb+qd}+E#2ej8hY$1!y)(W8w`q$$~Es$mQOd0IkwnF
zG)wb*PVmK+miYmSQ)2Qq#w+_KJ3cwJ_wLQ8ynw5JoffdPCUQv6RBk-V7|4D~O?!#M
zGFP|C6(6LymBU}h>uS!`lJn`f+!PbmdN20_oBH=tI!8{)X$f<yhQE&f{(~)hub64r
zw`B*?WZtWu*(vgMm$P;AlI;%^_B?vES8Km%+Vc||Biehc%`%i9t48Kcy{H=K*?qoD
zE<9$by`uZJ(8}iABj;o#Qf|%tyK=3Lz&H2%51yT#vBYqx{GM>`Umv${*Cl8BwujBo
zJL`5yDcSW>g!x55`=a0Lwez-GeB))`?i^HM^Qrb?LCEp_F7n%_?OE1uQt;6?h&|#F
zSF+h2neRKA!_2DhC?!2vrTOjTu1hn7mVVxwwfNQF&^1cceDN#ND*Lt51QU-w3Tu91
z*2DO`rsL50?e7{?zA%?B`dk#mQ~ueaaW=yug^!0k4Ose9{nzby+R$hFqUBiQ((@Y*
zdf#abZ~BmQ?~#G)eBsx#)bFneh|%Obz3Skp&=+qedQH8w^R1K9Tbn1ovoBoYydNgQ
zo3&sGf6)ew$>Pao9M@wS!}KErpETzw2rr%NSpM%pPtz?At3PJdyDzsEaIUqSqH}QP
zvs0zZ%tI1XuWq|^&22&dHa>${FWRDXM6#5&T@$Th)2TV|$K&U$l{eDsKgx4!hwuOU
z?MUaf9e3GGIBnK$OwBdVSJMiQ)mjo7xyAO0`ZwvTcMmq5p8Sa?eog8vChP3WT)+0_
zt-qeV-^DRF>X#AY>(fHlZ7weN=<EC<UCWjH?f&zEmn`X3Gqb`sy{+!p@3j8*`>zom
zm8Z^g9Ton@-_HHzs%X~zdv#k|o1X~#f4lE8>uyz{!2PzxDtl-1G5>f~%jtIc#k;TN
z1?R4LNhNOD`ubs=$j@)?*UEk!SuHF753SX(&^eufv7Lcob{qO!O>s%$oV)BbA(7J_
zybL-KtD?dr6eQkqevQwjWxRT^Z@=XDr{?c|`*PM=v&)+|Tl$2Bh55frNex@R^4+U@
zGX+20i+(bJ#mR%iQ$eMLA+hCyU&Z^q-{rp5pWi+4L<`f~vcLO2E1k1^zfb(U%bp6Z
zP5<BN|NHd5?oW9A-~8Y|hYr<!XqNA($o+NYrEy*Pt1tKG{yTUi^ZoydS6}4)tMh#>
z+^_pL+rRGP)h7yFm+SL?P5IR`x$g4ue;=>U_y6;9`F+1RX2p-L%(Sojepr6Lb@8M9
zzZdVHmockO=8M1m|97AD>po6i5MTfIr1|{%U(1)zD>qqPzuzkB>ZK3+t9LwbZ2o`#
z_@CeN|9<KJ_iXY0f1Bt3dwL{uzj-a&XW!os%9ro^zWZ`oPtfGM_7cW#{P+KSJAMAS
zUoZXtJ?l@e{rA-TeqT`jtT*?+opW2Y{Y2ldpWEZ>zPx^4_wA<n{95bR=WVu5%;MTw
zkX8TLXyw&@kG>yYR_pKk@n`n={l7l-+x`Bw*?<4jgW0e6HCt*wp1fZF|E7Qazq8xt
z*Zew~zJB%k6Fc|+cq6{)$bXZs$&$)Wf0<uCyuW;T?fn1C%IjvS|BrqDr^NrCeD(A6
zickCB&Yl1A<bUt?e|G!*EB$)EdhN2<H?LpSfAgx}f8}P~-cR@SO_!Db`@Qto*K@a*
zx~*ur_w&2W&n5SMez*NO<=#(zo1a_m{p6qf$6#vWr&rhK|G%6bU-RW?eYJ1u?B~w=
z|Ghh{Z~yz(a{K@LZ{{msEuPH%`&sz>nvbpN>s?ociJzRf|L1T2x=%;T=YPFbI&sz7
z`m=fKJ+9u{pJpDlB<kw^H1j(VR}-@qulRfN`uy5&sq0z$LbLaZycIgW>T6tA*~H|l
zZ2GRd&s@La7A)iS@%+9&-!`wW|9Nux{NBLre@^aodzW6@cf;_N-~LIl?S`-7_D_m!
zHhkr{e^Tr}=~ol?S1-=?c_Lr`<!X5vYnpHM|L61TtzTdE-}mp=_RVR&bHDyvlbOFl
zef_JM|Jtg)t(qs9ze0TdtC>IBX30KSoIY!_o#FadiM5a7t{NvV@|qy`c56GUZ-}Rz
z^fLbtO*`obms#iAW-ionmiqJmiT;0$pwv^3um9SUJS(&_gq8W~GWS)J8ncX*LXSFZ
zojEb<pTpL2=g{8GarM71x=+knc=`Hs+uM=rk2Pjpc3&a3vUN|*&cz|KmmG>)cH`sZ
zt6nGje*P@KU-$d!^v(Nze_fOR@AdQjf6A_1pZ|BS%+Jls_O1-`J{@uI!~S1Y*TTQ)
zCRMFx{_4B+-BjPp>MQj!rQYuCOjKK`yU$u8x&3{g<m8a;@B3y>zQTB4_Pt+_drkQs
zbI-XS?tc6?`&G|&U!%p>)heUsPG82kZ`O=GEA{pkZIH}goxNTrhi$Uwt7+RyzkfOT
zZqM`V!jcmP{I&eXe|^7R`EGE|yPNCF%_nP$=9uvASTi$!Rm%OtGY;2I^7?LjW_SLo
zoco9OXdiy^TkhFj^U#U!6Yot<^s43md~o)vOScV-tKVJB%wNrV=}P+c?|GAW_r93g
zT<Bo7x5!}gb+wgZ`LEx-oSeMk?)67D$1h0TKU~0*`@yznvsrWvzwu+=t(U(WoD1A`
z{kwroYI_a;W0}<Bwfu(z%Z`DB%PxY1uY-lR*YY2>zRG<6@E-fqH9<C0ml^s^F6O-X
z+c{xV7uTn|Mzu{{Q>Ss{>+X5OsdX~^?_N%=yWxNTa%wHF-+R3JKuYM1deLgxJA1kw
zg>U(wb?TS?(&LS`j-kI+8P{)J|Eq^{)wS^2XDnN9gwI{O<4E}2wKpEEJ{K1AaP_~&
zu+>Z7{kswu_4iVoc2Ah}5`#VYiL1h*_nb3wUi~(X+j#4(r&~X)3#;BEcJFf5{_BT+
zN6CJQdeA!OOVp2m)y1{k&vxHg^D-*-OVoqXn2#Xhd(?x{JFlV*?ms_c^sY*GLl1i#
zx6b2@*O^XNTw9*7{>`yfFA{<!Zf;KqOW1O1>Z8+lHC*>C=?`;_)4ptXZIzE!?X(oG
z(0g2$HLp}?pIH#_eyzdesd0~Tm!=l`U0!whcFcRDJ8Ai?-!<NR2&<TXNG`vXKccbu
zUYNr+Q|*GAw`1gu?)2Vk{hxK7Ywf%5x--^KS@vN^{=u4sCavPN+|OPw(LA!!j`e(+
z=i6w5a(N%c)%geS)ETrk?PD$ee^BJq9x;oA)xX4SW-r;6fAG#MgI2ZmtZi9ugEt&s
z8m7Hh^vuVt*P;)~#K+#_diLYitF;D`59#Hz7IVD)9A?mdArZu!`yuQ{!=cE0*5WBK
zuVR0k{qBD9>OR)<fkm714;D|k^E&#2jH>@HF&lT!FB9xo&j(Jrvi*Uj*QMVek-Ym&
z&l5DhMJ3D+|E62fH%V$e>$4T#qaMg^x|!WrenPaC>%M%r>^-h$J6?SWGdO-VEuXbG
z=IsX%Qx3$G1&bPjm~+2@L|OA&`R(Nvuk%Vg@_chu?DI3TOmlm7JzSfzZpHBx(f7Qb
zX;t>@dc5|?)c0FBO5?o_$4z^(FR-QRg|5A=lkL0N^B+D5cCLCpS5$7Lk&68m_j%9W
z)SX?UE?!hQN&fTe_4^(!x_0NyoUgYd=hystTRy)gk^kh$RZm29FRQO!6~5)nj5Vv`
zFCHpQzpEW}uh!kv>-3U1-TSj<zr4Ti`Aw_KXQTEnp2oAT_?Pvn%7VGZ_X2gBU(fkD
zElO|h<Jyu<lk!)JHfL0qFN#vly>)wSn(;Z8t1mYv{&LxRHT`f;XV&ubZ8L?h%FX9p
z^TcsJ?|a{n<9keQ+&+A6wZ-G52k)trN$FK(ZrI*6x9zo^^bNl6HD()R=l#lgkb11;
zSJs2nW507M>L+<kZo9buW7+z9dwM*zZb!TK>l!+WdbuCT|8?KkTtB%<>wD<))Bao6
zTgBJCs=fO2)8#j-{~ctx`fl~V#1&Dpuj<~Zf1m0c+Wz@RzWpzan6(p+JDxjeA5(hz
zvf};y?R`P%s*iU%zux29A2j_}`ttsu>%Y>|dxEzAN>A?#;{Tm~Uv_ESm-G9~V~+B^
z-5RE|-@)kq_mk4UG(vCLpN=~Fdj4EPr>kDyo}PV?sT`6#|H>D^tjPISdJ0$h1}&bm
ze&M{|Lf>B7J>MI$^}5#j^f^|~a?7gC6Bg}ynEP$Ix%csvHxuL1w#PTCuaa5$ZRX#n
zwN0K``%HK$Za-~4xhl-6s!r|C<i9=}#MLK<Hou>ixy9sn-b_F5+3%-GZdvo~uF>Ra
zU-w1dTberg{j~2HH{b1kS{t>ic6*J(X~z4y@|TLPcz1vPnXJF&et`NC)l1Rce_U^U
znNuBl{)_pQtKnbIR5;(Q4CNQ+<Y$jdeLksvQ<YIcckxI2$h{Ifj{cM~h&<ZHf8$Y&
z;*2$u*z*eaN%@3DGH<tt7uvy6?aF;U{3!Ewi~AaCt3NeH&*9!FzpC<*(YfgE&#6h%
zcD6@ae{eWw-hFaa;Q_JgpnVIcd3JA)eK}{!vm>z&t0jsbmHo&wRL@)fUaa_0-nY9+
zes^T|MW5;1eZ0KevXK8*o}v0X!~43=j@Z84{q%6Z`U>t#MhBk1KC|&v)(-32`DZ-x
z>u=0mFwfiYQ1nFQgr-w6&lcP1hJ<?E{rO>Ck=*Bpwti<PA732N8(;VB>-9C)*GTSv
z|MQSx;8u^&>b0A0bY@LH&$jLz-|w6UuimXX&t|7H|9kY|^6E8-%XYtiqrZAn+f+Mg
z3(na~58jUNdfR#M`PMBn{C%D;cie3E_fzz9bF*is);q6a{&)0Cvw7(95}pt4#?Px>
z<X%<v-S_Xr%Ri}^leZuJ`eXAWm92NnkN?hJl^3u5<WHhXknDtI*Q@U7Y+s+XXP3&>
z>wB*}$*56!%J^^A!KDRP3go}O6b_lQ-fH@(llxv7tFPdR|H`ivy6#H#UX$Oij<3#I
z`L!xj|NEhotud$e{_DC_tzLNcW0%;yUhDXaho-;RU7zu=xb(Vq)Q?LA3;s{5*g4<Q
zzTf+9+`F%<i~r5}cJsi#Jx{VZ-<+1-_v+2*>V2>FG%ervs^)E{<io}1uFq46FWvWT
z$?sM55-W?A+<P6dF?{#qt2HaXT7|{MY4wM!4U+riy|di(_2&3Lf764HuPF2Edogj#
zd*g{&y_;9;Tbj9STVlhlE&az==-z8LSvvQg_hrquU*l#uzMSy=l8@K%z0>b4Ejd>G
z($-6S@AP}iOU_omWcJ#=ce>pl3n$wNLH*J>Mej1dMtpi__j6^G?boP;zUOh=m$!CR
zosCXBCLyZZ`p;Z$x!Vd0&99MbUnYkv+8(*=^xC5$`I|RPDaqeFL%Kmw>-23M<Kru0
z@;A@0zw5q&DSz`G>4jZ;pWg2dczW;Cd-oMu`9JxUt}x~Q<lmb0dbi-yYO~*q?`Ajt
z-FPay@$bclTMtZ&y8S)XJ8|01rME2|GH*#-eY*C^9p!sA4xPUH*S>!}rJ7MNYx(v+
zXE?6D)jeN!|Gn<{GW$0m;vI;1tNTB(W!G(U{p}H3t1gr)d(Hd%@kI8)Kbc3f6RTRP
zp5+QYS?pGIa&u?Wo~JimKHmG3vnVCM(kyV-cAME6x1;CzPI<3;UTxLO+2-G0Ebk53
zI_Z6-e%&pNQ2mn|=AB%*IK=tC&R*ZYn=iYsT>5vjvD?bjzngO=U9(&LOy->6s<rX=
zWHtz9E!}RTzjX0^??n5Oui0kx|0GtLea}ww-S#!x?7h13)pK=c-)wwWclH^(z4Fz6
zb!XokbN)N)?D;CURmp#6-Q1r3+jMsJm5HyC_CMoSUwwSio4>JV{`nr-`P(qkR(i+L
z&!YBOR~&S|eXiQn_4#hnzF4*I*&qMZKKUJJRI`1?`YSv4MV|?OrF38SnRe;S_hO%?
z?mAW8{nIP<@$RF0UQ~gEzY2lK($&+&o@;+KNzeZukUMSKymI$nTM8!ZS={F_eY-v5
z-Zw_S|Hzis6wJMRKX5tQb<4-HrDX+kjq6wF?fG?eLtlTK?Yx>3dl%0G)ke{;c9vBA
zo;_{(=i<+zrQcpJ%WZnUZDsySi<h5mWxRbQTyFPl5Ba2UD{bG>OKcavy^Gc=7RY_S
zcPSU!#c%(jSLF!g%I{gaitXYt>FZ3HpUaNi&R=gIl6&dVTZzh_e}Btv4c)cp?a#RV
z(N82^%EhR=|5<pjwCKounV9Ei%CEE@EZz6JZ<pPUbKma;erLP>^Plyrmk+G$e=O|k
ztauuh9{tR7`uUG<W=0zA@Y_~(^?}vRS6iE3r>r*TT{n5{zM6u$IbZt@mTtPbo9+6^
zu>1dBtvx4Z_3_omH|M#}@vfU}xSns_<h6C_AZ5ov+T33{mTtN#3{vbbAEO>^>u*(?
zR~q-dDZF2O`sMtURWHAMyZ8L%l=IWlGCzO2d1-O(tJ|w;4QhL~=NZ&qz8^N5?Xvmf
z+^w|+wZ`|us@X0de}3VhV~&`evOmwF-t#9uH)lLH{&Y*>R>bz*VCG4MTR(bw<o>=g
zvs0eTcJbRolc}1y?<;bvEBotTy|3OUbS7k~(ed{@ReW;iL(cx6pZ-YOdHR_rZ$IDu
zXY!)`)q*V**1Pxpw-lOxfBMqXw{L#kbXvy!{fg}7*H3KUK6_www))lstDQ-E|Gx@9
zY^By$`LyC&v0-Y#+&#0t94!5mwTbQe$<-&P`psY8X1pnC*B;sB`t|=^Zy$2pQus(u
z%H{T%ldBwW?U9YVU%xb0YRA#f?%z_Gw^#f-u`lU?*m?Pz*Lm(d`l<Z;SYxzJead~a
zg6`+i72ma^midRV$6qu`-ehH0(Ea@VhvjT}AO9@)bVoZXV*2X!8HurZdl$9Z{!KVA
z?R~z4&DXn0c@k&(zT8a8lQ{A_&(NGFsAl^NX||dBqR;R)+uYZE)^<<^L^|$#KaJl`
zZuv9M(;Cm;8*JY9=hf}V_?k~o^(*G5@%IIDv#&Dx?Xopu74u)Ff@dmQL!^HF*|+{U
zzm@t*vwT_Gx>pvCYbW-_?Pqvlc!*`|#`?X-^Lf-)y#4#y_L%&tZ*IGc{~TkP;j+R?
z;N?B(%>R$-FI4{7E?@g3to_NxUthZae>)v%|Nq@|<*S08JgOgmPTwOu>G$vJR}4Md
zCjEJ3y>H1RnWcUndT+w_|N0WX+3x4j{FH-=S@$Bo%b!Yex>8elBIr=a*2;`};TK`2
z&u*S>&)E|Y?Q`hv>&-r&tbae9D?O+B*VD7Mr#VU&#NYc_eEefwWwE-E)7;n7&CO-+
zzVccxI!A2bysueP`qd>B(qiuK+1zyU@27LVSA8be|LnhfRzW$m?)?(U#3fqq=9+Bo
z4}Gfk^6&II;gHFid_SD`Z_L^|edgQw>gp?M<I?JWZa-~)g*90I!+gIwgVTRR9<F-%
zveN6@PG>96yPs|v_V)d@vtD-j<B{~^JXVX2{eCsW(|ykQzVeqI-)?@Cla;iyzPDWY
zdirtp5|N*8etdXr9y;^ohhNqD&ztA*{&x;doK+QPQgc70{=RxFcihF)zTNVxj;?=U
z#QtdZs>%abi}duCtSmjC_WYC6tXu3kMS2EHGS4>no>OfzDdoNKBqqh<@;AoWb58SQ
zzLMK;a;M9LWzEcHmg1a6UUOdTZ>~1;oxkK9-;IZV7QFW@Ej++#Y5#08YwUO1Gd-TK
z&N;|_doyQ^+$_HM{oiLVnfFuX$eaTgg=<P@2wNOXZ?|j=t}UA(Y;hXI{0w65xB+55
z|MkW|{X@-sS@}AfxT~}J80{7>cVBgk^PT+6`iz^Om#3Vwv<}-kE9(CInU!7Idqn3>
z&R-MtbnS~dCCOK%@?D>&Ja#@5ebMsdu~mBcu9iEO^@rYO*EuJ#dKbISIhoabd=WL~
zL9(AWHO`qXxzev{rR92~pt&D|isMq2SiN8RY|k-|(v#k2EB|+dgzvpFXM3+l>0$4)
zmD@W)w(q?%$Gp>{^t|_3%g@SJ5C1c*-qaU*{#U-q`OIH^UGqE&H(h_UMt|S0t(Bi%
z>)ZYRGI^uc!ICQJG^x`bvMVg+CS7wlDk<`rU29hTy%?K-k9Yf(uf_y_`7~>J;B0$`
z++W9oL)NbJ{S@~4YnO89VTR^235;i%ucQTKOD{+ZtNO~Y_4|so@(aG%ZS`foVz*#h
zZNshhJvZJl#=U9Z8=o_w>fnFg-|kPJf4giy<43cm{fuAD{B<AN8SA4Se&0O*|Et~l
z`~Lp9ynf%a*XNJe&-ih5`hMe&>-YV5C9m%H^Q!uPlaJTu*Zhv(ulW1+`F%g<cJBZ6
z=k&bJ^1Ad#^7Wt2Zg0-$`53N!e5d~3dHYP09vwcxf5-l(cvwo;J<<2}7j0Fu9$i_n
z!v4?3+xPF^f7<jj-l1Xte}A=gb7t6SRu)~%&UU}{XT#IA%7VX_t&!UM$X$2h?0==F
z<lp?>`{n-s;_dPC|Np*uMCH2Re&)KsI@7xEf0ANe|Iv#5{6{EeX`O$}>e#7Q{+;Hp
z`*i-)(|I@YA2RBgH~jzko0W}a^M`8T$!c%s&->=~@5CwjZF|GNmHlS-egEdy#lsiW
z>kHrUudAE+H20zKyIn%D)qjIyf7Xev3;Q1wKD&N#_VU-;`I*oCi03#}a&pt(yNtEd
z?v~G)@3ZHz7st)dQKx3?@hq;tVzl_l@zeHMKR(OaSN|yIuPgkuf9`+ZWA^|5N0l|q
zdh@M#rFqON-|4>=dhdN2l)w9m$n>h?^ZDiLuePX0bfxJyb4P8I$&F|%TXRHfjT`&5
z1l?<iwpJS%V{df$-ssW2(Up6nPxeM<?2X>MHwWY19Ilf&;3#t_QRZNv%;Ca02R!E-
z%A9jBa?at(m;<gchf-q>hQ=H&y>r0#&Y|2p2V?IXuC+OEn6vTKMV7Z*A^qwZqATWk
z)OdY){?|OfcKR*ulWx8yh2LC)yXQ%)NK%^>wI=1U$}w-<#;8SCCaKKUF?7vZdF974
z%VY7j@~_v&DP+yrKdU$6h=N#QhdYzd#FmsL3Zj`F?rcUATXUW$h*zF)XXFZOvD9$m
z^a^Y%I^)W!71&xiqd!Z>fmdviSZPE{m5v*;)J0UEe=B_Uw@I02^~FZhi0;yee!m<C
zakC_0vt;gVNz&Vr*~^l|%N9#lZxH{zL7u%ppuIrCy+Gu6fsA^A(DH(+m<2l@q@S0O
z@;C2$pwaix!ta5K-$Rpg4|L8ww9$K@r1#Kh-2<(453TYZsO3F0d)LDsQht2)<0tnj
zid*hX|B<lA(oZg^T4n3;NwrEJS6-PHSgp5Jd{XbE#l_oXHeZz4Y*=*Wn3tJf(w-|q
zD^$I7JvW^c3SKhJOV>B+<)pI{W@v8J$+{VIdScGHBQ`6a25V1<TA0$Ua&BUj;Z~uQ
zQ@ytNB<;NNENJzFsHG{ZRnASmRg-l!C|cpBR44b+u+x^&?XD}1Cz<#ZtXv=S>bl-n
ze!Z_-y=xx_-QRVkT<@#&^k44Nf2mLZwbuLZX79h<-hb^IpWVN@p+CN*_!;lxGtMSS
z0^Jrzlo>lcoQ#4dbeSwsW}bP%$*gEXx6PBq46Pc6WJDITEY)zfe71;b>WV`)XWUK2
z7O-&#c3bH<*@`V@?9DhNq~mHlZ6WL0h(5D54%T5wqG5}fvp0yn-XJ&kMuT3?5w)CS
zVmU|6a*p%8IimN*&HP(}{<lPXz6T0?4-HVln}^%v|GVRFB<H#AylLFw#*-x2UZJ8O
z=;`6c6*RG}Bt=0u@`M{-(Zu!|kp%*-Gn$j8ED%Z6I35$SKqz!Z`_C1}n64x!W(7h5
zWPzY>MAOoYGWJ!edBrZ<cbwgLN2_q>ZJCR?SHc&_YH#EsFRe(WSMXjvd{!>XV2*A>
z>k3y{v4wKo7aQD65;><Wl01E(DNM&*I*cL<3dEil$cYySPA`xQFA%+6AZuPAyuCoW
zyg>YWfjs{Qf&LE?{vSlne~{4^Fl{nsxY*&S^GKkdMMa78q=%$nM%~r(^E4}aA4?Dl
znqx*=7Kom{*e05B+-uFDtda!YYe}-#77I&XXzjhxa`r~k+8b?eZ#2%m(R%mAF}XKK
z?cN+`lsTd(b4*a?sG-bp&N)Xk=NyxqbJTLqan_h4sxikzV~(1}9Ou1rMEA}y**iyV
z?;K~gIihTHOxWhAvCVOAmtG!|{Rd?aFTbZz{O!DK>bc)sol_T|xfyTT<!`(4?DU+i
zM_7YaFWeT8wRO_k$vZr<zFraYUhBB2S7`Q<Z7sKgR+@U3%{(Hua%*4G`h~pO7y70~
zv|fF2Kx)lVt2OTGW(&BbH*(J2$Qr$o_x46+>y6yIFZSBr=&rrd&ur1*Y|*1^(Uok`
zCv4FfY|(3M(OqoO&%L9=dq<D<j;`z-ebPHRqj&UL@93`H(a&Dd;a<_BUeT3a(I?(f
zHMf}mVn?Ozg+IF{7QYrb@AsJJ+#{KDkD2ry3F$rNTK7n5-D9@AM`C%8`QAN}d-s@8
z?vbF}W6pVxB<DS5U8EGD?4r!JvBTL$XhMgIiZbg;4`(Z*37tAA%IrH&INNarbSRy1
zH1rDSGMbXCrxnntb>?tQNHSyA27#?B4l7+rHk7*1p{8>%$Rt@Z>O$AkjKf@8l2yYJ
zO|3StWpCtreX)~Qu<=>D+nbp)F6IaxQIzBKa1oj`u_<JUqO9Zz7qLwfn`53R%Cl;?
z2tHdNp{n63dCE{+bcKuPvqZa98yQR^I-;&53clJX!JFYCJS{<U)<z!PjH5+c5?OC;
z6k5GON;aZ-Yef6k7f0Ai5|zyojkhIeZ%ec;OHeOMG~cz6y?P`6??MLlLXP%A7WYD)
z<AqG>g<Q)E+0qO7o);#TEcki0XJ-(;g1d}nRn~*aj~_~W_WPI7Q^{Yi^YJ8zJ>{11
zO55pgxH|(UE;F;9RIGgIN|KVVSy<AXE00#Hdgumj@)Vl9behxJgcUc7Tb(Wc8L}VM
zaMU~%(6@7igX$^+{?CEEtSb&WU0KA}9ngO?!$Eo01{Uv&J*gRv+S3-(BTZVFT=?_7
z@W%7ghn`fs%($7oR%m+r$?qK-9JV@Ty`2=RSvd7dSn%r!x1>(4o4Ug<>-EY~uiWO8
z-70xgZmDI>Ub@Y7Q?zQ{<XdG~)=RbpZ`!T$Zd#Q2R@<Q4+Ba*3zI(rA*xDRqt#b3Q
zP`}6942ft-)Cgr1FXWtF$QoYAd%cj^ypVf)A$xft|M!m!{2w{`KeG6L<T?M5De&PO
zZ-RyQ!^UU()6ZFk%b)n!$Y!#L(|d!+*@!l=ElHNQHZZ-7=nReM<y&(wYR%!Qk|gF`
z8w6)>kc{3SdV7Pc^#<YH8>H{vXx@9H{jWtsvqj5ci>AdEZI3M)CtI{$wrJjL(f)Zy
zL-&rB(>t10?`V6yqjC0**4sOpckgKbUD429(Q>?^X?aE4^NPk53g2WF2{uPyWSX#m
z1wZv47M+XbG&}zKYC`dnxXBf^H)PhEH$?^`HHn;U4kg<h47NF3yvJcm<$gDCRr=Yk
zmjzrBJ8E5;(8rUa#C`LGqu!?p{Y)Z@IEn*$gr+Rwc{-z;D`XMZX9M}G5e-s0F1oKa
zaD0vEK@NvaOQ&gXetT=m%`9z|ZQ7gPhJDJIdL_(zoAV>7m200~v|71#dd~7Ayg{!Y
zU36QSJLyeYXY`V7Q{8Vb*%rIWTIJo88B$5-Hf|BvCPUq#=EWhmoI`0j2g7m>m%TaQ
z_vTPuNizSpB>8WT8TcLv@IB_}dnD2Kn8ojr2#!)R%wvPs>=)Bs&nWq6?b&w3=V!L(
zwIdEwb?ZG(lGXn4Uh9|DtrD$YxbceA3RADOo}0W?^rl7`Z%qx#o|v=x$kU+g#oMTn
zGji`mY~v-Wz)WVoI<xRujIDLwW6tM=uq<=@W1h{qH6Ongrp4xa?6Ewz=A&-b_N8U+
zo61%1O}<r|#lPfRz@~l`yJ@$Cv;3ES^VxJ>b>D=jD?c1eqyN1V(ycG=oG77PKe=<U
znEqCllWJZ*lcJ2aimY7fW#*f->x$8eR4-lcO*e&#mrV24_0Re_Nq0ho##W`Q;w51^
zH-$Qv25C#5|1C^@8*bT0##j4yAK!81f5FQCC!c+N+$@07?f|ED=81b^9}<%aaMYC+
zJJOY<3_G4W^h;-#MejMn(%C6ASw+p;XW|U2Yj56|RL;>ebWU1!M5ptnP_fE0uQQWp
z=x=mLT6jdMvsgvUTW4Z~)<&PCojQf<Y}QF+^R4l^wu>u#XU<+&ArNzF-c<WXbEJ=z
z9VOUc*_^0z^6fuW@1o5rxI0D2CBT(}ZwgpT6MR+hzk<GA!FTnCC%J7<A**?dXv4`L
zWWUc*yk@ObV&B%QLe_3Gl=FDEZVif7zxh?jdg->tsOeYCyvimYIU97_DR=diZJuSj
zkH`ky_RO7rrObO<^dq^IZ<lWK&-$%e?eNHPrR<`zh%9%N>X1i<D`l6LIc2G<R(n0l
zTse1PSy<L{mFl=htSe)elzC=NSFLt^6uL5YaanAZxk`2LBi+u;?z1-QRH+<Sq(=K4
z;Xz6psq!bEHvHMB@UHY58_k+x#FdXnUN=6o*Z-jA-Id@;rS3U$N}TfSGdpJHB(^Fl
zS+Gl^e%?-#S-MSxTE<C#Za$kUVAx^$T<+1t1{W1YNlgz|sY??ZeNq&qEl;@0eF|)F
z5=rFi3Tz6RvQVZtu+b|dQSMnkXV}V#@8+NQI_pl1|MVG(+iw^v?~Iy!`~A&HXD80k
z-fENeGe~<v&cY)~E6*+r)7dI?a+;UU<S5InJA+nF$XR+sYvtL;r-~u1SC9I&U#@#T
zSL*zFY5$BWj9~$yT9>Z^jvXbs6S1&{cZz+xjr^&PZ7U4=%*k%sC%%c^d2*je-umm_
zF*@cqr4f~vh5DVp={hsBf8uQ_e7d_p^Nvob=MEkJq~aZsi&L*eExD$3^QqA7CEJ>!
zc3%neDw}%bYS8Ni+uX9URd@S6s#;kZbX#Go-pRZvJ3O;yugslzE9_+6<Q=hD)+^pl
zxutjV-LxCJTWzm=^U9r{^4;4;VQcdhKF_xsQu;k?w6-Q+>GOWulH%`Wqq_C-m2)0%
z*QA{Hw9((nowFU%)Cv=SE{Cn@_Tj|*@?e{T!S(lAo6dZkD|}>Q2mJ>Y9^e?rd7$_1
zp`9EH>#YCcdC3<4o|KhszjNrGa^d<rukNMy*{_tH@W!#TeM#93nff4Wt((b0{oZd|
zEdMPj^V+mrb>75Vk;Q*J-|k7#_t>Mcm583C)S=y4Bv%oTbdJ$W%kfySOSisghwve>
zgBTs{k1vg-$u9vg8l&(=`HrKzFXh5U1fA=re=63T?#;S0WP`Wnbg4%{ih822f}CTu
z&MZ9?n>E9GXKdCE@7{uy*JHAlc=tXscKUbl=&g-=!V{=GGzlsDE^lni`dsndeb1tg
zdz3Jmrw4vGl&atP%dgY!KL@3U|IqQ-{@b<v+k35xc0XEoXVDJzkNfVNsxWAZMDEh8
zFFXNinYhZ}E9cH-@7dT}@}J$zD*mQE+K?e6r9DuTduTZCf#$r2mT?MQ&iUK^@EJ$d
zHA*TQhSjx8y6eVeaZd7`GDBr+N|t7j_tczOM@m+v2ArM}H7#Yc>N5}B=~45pd{T{8
z*cg!IdgYnN+K5f6Lf)N@Srg0hzdio*H2QXu33S+tdetV8V>=)3mVA4mP-=1|_VhB_
zW6`&X99D+J9i$H>5}%xgH<a7iR;K@Z$Ghjw^F7h~9YPqooxokLK{0w+;;^7f<NDA3
z9ouTaBS$gmcKk;9-1<#tq@AYx*^#>K&kj|q8GG9>dz+y4;hltg)1I)K{4}a+JmM7T
zCb-oyNPAjN=aHb5Qv<c9--<aI=CNi<l<3yVpxOF2vpTt#ggNIfypp9FtzJ0s%Bz*S
z)1sPFq*cv4b2(q{!r77D)Wf@}M^CbL@e$umJ!h|M^T=JDvfcBJ-d5QwWnQ_{Q_8*H
zOuHqQRlRhZ|EAxnauaSjPOF5B>-7AarkK{hy-0VLOm4(M)6Cxj#-~mxru_>Q3g<Um
zToMqiymjlOSoOlaSFBd%PKcVGV(xWEWh+ruWVJ6Ti`e9@A~*GxVb<}bWlo#aRp(8*
zm6?^kq%3UHbCr41Z?R^HFD>)jG+i}r;;qnaXYmd(7P8u-mVBI?0qb|yKM4}l+gG{r
zqMqrorAo0`YrK1lSANtpJ-AeD*A<oNk3N|nSjyCVWhTS+pD$lTo_j;|*dW)FP(>-j
z4*cZ;di!Uw6n8*#>k8Lc1$WO4ziaQYTS}vLm?wR#Ry=k7?WMZlZ&S3M@?H3Mu316W
zVyW$Xb}x2oey1-JG=rD_V%_Pye8-s-4dL(!)@Nq6SWiw&TXg5}*6LF!mrV|R(faQ3
zch={cDW7ee_P?{ae(tkj)t1jTmn!Z2`b+2am(TORA{=4%*uO~3_~y(#4}I>HguQ4#
zx9R@1eBa93OO{()EuV9;f6lA-FY2F`{9kAM(>DFn?{g`uE}O*q7q`uLc*gqj%ssC|
z?q7dzsr|iirsvN3HTEU*{_CInY=7bZt;&D-bDr0)_#giK=l;@p^-Jm>+5A_2{!{+-
z|Ff0<)X#mkzg+*y=6~_?pYbpL->v-je$I3ItMxB!{(nE`_21|JRgwDZBH<1HUTf;j
z{MS48pFE5CNrmtA7wXfi|1DnocYfKg?aQ9q&zkq&yFRD--{!SvH(mapQuS~1%bza)
z?|iGt%HF@>^8chN53TYk(dWy&!x!|Gd0Pe?x8P^`l5SHxdrQ&rouzx08y>to<3**w
zYqPm(>+hV*oBQac&sUQrm2xVc%TH>Tw?(H{S#7rAy*ZEl<)zF|r{=!CRyprnjlVM^
ze_Nr`nQxZ^>x9$U{eOlB#~yr_VZZr#^IgNVSK@E_ww`~Svg!_Joz=bbJ##b+J(D&a
z5$Rl6m)7~R&gyLbsr_6f=^jSGNz;zxWS;nX+49l^^^-N7tU}yN6Z_`teVYBLY{Bk`
zNvcBLOGDl*KmBLf9?2K;v`=y^+9_~-YF%*9-(_qpyI-I1;pHeXH{dPP-**1h(&~vv
zmI_Vx$eDCQ!+HIe%er;J|J*lJPo0~&R^+<J9fgg-V%rb<msg5yzx?gp#H#H_?h2KA
z+9(w6KT<37{nVjzKVK@>1^=_WAZvU_#sAmLt+uMog-3X<Z#;bVb^r9FrXxol7IpAk
z*F3y@&oddN!?x~h{7t2|3XIDej9rcu%zgRt^rtUNz2nQy@4NHR>ip&D?_ZvtxUXqm
zt?S{hGXC)!m#+`_<yG}<D)05D^Y-nWw=d}B>K8Y|O=He~{&M#Fmr$?x()0UfJ*--|
zeEqe{+Z}$rs`_@7|9ZD=E$_U2u9vRg*qnc|VDFX7*WbL%p0qF3_IFhK>!*J4Gc5gM
ztWKq#o4j()l{B&Po+A;Rg?gJmKk5wr>vX46Z|;LfwNr&PJcACtOR@HyxUy*Z&XQH9
zWsbZwN^hHMe0r&I?6Z(3E)yF;0|>$MGK*SwaK~8P=Znv1*WGm4vh0V@biLA>!TZjn
z#wYK5?zoXB>(i;&hnJ?#JE!G;*yeomPEdM?eS3-9X0GYHw$^#<pO;>KGIjU4rQ(%V
zuWfm|ZTYjn0vDIgw)v?#kKNmrUuwx^o6vWudUqd5ZoYbX!=29yBCUMmc82dL*{#0+
z(&vP!&mCu%%vyfx?!!yjF~Lr%`>$QzaPD)$R<PL1OVT!TW9PN?&SQVQ6lBg>y}d^-
z@4R|>!`aUXlR--M9$s2rWmR4&RaPyRGu`jA$&tOsm+n3rc#!YjYop^18>;8e{8Y1l
zuH1yoj^7i!<x6IA&ldPDINdHsUat7%;%^T*r`zSp&ntR4`P)Ou>2|sD^NL??{`T;V
zpjB4OXM?nszHR)E-CjieHb`vg+s;4P?S)IF#JQ7`UaVjI=j_QzS$>T5#dfT_F8?{J
z?KO3Vl3`@hv?D&9l0ujNp8a<6({;{ICKvC^{5@wd$MT=mzW80wHBRZ+tqb4ye9><`
zzgx-dIpx>3y!Sb0#aDR#<Mo^WK52Eo-Sad^cXEW*;%PU$rasY94f9A{7gC}A<+|&n
zdCHmT8g?)KlqT*kIbS#>vd(dR()pv`V-r?XZ`{AmY<0HXHmNr<-b?qnBuzfz*11+F
zT19X24V~_suh+kBI&svfAzk69L)FCTPUj~&osqioRr7mH<38@Gl3BH99;_+6e{)W$
z?4!8H>!(}qPG38>yg9^Lb=~wGevi^RXRG8*x$#tg`R4uaCM?hXbJn}KEs$q%_;>H`
zGOjaI*S`vVv-8Ei?#bV#GH<iazE!T4E_?S`4flmW#&bW@%t9vBG!@FQ&sY}HsVOvB
zHO=$Plo^VKwhn#I80N}8kU3k{QnYfKr_R&}rHx)mOOJ4M7Bin&9U-z{HS-zPkiD`C
zJiB^@rhDe_8SLI_>Y&d*<59kSPGvOThWFLlHvCabZa;Cpqs?4W-SC-h$Eh<1O1942
zwA1R%XH%olccqL~xeYrDqVzwPd)-l3oWpY=mN8guX8(n=j8&6scbGcla~i}qHQl}a
zCgS$nl6KkR@P>Pu$?v9J+@<=C(?Gu>zTuws(!Y~z6h0<2Sl;wwec_yzJ<GP}R?m@V
z9a5REo-cX5=7_OSvZ}nYVbr5H6VraYnP^qGWT)Gi=_$87id&ZSn>4rX;C6r2H1S-i
z!$i-(S;@<frky(O_|hXbDf(2-$~%6~Z%sQjao3@3AvZ7CSkKLm7VEikb=%To)5LCN
zuHCZXvHPoC6Vt4(obJ+_cEjLOPWw~k(#IaVWpAZi{rP9=FR#wGLg5}U+J(JG_&Qg+
z&&p2@WUTUYm|$(~pq~^ZyCA&xArH@o>z`*{TO_#lg^ci%JkASW7fYBs{GaOmf3A1^
zn@jfJpU;+(m+^2^`((iWbVhq?M9<QQcV^RTI%`&Qo1}{#FAiF;`B7$L+R`9Uyz!VE
z597PPmuc?%fSt>xX3m@Y>~?0OkMkYZ7}MEd_V;e2wj_0`Hm2R5HcQQ{((-!lr6YZl
zLN@F^<fr|1i`TMKw)0<ab@&{+D(YCI!bZ18PLbQK*6n;_)BR@4JwNf=nay*}ZXMNs
z*ky6y4hv_Q{#23YYkPM1By}GNlib^Tdcy(D{8`~U4s9{YoxZYY{Uauw3o!=kr~TvW
z%vJ%-^tiov!gVTd0`H`ElXe(h$eG|<*1L`6)!DM<oUPegd~a>@z9X37H$AqoYPro_
z-Hp+Y<T|TW-%Vzf<GAws>D9tjUcV+B0ZsbYXg!wYzj8d~^1KO8JUf@G%$wG>x$&3J
z0?Rk&J&m%abZ&N^*?Oes(#6GdCaZ<zv3=CN<@Y#M>eV@krNVAEjg>Dyu{cna+9A_<
zQ)sh_n3v9k8Ty9#QzDyR1@20jQrW&@YRXZK%@?&&Hwb)R6u2u-<oB^Rg_9R;iC7j>
z*?-A*@tdz-CIx=8EnoTEv6(0B6G%{Z-nlUU!$s$t(_WfXuG{1OvdsUvm;Yg{^UY>Z
z0n>Tsw)!>S>EXB(==iK>@?lM@6F1KrI;K@0V|{r;VYxs)H)oIs*QL&F6LPpU9iKhc
zG51)`cJg@Sypx+JcE8zj!H@fmN&K>!Bdjw+btXpW6#7kwyn9JuuCjk|^O<L*ZI6BU
zF5N6UH?MWxV~x#Xk+xm6;fww|rB3sa-&}ER_y0BLetmi;xa4%xoc`@y3bxnn)^J^#
z`t9K#1>5s>F#=0gpDoB)CN;BdM|SfUr|4LbC9iX~-V2j%`toRj=H#>A@=P>$O=Eef
zHrXg&d|P(pguHDl#b!szEs0KLvtw5|x_jT6cc&EY=6!#l6&<15YU;S_u-LU*hpaS9
z*j|3!w&9@IuBJ0~_a&E@Tm7z?esc5LZ?|5`S-;(O`L5AZS%nih+nI&Fd*2aHDLZsf
zVXyPNcRWVB4@v5^Jp58-oqpa4RDyQr!u_+>F74x*^8b-@zw#E!^Id9x!)Bipb@RdT
zrZ0iJ<^5S+KK}M_r9$l`I~(C8{@(c;ey^FU{;IV09G~&;QgzYKyZWZ>zOiTW&5%E@
zt<Kq`)C#K{y}t4K*(jC$>n6vQm-%$*F7Z<QTRJz5TXNe3&DJ;9OQ-2Rx#9Hg^|yK6
z8~XUn^4ZUwoi!ujx1Z3_<^);k9J7v?2+i`E4SpZKs8;=Yv_yYpdc4;Lzpr1GR{ol_
zRDW-JeA<R{pT4A4|1w&lzdSuYZo|3nUqmZ@6)j!=AvJ!*ZlwtEO1<;iOAkEXnpu2)
zdU{OB&79B;H<xb}68Bm&afiYUnfu<&rE@=T-F5Ta_B(#jZ?_!vn|||S*r_|9G9`!G
z>~?MGhB(nTbB@U_n|kF|!ro)cuH6ht-w>A{ES-~;yy4xZmA;dL-rYS|wK_(v^mgm}
z?cDd(xovblPSE+5vB6I0jZE$F=C6j53O8yG_0?)c%-@ncf7{B<2Y>avwMt(eC>Z=i
zqo?n}X5~2>laxz(QhrV`4G!WIw6Z%SseN(TB;}GxzDsX}M78+!7OZ2vq<rSzi4BKS
zZe>n0VcWg=EzeFttK(-Jw9apPayzqeuGp>2iLvJ&aoDOK`q>qj<h``)ijnoJI~=ic
z59hFoZVS=898ezHvaV}_v-*X-8i#UDx^?mjiF>b^enY2l<q=h(*-B@sy^CAc^%|^S
zdyXfw{h^S8lm46O?<~DKBFlW&C!KfQpEKor-qOWW?kJdQ%dedyE||Q0W7;XRzTmXR
zkDqjo%w18^WMs^;Yx0r_Ik$tCDBhTxwLG(|jc4iQw>&eAoTtrIylwXEM&`P?it#UP
zteqRr1Z1RZZ0aq3v*pIQfK?@(a~JxgX>2z>v$a>WEK2jT;ybIQtEbGF*zMD%dv!&M
zv}cUY#<---kY;Ysrs^r{rY<kt<d7~=)_;ln_tNUVMQ1i;SBX~Z?Rx)cN$tUgx94Vk
zn|m_yxIlULrPC>!Ke#2QrR}=ocl*qhnrWvmy#1teWUtrDsby_fw{1M^cm0OswNpH=
z-|)=!TEE>mXG3pn*{+M{Hh8Ap$VtDk>Wi55VYAyi?)sJAkSsgJv-=ItU9b1sc9->w
ze7p6n_R@jf)8@);FqR4WwP5bU4QC3DoGZ9uCb#+W<FdBNUh_8?&zU6YcU$t@jboe3
zs?MD=h<>f{_Kd*Vvpj~+-XHNve)|6Co|!i@_xQB$Fy7*Kp=e9$ES}#6;j{NnQ8tWD
zns&sglU3-m>a)yZ0g<e^dj7^KjpqzvinHc^tBpGMT+u44!*+h;ZpBSy&TnqbTp4L?
z-8)_L`DcN-3IEE@@pu|JZ<?!k)NI+U%vnCpcYivU{1W)RF(RR8&w@vaX{OIk{Bbo4
z*VtLqnJVP1I*rFrzRT44ON_DChU$f1iq~c>?|!r8oL~0a3SXo0#YfmWyW3Bg&rsY|
z+3`~$d%JPT4aqR?%T=AP+h*LBy507|@BFI#?XkO;?(=@+*J-WtZt{-sM{=EaH|?l(
z{&G$6S!v$p?qaq_%%vUo9xc64yhLC#+w9*{s@FN(Z#~5`y9Uwpva@q}UvBn^)B3&S
z%6Zc&ydHUWZg!t7q55%7$~iv6^=02OYJKL($tT6lGVV!|sNDi-P5$-(CDHG(bGFFK
zZMYkGd7p9RHN&(meR8&`MGLIM#ANKFj-R~DQaQ^cO8wO3l*(CFQOi$U-f}UG`)U8R
zmy1)mMSIGoMe961KQTgOV?ff*BSxJ|g}hS^e7b1mKkr$;+3NQ^%Hf9;t+bBJ>Cw@#
zI<n<h+lv_jpH2McskOYQsGKFCH2tBXmFAHc$&Hf~tyGWvS!$?zq-T!a+nI`1&hyed
z&rF-4ymXJiTy6i)s$w2GQzBG&k1AI6+2~G$%vK)Rc_~bCk+##BwG*{G&rP<7_u_Uc
z*=n<P;*Nl%wMTXdZ2t9Cu_~~mcyqcTr*ZCv%Wl@WlQKK1GdtpvqHe~VRXbWTbMCeq
znX7%K?$&kDpPswLNo<pm%l6xSp=G;n@$FJ`DR1$acso8jH*;?6yQ<%NF8i6^IB4GX
zLa%b?Y^O7?Z|D?u>tsZC+|!C}eD_u{ZU4Is=gYh<uM^npyUY2@Jb~Y?N%<Ym*EcS6
zd|y0I>wBX>?S){gCzTuGtN5y``r2M3D+V9)c)4Tw^NufbK75(Ob#b|^ZMu!E`qJlX
zmaAv=%(FfA&82^%?eit-$0}FdDNHW;BeDA&=e&704As=CswN$OA>yxj^|OL!)g>ES
z$>fq{>2q}a{davysjezI{=&vz^ZsWA_bRSA%Rem?UA5RL{@<*le;T?od;U!5?$`Zo
z<F{;%$wI4n?Q=|E6U9<lVsqtIU3PkUU1!pnDKk_Jqos<ROZ!ZocpAkgH6C%woFTlb
z8!QW&E?)X6AXZFkf7-+p*A;(esfL8N-8w(b%IMkNC62GURj+x+s6dKH6|++ZvYkt_
zSL`(0;W$a#`Rv5)UToZ@?>Vl<&SQPEh5Lqb?OmaA?>nj=8;<-H`tEs0o7+Ni6@UAz
z^QVH#8j6E#v<=lR9eLsLIV(uHG-pX{#H^oprT0b#od<OVVw2__iRrWy+N^x`&?=?U
zz$KNY+$xb`nQ^{5d6wPbRxb5ja&yntpf!_scsz@iSt+gbcHvctO#azb#@q8I?R@+F
z$I^+rZeHGg=JIxzUr}-2Sa&XV`ua(pb?0N}Qt8QC0`@j}`mM0DP&*MTvWnkOGkLec
zN}j9Q&dqn$F07h=I{v+k^pkg7SJh9-@Lk<qDJH%0w9-t?p!W|VeV3ej99g?0@4sw)
ztn{<>-OhhYANKz#wOkc=@bfN@`q%>k-b$siy4;mk^Do7C{nT)rSov?Uf#{ogwju8P
zbASAeoj7CRw@_DJ)Ad@{{;c}=d$+oC>7ju0cZL1q=X_E9`o>#wRj_Ss=Dd9=FTLO2
zoNgJCUioX2ZLQ>`>6bU_=dXa*=Nr=R&sn(Z#^vxwFSRG_yEL!%sq@zu|M=GB>wSJ{
zRew7xz3O@OuT{3Sp_jHlNR98Pei#sId}Zh7(Ckmo_+y<*?*<gFyFU3rjfwG2=_My-
znqS#-Ute<7Y!$&baaqgHmhC#@7yV|-)xKM1A^P%GsaYGUTXnb0)>K}<<#K4*uBc@-
zPP|uTo#*b?TeEfZn$4HL8u1q9ORTbfd#e0o%&EPZEAClbS@Z4L!nCd1Z!FE1UbWq;
z3N)>LC$oEZ`>WVV@22f=e-xK_Gd-G*=jw0O+JHxjo$ab}6OS$4_VGtRZO)3y&DM%9
zCr{!loG-V^pSRTR-Fc5LeXp{rbAF4DSt+~pU)_9smSEDcS%OKvv(5_IhaLB156?<I
zTO_Qi)@%BnQ8T%8la24x8ES^H&(3f7oZfqNX7XIq6VnQt?pg+Ml|8@pyt0g!>)5M9
z8^8IByC%QwJ!K#(nr2s4!QaJaeE7IW53lj*e*VjaiOZ%aoOJ2*OnesL$$9x?;<-GZ
zsrSV{^JOl7nRe>fNuJA++f+|a+w|7t__imlFJo>`%J*h1i^_<8*78#6c2JJDYFSiP
z^r^!oGjnHVdyAGuWkx@1eW`WZ=>D`-Z%Z0;BPEt)=XkHxy?XPd((O$aKG$zgV~ft(
za#=0&;adwI{fWNUWYWy88FlI=re*8#UtSxOHTelw=i8tt(PiOYnOjw?Rn|?sw98;`
znAdC7o0^5qQ_R|4>S^XqdIDPZv_;n^f9j<&&vz4c7@v8w^KN2Q_>AJi>#Wa2{<KXy
zb?QC$<?6#{40qX|kx6D>vgS_vp)Zb-4>lZ87V1A$)YtwpdD9%>Nj91vr%XB5^73+!
zmG;SEp~c5$hIYs;Pwy|@mVCw{T{P+Jl;?#;yoKsLmp?w9^S!}kwwK)U$v$20L}qFq
znQP{^{1)S-w+xcoth48wlX?D!)$sPVCpUubS<KD$TJq^_4C}TK6F>1=mt>-~rmZZR
z-gjB`@tI?q(&=V?J9$>!5q<n6#xvY&*QsUC`!9c1iPe3{YPPpv&bo;uYnQxMIeWdW
zu<D}4^G&rIV{<@V#LUTa^)_bTvhma3db?nz?$#Tbt8+7@mruW)yW@~v##4*CdYYC$
z50{qgu>3jqd&`W1-<3kID+NUJzRf)O*-doa<3n>peGL9jI`Brq+IQ3C6l>p!^_d6m
zab92fFfIAhGF9c&6CRNdt%9v~xJ)YPI})S$to^l3w9bSQ@G6@nOI2dTZ%+&IobIVJ
z-6A~6bw^B9>+4em#VqHz&84gN&0QJQW%{tH@r&M+2yNZcZK)Ht=<r?t8k95PhQ>xu
zsp&V4Eq$2QJFWHgvx4VlmE9sY*vodsEIYMVDQ0`??DHqfq9ZbwuYOaqRd%DZTa5I&
z>o?Z!J!iIN`(?k|I~>E7d*3o|eck);-0}ly!D+jW`fb1U(rl*gk-f?>?|J46{rdZU
zdRgo3xqEMaPT0+pGp}vO_m<atAKKQv-E#i&miwO<MDOyoJ5p;9Bme4V?TyPNM?ODj
zD4AW;@jGIN-M!7fTb93E^88@nOS4M5tixYt&bym#>-*e>-_LXV$@U%T{nsx(t}Z#=
z^IhilJodoHzS~b0=k#CawB0Ri>+3m>z3@`*r<yOl*H_x^zI^QV`AdmbSu>u89XBXu
zILdd~@bHtcb{k)bWsjd`J~A-&`(!ZJ<jl?EnxFcMerT8m&s%;obJyH7kGa9=GtQZ8
zzA!7-+p=s{cKeCV=Rd#IX+2zW(BOIO<`c`h+Fx28p0mAA=yq(@@>C<<!t=7rPWy5f
zhu&z^e!Jz=wlng}p7U<5@04G*`t9M?!zI175#~PEZ?%6t{6(p9#U0-N`Q`CUvYwOX
zE!FZ|`fAp@FfZdtb(tk+Z%lf+Y>BM?4V}2JKMu{=7Wu~@q4<qda)P{BT=M3)&lL-P
z?|TnHyG!ri4ce}fJeysD`P*ZI53VULFIR;4UVCu#_Y3p*n)*K<kIGM+IC190sWYYg
zUwukxzWMkh+rsmctBxvs>1JbN;pdVQs+AVw?XSAjA<<%}C@F5ExMZ@D{ZYwoKmS?Z
zMEW1QUYw&5^<hb6;1-RpX)YJL)OES9uX<p5@U_#Xm!8r|8rxlNuV=~ce)q2GQeVMa
z&U@lD3O@o|tiLT$7JTa>GPjHEyvvsB^Gfu(?s4oBnB;vhzxB(h$@e%<Zq?W&C?mY6
zXw3tQV=E>JIDLF$tQX?>M2}z9vtZK$k%KE2c~x;N{iqeOv~5Fh@-l&xk2fq{wr|)w
zSNPDkvN(>XZ7af;)CBG~XyJ01?7GPOXp=X~bS^#NP%WnwHZHQuye>veeUPQNl6Rrh
z!&SmtU$dHX<cVB*CXgj`Ni6V+)(+o--4FQAMX<L8EPqkFUE`JD9qk?d1^ZVRNjNe8
zVYWPz9%!Od;j+c<)aN(4Uzl3Ere3HQPW*S|jo;5d%^Kf+PL9+JUExzF5UOY2v1)=F
zXSmV+$dy4WqO`J?EC`dD8guf`4lk`M3R8Q7E~xZctxKtkF%r$1ddf^QW4fC$Nb$>%
z72aCAmo9LZl8rg}CvB<!!n&0*6Pg?|zZ9u{o;@|O)on>p2-mSChDWBJ$q!Q#nKk8<
zrsj-k$1FgaXNG3@X+2+>;3#Db(wyqKFm+|j#HP?pog&rG`<K7b-m<VmzL)PoqP^7I
z51*3f$$k7XVfp&Xs&ki(=grf4!~SzdK-J--2OPYi$|`M}F0srz_SvLw`AQq#hnYtH
zlbs^#FFR}MPFY)Pw8MDv^2j|J>Qgp3-;^?$ZvA=3W`!xAc5a?v-MwS8%9JkWo7oeV
z-ibVRxoBS4hb=}^WUpRb7$Umr+}l|JrGD4B)(OYx?`YiYn#r~FSislq=hEIKiC;+6
zm-?C{9w1*j{geLeV|n{?4t=`XeQfWQ&&O3hD_`0o?pwL?eZskljdo`@Wu21IKX&hP
zl5NbFMXc`*oQ(aj>4E6M)lJu3?(phIr58TDCE^<06wi52>{6`$t;D@e+5S8D_GH9=
zc`)Jos}tS4FFM6#KflrRKfmWkK95g_@I`6;D&1ExA0Bzn+xPUPb=?13-Rmm8wJkT4
z-)J&zd7=Eqh>!9cBhpkqDtrCtc>7N!ZpInzV3)*O?o&?PeQ9&{L8jXDl)_HWPQwck
zD?B}oE?8(>b`V}r!#r0@ZRr(<^aWbXbDLiUv~fAJ-7=mvMU^>LsXT3g^An*xFF1CF
zyir=MUAp-`8}GUhu~%MO9k`R9Ox_!_Qeu;i{;Nh6!JBC!R~M|{Six{=;`(IU4~yJ9
zwl%2j;0g>msj}g9V9X=e*=sm7QUx2r7O))D-n&BP9qR(Ab!H2MOkeHEkXy&KfMXro
zj#+y(#BUlZ@NL}O=p*u!F_Ys;qi5G58wFO^$O83-;=n7fUA-?BEY=WPSEA6*+bgbm
zcx%rhmmNkAq`oi8>N!6}+_XV#PsXioTNaP+%S`gi7(Vb%HrlJ{T(fw}Ti?h-XTC0z
z$(uFRDPQYD-w(&`pf|p*_g<+#Sb8sn>3(qPrP-(UC_mCZ^Cn?tl6>Xv!t|--E>CNp
zZ!$ky;r=Jw;;v1`G;7-ozYlvJupaDgn$B{bqiowfuJpQy>I<t1)^Wy(?@_D>{xI!9
zc++;)_Z;^`_o!FIe`tK*e9*nApY{LAZU0;R_9(Y$olUZsr?vcE$un8Qw9ge6EX6;a
zsrGtvyyT&7-0H61Gh}yr+W0=nWb%JpIqTTvka^2KnEXsGlk)sMBgrnUz52~@oh4Go
zdJIY)|GiLa-qpIb_U!LPSHB#7w&TyMz@4`)w@<xd_lPHDNol~QiDrdP9=|w_3kPH!
zdB|4YHgTJyrJI$*OX&om8_b&)TCgv7n9F*E*>i%J<Vt~%yd^wS{3>Qnky1W;=uG?e
ziyk`-${U3r2<%`C`k9q;ed@KG`z-G|`{(riNcU;Cv3>B;&i{Nx)v3$E^W=7K+Gtz6
zRCeCo(p2R&ZHCG`xwm(|cQf4lEdH+cp4%X|)w~Xby3H<dong@{_jL0`d4GPdG3i^r
z(WV!iX)9)(xZLtR^6|;{mqqz2&hB0SGHCDS;`_ZxX}SCNhF5HV*?zY0=hMYSTbJ`|
zpR{|n$3OG^=H>M}->(kkzMtO6<+5(dbFYeboJCXq*0~5)KcD{ls@06SJ9>6LVruuE
zY`;$JpPH(mZB^4tHe=4)OvapPo3!^f&*U;bKUK=MFq1i|u`EHTRK1}vfoD=u%<6<|
zhjb3cwBKadERuULr|sqwFXN1DyqnbyO?6ZD@v>fLnvuYk#;#_2CUwT#btbQ0dx<;r
z$?I7c^d?j-e#O!!uvz=<@0n9PV?HO$J2>aiRe`igH<$SAzND4(ec`ED+OdmIiScoX
zoLl%o)J*7^)|p%rpBL>vZDo`m=S37g7HITx<lmq(qjd4V?;GR_7E8vw54-b1YNx6B
zE!Mq7i=8jnsc2XiE<VHW<8_KXME-$S>;VM>b{}TrymeOu8y5a-SZDA~RQ`hLif_!j
z66XikIvB3F^^Z}tp~`N7$oKST>o@0{Jgaf4KdyY}u*AVU#vjk6-z4*H-t@q^`_t!M
z)t|M;H<>)!IqB!wQ>*ybdOqDHlH-xwsy*}mnw*~(v{&Al)+C#m11{rj<!?<t#jI&D
z<(M9*P`CWHP<W-y)F$IhnL<z%aNX{Uo0k5P2SHNLL52L&x%{!7Pq&KP@p!BYQr^kC
zc!$Bl9)95_mdqn9hN_>Vrz|n>;t3Xia<X7UYEG8K(w3F>zn8QJ&N47Qtl>Q4X5tjp
zGj|M5C0;E^d0MzlgEeuAzLHp1$I--)BQbAwh^*RVpe4GhXyGcJ#as(l39XuCuu5!I
z)WTK#i>(%B39MRXkR>uV<C#|ZQq`W=rbl-&nSu+1f;WiXVfn(Od_ZYg$~^1T9gjUq
zm-_@vwSL+lbw^YD$}_*zcNS@h-yZ3SZZ2jK=G)2n#`c2EJLiWnuG|a4XDnIZd}nD>
z+~ae?B~Se}FR+l;a##|(fKS5dX^Q-+uLn0iaOQpCWp2Q7hB^4n3di!>7x$KZn=8^)
zbHjAa$>~}(H%)uyp3<tlxpGZc?dFE+RaWs@&!7EeD!-EWd*{XJXFI&&wRQ)0NLwG=
zT9#D4w6R|9;v?^~`(EYceO?;&ueGK9(z%<9N`3{kYrOroR4?({ub_T|-?c0KXEg6K
zQ8<$rFD3MBg??|xf@Gx^E&9Ei-`id|@A=H>`10D!Q`7&xvs2!(x#{_i1I{zW_Z+yn
zNayFJML*I~B3oj=E){(kCA^9^K1y6Sm+QKA{4Jq%xesrBT`DVB*MIzw*1_q$XBX<r
zc67KqRX_4R?o_R{C4Ju?hVM&@_p#=?d~AK-t@k<L9#@^ZQta<bQ-A0^b#v+BOyBRb
zq%AmSM})<)*3ElowoZ9_)aRgN(`C!u+LaG;>YsfwX<vTx;Tr$Shf@wQFX2+;PIt8M
z5)*tUcBeNvaj(a&;2#@=zx967>f~E>qV9Hh=<n{yUKUT=yC-u^J`^hB=XxmgP|S_<
zsSiWs<Ua0u+y1w!pzxS>YHyHgzRMq>Kv_T2a?rB%qgzY2M{T|&;Me=`(^B4*tDo<B
zwtLsLf~?8A%2sAS+|{>x*SdmNVWn>_yi1O^+TgtV-~s#UjJhwI*}rykzs=X3r!l$C
z<ix&f2YMHF7##Obue3URIdh)g$C8Q5xozftPu`q#vR|#D>{9<*71JKc#Ed)VYR$W5
zZnZ62{~_-(|Ln(~F1kO>@|O<_`kfIN_9r8<hGp|9t>)`2>y3{c+;q@6|C0<~w*4(3
z(QT~-?jP2z*l=9?O*dD2U5SF04Clj*4}=3BP6@YoY`I3&_QfYju>|=CLCwZBHh#Bc
zAEZ9uN&oG_zsupH(xz4i-2mp73Kje_SgRDgKQAyo;T152Kiql)^A+a98t1+(2o|}n
zaGPZcGjorkKGS>Wpa$tsk8d~pRSHa*L>&yfylhw8XPz!{=T(!MZH9=+W5?tLmHbld
zx|J7LGGtR6Hy_~Iz?s5W>7Z@EKIO4eDAT%aGo~1C>5N)`(fYzt_N&e7Y*vVLUy88L
za?+CvmYUL%$>;TTaacf`{MN=#e>3E=?`+@qCg1bP27bmHjREJ*7i^y|IOFDVcFA%(
zsU82{9z6V>cZbd2z@5KV9y;vx%AL!iU0~{@Un<+?gt|@OIykjywMBBNQ;2TN)~44i
z*Nu<4WGY<icAK|Z<E)GCpV{2}OI<mlS+{p`z1`!~zT$%G6-})Y?!^8Fae)i_!(WJt
zvURe#yl>xe+F4S}MyX;7`v#52F3ERF!qqBzJOwMgIF_@X@3>LyviVW>#LBot$B+n-
zL#hW)FFK@kv1`SKW7=!Fxul(TXjXI^=Pm0j>$rPU)|g$7`+3uOi{0AOZO*Wsw{`5}
z>(uY)+Y!GuHSnI`UeV?P)u<g}HOdxhPH&T9SdJPAZ+*<F&f)h&h+nnSIL}@A$5frB
zAf+qn?wL+A^jaj9=4)DXZ+1P|xgaNubzMy06!le4x@#EIeH`z(6|#uBuGk+lox^eE
z1EYgaZ5Xbd5eyMrX!mTbkn7c^Y?sfaf+5;5Ul+}6<FM0i-R{W|t+XQYNS3H;Zqx17
zCB{uwN0yazF3LM-yT^Tp*vA{Si|UyLujp&>a6O#xATcnpC0ydEWtpYU_vK9WWnvnp
zcm7K~e0Q8X?b}J`e|rz@j<>P-bE@(5XSu1X<vncHsMz<e__|!`-&$AM^JYJSZO@y1
z%Gqph`Z0(5{I;LL+UK|Z-kklZ!M=2bd>T(znS13E!Fhb2OFEWMwwe3jrG@|TiUXeY
zub$sztiM(Z$}B6+Lo&<VYFK8O2g@uS+z*6b9sg<flase$)4YJw!jpqOw;Xd|_RkQy
z-o<t2f{x8X^ABrm7RJr_e7n&$!JJ8a2ge;RMUG9$2Q&r#vy?l(G4D|~>3CyQz`W$(
zUXJg-gkQOAJ8*t#+JV^zq!pxps<50%a*Qo>|JKs=$M@7rVK4r}t=vmbK1fsG?TRs;
z<=%gOUVvImtHT`5w-pOGj;d<dIez>pd@5~gql(D&#Ve#-In!dk&kHc0wKkP8a7*7J
zxi5O>=C9khwDVsHv)9Mv5+zD^=O;Nv{xdmH=lf}S)RhdwjnCPZGKL5pxc?}{-6fLY
zHt$o$HysDAwr_S_$+h5BgEjXxsh^ros*{6tC!ai;Tgz~jbp`(oX_pyp$2e}Q9NNkf
zuvr5Xt4jCvKFQ806y;jr_wbe29pxo^mF}zWh<B0aS<uMvaZ8K{Z?~4RlD*ca<VB}k
z7<$=Pq`&o4oGCOZ^PsVlmAJ#^L#Fx_jv<jEKUEK&o^wCAj5$Ns!RE@{WILY^iy6#X
z`75gqT&|rr@8g##%hhdc`5xG>XS-n8-FUjAL*ReY)MFn_rY$$L@qLyl<S$$~>qC=l
z@=AS;r>xbhrXQON>T9@0>T7&vt*)DWcCO`}nctg=b{fyO=H9XS1*_=31pd<Qots;h
zFSXHqocWWxOmt<9qp1Fs*nqE2vU9Qynl@##UN79H!K=UGUu)IFOc7V#rrE693+fhq
z*|%VuxFDxQx81XY5j-EavBz)NX{}I`e8>FM<%!~dLJ}9o$_RZFyTz;;nIS)g>rj-@
zT_^PgIox|0MI&Btg`_RCm}8x#JB24}QvlnF!%or`D@_=3FMNqy!S_t;Lf@N?)*?lz
z?H3qrG$YnNV_d#Lzad*e?x)WJiA|Z`eooewWnQm){_IA!Z}Uns6EfDMx15}Nis@)z
z*pd5p)7Hn%p4#QGYKneRxToV*lT$k<{VaUTvBYZSn(0k!nO6!xEuv)(S<_C5X=Y4y
zQw}%UADPL&gm2}WDNTBrTM9wa^Bi7HJ!Pl)V!E3-NLrF-iQ-C`X-$HeJYd}$9i*n8
z;?$fm<(LLYdM4iz$(Qq|9-CvbY{#V3v!|RCbWhFj4%(p8Te&W!Zu2H7kL*kP^?G?T
z*A%Fpj+(O8;TBJbf8%<ltHlS+lFyuzowr@1x^av23cD$`97}2w<ybE*H1KD788IWh
zu|(_4^~MstGu;kV%4fDWmS~<??NBAY;@`1SVUO#FdbS4jgql8HE24hJMO&4__@<ZV
zA)l>5T?UuePEkAKqn*lOeA_GX(3!14eFofXrsRi;FK-Ro)*Imv`EybG^9^%SdTKP&
zyMywU8m+eS5OoUD+|gy6SF|SNtje1b#jNX`>%;@4)?P^ntzVxd<a%~d+3KlLYhLx6
z<lZ^Du59uf*|f5)zxBeZtvZ$+@jhq#NcH(ng;}EODj!DiEMoom?#HBpwJaAmRXnc>
z-D9y=ts?eA+XLT^PG46m+!A^pli$5a_TX-(P4X_)i{3I{ybvfL%XNKY!CbbBodt6_
zufJBX5?^P2s8rHL{Lt619qXE2%q@uJ4);;H%MqTl<W*Pyk{upbryht+Q`MX_N%^SZ
zBq2?;npGx3$~VuX|NA~=kB3*c$n;$`Vbbc49(kDYc2)3Ab}net(0#u3bVbyu%ac6H
zwoG*o(px`6U2Da|GaT-gQmp05krDQr<VEhkZL8h9$7@=%)~4s*LR0&-l~;Q81(hz5
zm?M=L0*?M&9;>FGV$;l+;-(vJWFPip;uWQ-YlAMR^{RoQ`;wsN+@PDPtamSEJokEL
zuDy5S74@lqgA5dU<v`L#BA$nXER|RnUy^v@^{jr;8P`h^x8GZ2C|$i6CAR0t%)JYz
z%K6MZ_~ydlrMlkxq~{*H-<y{2_&CjUM$!9y(sR{ae_lHAEbG~Jjn;!9CE>9;uBw+b
z9)S3%2ScRRoO@Mdal>Uj&oZvOM@=WEUX6*A_Axyad;IQKo7@Mjz6Y;paLwMiyLI_m
zo7~5f`Vz9lC;7d5_bAiczq;bq>DIpouZXYt=VEx^ZnKT-qn8H$?oB^a^=G&>-#nFT
zGvn-WPQltq;(qLxMXsOwP%_Q?Sxd@1Z?WdgMsdB5B{P?6$L!E*$v(KoUHagbb5%#q
zMXh1jqxwTs{LvNFPu6n^Wx1E#O(=c3N56}YMV`w}=+fi_E50!QhUJT1__)}LPclFF
zWfp&Ddy!(79_xP5KYkxX(_@}Cy^JbRQ1j}Q(zfs`uu0!MNy61L@R7g2x_hVRB&FUK
z4Kp2`?66CVG;F<m{_tp?nBt}d%8@7g7RjtUGquSkGX<QVn>4hhoKn)%nC4~&lGf~9
zWVBLedJ|XX6ql9qwf!5XH{E>r<!_FJno~y19wi&iINo_eHo6sVAJ$Z?uCQx)<}#Hv
zaEr!0wK$<YI)Ahmor>aEyD5Cb!zl4}X9aF?1YVb0@08&^!&-5R?wP#;x1OqrJ(xG;
zX&dVf_0;A`Hk#s7UU}Y?-*t&W;Je886Ao+>xJ4MBI`CfLn8LCv>Er9JRNZ%r8~GN9
zOE4X4luQteV7|0BMw4BG<y1q~0>%v1T@7^!EGtZu<Sy`(Fzh`bV8C~Vq5FW;?<tZQ
zJjZ9rzhGl)u(-2EomukDGFc6#v<=hrT_QOHj?G*3Xqm$C$(L+=y*8@zvMCEq=*^Mb
zc3=2A>xn#OZAG=Ew<doIy!61+`AsXYzZBcnic1_T&Q5l7l%2Pw`SvtDe=CNsRhQ(<
z%ESx%8m~L#a+tAgbhBB>zj2xEO73|!Q&O6u8x?mvx7Cz=W^g9ee-=Y-#U+&t_C{vk
zQxnx?8_(IkWck8(R^b5W2euW?KYj@8YKZJrXn)|g<C4=0F2N$_7g8YtP8$oRwO`0~
zS<xtSMZ`&0V#-Vgv*zd<)q58HKP@2mv-7BPrfB}pZH0A<zO)CqYwSNQogf!e;r=80
z@Zp7Tj+m;{2;VV3ciC{BREGVL*utgF0{lnk-m7rmAe&hlZj;fom+#TzWi|`v7Y2*`
zvQ)0U>RUPg-@@IGygzpBe&ny|!K^8q$?;Uv?UUK0{)s0%<fSxkc*GlBn%?_m<;1!p
z9`*M;>MwfKAN8=$T(W+L=EE=ZChoiK`!o1S<`M(*r@uMOPue>i<@ox11=HP?t)jlZ
z9`zl!CjQg-s_?f^%H`Me44#+qOEZ_)yK)DtKlJN$Ns`H>`DMZX)M_t!)Sr$0X<gFx
z_P5mDw%eyY1mB&~zr^~6zvqjsnyTZ%?I(UnY6`JWbqYVhBJna=+16Y$lIyO5e9992
z8B=OnlQyP`DYquQU36i->80<pr+BqK+Ux5z*QrzEkE8Nl1I@Ess>+`)dB{t?)Kr`A
zwDQFN4HFj`d>8R@KbEyb-dNL_D^=;Uc+Z!;JAQ|1NYC7#vn1W^mtM>)kzbt3e<dex
zS`nak>qdZv+La}H0$0dS5%cm~@Fu`3E&pX(5Z4NeDM5~*3#SNnHFI~E?+DVGkZhpY
zyD+5VQeVI=^L_2bXPU&HN;zM?p{$~R>ImQb?}vQ8EegBy^?h}q_=_9ezZ}-@&0r~c
z>MFdTr9VS#$}d4(#dGF2SJzCBTUg&<k?W>)=;lKa3#KHyqNOHBYPqX<H}38Y;rq<f
zk(w{QC`0s{wFs}oO15XF6PUiUR<OPcR5m^2)3S@@UFwZ!2e#_d0zY^3`<$9G@z#S|
z43{3nF{wA74w%yscTRex^g7oCGp;76tKOKoVrSA4#%rQxR}WS`$lbCtb4g&z<_U9|
z#T8Dho2XVG@#Ud{4C}N8E}28iEn4^+ycaOKzuh>I&vCh%71Lblml9u&d8jY&R-W5*
zPx2*CN$!&3fFAR`Y)30EO9wQW$2Lsb^HONaBj5a+FAvVX*J!loCD)XvzSkGX<nLk>
zt+>ph<~GsXw<_7M>axG|%Y4a~@1<ToH~e9tAk=80Ag#gR)u^>VE`!mk(Jnz^218n-
zY8d<Nxo5Y@YOt<qs9M1Kg5_63;sU1R5N;F3w+{RU96gMe4=`=u%3(Y#aX?Of3Ul%S
zlMM`On4%BJ74Yo&mbiZV+XT4{`vqQj&3^Me#^=Fz!?qm<1kTM$3A&f`{J@)rpL~W1
z-wy9N<aw7zaNVrT_m{0JHr-!tB+_>&`15<|8{Afp?H2CuziZk&O{~%Mf#?SQISly?
z+6sC+m#?;06?n;gSm+kx<W{?a&*Z7I<_?RqzXOyqj<!E?m~A(ub5pFm7qjfo0HYUo
z+JzkQYgfpM2)pSnIASoX_~<#_#YVzbl5S5I^cbvSNNo*N)ll!*RWR`s|KduG?n5i2
zcV04xa#*L-Q#D~V-(uG%uMVvc-+9a+s;D;YU-cW^)_ET;T>q5a=l4&}`TgDR62A@?
za!#w?<+AVnh2y2p+pWG_b2<Gc)mwP&hI_LzM5iP!3SU#N7G>}-qw9d{X&2wb2;Ku{
zj01J{Y^|GNdg9q^4Z)jkGeXs@9JWdc%Qn68DPhSnxM;ZIq|5J)J6Ve~b3Cl4oG6`{
zF)wy$AmdKP5C-1GOc{4v)E8t5&ux0<Tf!D)7PwUZT$0+U&qpWro0<EVG(F2s$eWeH
zl%{z`SNQA!oyN+fMG|LL6bqX!cqT1u+N8J9azXhGktug)Xz+=&pO(?MI9<qL<-RE#
zr@kyz30SAE+I(t)^Wm!<(eJ!74Q3~V{Rk2}Bh}+!x9RM@5RTl{`d=5Emc7uL99*j@
zedV#Y<pR6-Q>?r{g5)wzO!qS2QQmjuwAr2MrzXt)w6y5LBK@xicRunKJ+bz8kbP0~
zzL3s4efqo#<qy0=Psskhq)_yHUr6trsrtN%;?jPs5`T{rcpa_SvasCq;g;@m+YbBi
zEf=1lYY=?srBs^s?S&6R8vR6DgLPM!%<*atU<h%4{Dj?yK{LlSwRCBz%xl(&Q*Rr@
z8<c%kt}2K+(5k_weNENlT7&Kbo*fL60&QhqFjO@pDhNO1ZuD9pmci1u=FOK0@7*ik
z@XGY;l^1=)6ZqEs=z=QySuCc%FPi<D%e0wQZic&q)uy#|CXAm2Je;?k?SHhP-l&mv
z$Gyb&{J#RN&&e;YyV9_7Z<E@wKN%9Ot9=@TgOpzN{=OJJgLB39HY?$}FI-DcYD9ip
zoOxlI{MiP+KN;7~U;gv?R0T_~Ys)^-`i~_}Hi2_RY*gp1@%ya4+W1l6n;4d}UG5t{
zJMB=Y2n>mMBs$Ht^(sfyL(zilQ{2~DYnr(W>a{AoLvHjf2w!xFZ~X>stv8$x_dQ@f
zXQ4kMv(MJt<g!h5UdA%kfQ2)~97WTjl6D{PxoPpyZ1zf)$L8%Hvkik)&#=x|o3^TH
z=ZR}vX{*|I@)Te2nJe*$)3~(p+1V?+QO3di8OMG0E=V^1+NgKt3V)ZP6oaa;?822L
zi{yMBbAOw}6{y$bckqnLsb))F<L(s_&vecNaRe-tYF(hVs3t%?kTIiSg@B1$2+J&2
z)eBsKoEhyacys3@FQ31md|jcAtWfFUuWW($g<_pVq#b1?8mDkb9WhncW17q?oWgFw
z_NXvbig8(^YJ%{J=5?Yk7<Vm{XHsiqRgisJ>7W_FEW_~pfanB<zakqrats{yv#Z!R
z+AiSQCn2--rr?&YW!oE-75GBi8#NOIZ!lyj<|dwHc6P8iRKnPNfW?46<WN0xio<;V
zDIdcxeEyR;<u7ZU%#jbF8`*b1@G0B$VR;pguet%}p5|)F->VPImzwyNJ*~0A<xbP?
z3-g0ER<}KfV!5;+?zq9VAHl5aW_<k@ax(ArpOsu!Uin{rQ+IB@*@hYKJ}$q$qL5AH
z@sHIm;%b6h=9P-xeercUx5(8*?sW%WPS9Dff_L|-^YiZ=D(yY@e1V0S>&*jJUDbhi
z`qKjDFofG}=-2B_nqA*zTV0jTdDm%cgbvf>h7tvK4ITqcZ!s;Vwb2<o=3BBOB;Q1=
z(3H8|lEXIPwA>nIW(UIyyeAj7-cmg2XeO+|q}AxSpndQ9)Pt_F4=285`qZeEz~MSw
zEaphz=KdV}0In~GYLgdMlxz^bnYe&$T?>O(<7(EMZVyB^aFk~;q#nB4^M+{yZ+IBP
z(}Sj6Z?p{f!|NDC4{z;xqq9ksF{HV74bOt0oU-Zs>s~U3bUyuHckbWU2gV<wb>_@s
ze!)||elFt|F%toY*%6!j*e_^b$YFTfc#Y|W)W&nnE5yzHH1#zYr~GUZa@?t}!5Q+S
zX_BL;zQ$YDG9iKga_=%5=6pA>jtj8!x*d0+Y(dgHGmW`nuQs%mb=wzQP@UIX@_D(;
zJFot!ci(*bwB`J%y1mjHg7$~jHd$%NweoPviP;=#o-x%>yEp3QY^BFx-<mHknW|P%
z*2O++<E1SXtBli^Mt-bFjXM${U^s2fmW<T%?bFx3so8y~TldtgsbP`4C8e=fZV0$W
zhuso!UGH*no5roJm-;f&{YBn>F4H-+a4KKR>yF(vQ<4v=-^mX<x2ELO?xJ(MKSk}(
zU-PAHxBkxGYYXBdU&@xpN8fyKH{zz*akpTe|Mz!QX%t?}I?Q=dL_enUPHNbs#hRM4
zj(R=hD_1q0)f-=BveebRW_$Z2#XB=E>ZFyKq`I!YJ^L`X&6F5HYx`NBT;4D})aqL1
zv`|YdMyc!6qLm>mTIqTv3!FqkU4xefgm*8Jnz&wc(yxqF-F*-8%S~K1>*n>O&AxD?
z`>dC@iK+YMhZ#>>b1(kMbTZjmoUVSo?Bj*BrRuYG?c6<m_iq<&=8ISKx1|NR$o4H$
zl*@0?c6V*~m?8D}9p^m1PbFHj&hN^Y_3ZAKfV7>roM#H#=--O$S;nduDdc*(DSQXZ
z^~=jcKJ&2KTxeikBfuW68o;(&%su>3aFk=9ZU9fX=mnlB4}N%8d$|_)Z{D8yFpKe2
zpz?1`UqjOXhH%*!R)0<An~vZq-V%|gdlK{~>1|S1e3O1t=AS@Lqy4{v@M}L0Pcogi
z?o&yteNtrqlE`^;yHg%7v9sN%aeAVOa@IZF9n#0o$nEmEuUYfs%+Y;;ajB;qMRktL
zt<?IxThcT7)5Hs_JqmAc)$Qd4^?Wx;dsYYS)MsVCbmJkYW9&Y$L}#jcP=QvjGf28f
z&U5|JPrV{B9*=vpXa4V;^lws9{HO26kK;DI?X6$Qm-ETH>mg`XCM03%<+x30kK!)*
zKFM9kp0#pLiuy54hp?Sx0j$d>xUbr!t*QU>wC4F0Kb?Ipq>H?7J^7<&(}dG?ZntM#
zVLh)g`|rgw>06p(t{R_mT=!4%t<v>RJw-R?U#M}bKJnFdvCWgV`4{bqgwJcp@4T5_
z(s1XJv6e&LUrAY|?YvLf)l&aUiZ=Gm57hZx^+NJ!<GqE#3vMXPVo_xc6xQoq>3D_h
z6vv{N06&4Jja*F{@*zSltZx=CQqW?#$`UBJLb0REQP(kq=@rMKCmu3|4z?GVN|<U7
z7;IpD<GSg1%hSLRp`&~X-H|K17G?cZS>k5LuyU%KYJJ+FKWR(tx}=tD3gnu#B=Gpu
zGyR`qMYejS&KB9?y?7>QLa@XwaC%6Yw`0GF)s9I&&w9m+eD!|XAj0Fdc)IpX`R7M9
zq`H!(-<qgVc1gN>(M64~LDqt<kMEdh2M3y*eC<<wF-PPq$Hf|vvz*21UDFmT{ZpMC
z%^vvb&aI9l<ATZ04HnM}W)fR`bzMaAgKZ{k%6sHmB)`qsHb2?kZn<;7xne73QM={d
z0Y8g(F<xDmW)Nn3UQ_hS&pDeMn9r|#YI$yzgZ>7_yDy4Qxt}~!ti`BnzuZvd%%X(P
zmUA_BWT)EuZ<?L9W}Q{RcAK_5AEvby#bt~qBjTn$^xMIE!s)7_0`uv0H3gg(n0L$l
z%DTaNfsaY~!_vi_cb}K^sI4kgp4XCQbis$OpkvvpLZ>|EV&e(V7iP&iO<CMorXc-O
zD?#FggLqYAP>XeypF2l*Tfs|?;s%3Mj^M*l?MoWxz7JGAIQg!gNo#<8b*fM_%Uz=l
z&2gcLqBkU+bQd%VWF>1K5y)ac+ZrHq$~s|P+XbZz<(8m*4biU!yjXMF1Eey{TQ2Q?
zur8&h(I>*Orm?_&;#XF!Z*_V9_}#uueqlZTg8ip|EU&*uyt!($X4>jTwgsXun0_%{
zTdATj_bwkp>jAxKyWJ01eh}+$Y!`-f0#@B%l1RSBf5gs}M?v-kBUhu*0;vp!F6ND2
z*s~hd7RbI}WNS1`kcwakYg9S2%WDB&2AfqwTmr`pma<0W2QnQ;8S)vc4;X%ss$f6D
zrpz~i?+HVzLz2iZ{uE|b2h9N1E6lnM>KEF7uQJNl`f|+j@@y|h@q1GqWd*I<^O9@(
zG|3%*cYRx?J7eRUw8OLG4*E1mem2ZK?6b|rbo;JN_p2W*a=tlv^O1}#Y$D809keI3
zN18TWTh?fCW`|1x<K;B@9gIZ_S29X9Y9{bq&X$Z|-F7pCxohKdvw{=X+JkKlnbtSV
zWjwOsa=L};fvb&gwpuGOh&wd#ZxgiP+p_SD$eyQtoDzQKGgg-t^d2<jox?EQL1|S<
zqq*X1?&aAh+$P$xnKihD%w*ipI!WPeS$$TV(T3meqKaP#nY|JSes5a9tMcewkyHee
znPkE9o%|}B5^Ix!x|A|lw{KYA;H)4P+ScHi(0Esb*>uG<o)X5nE36oLn2Q})YYrbU
zop7LLNAX^kqMQ0LE20^uFqa+>{J^$@angeMjMEx**{;|!OuDevr)0HKQ_w`+L$;N<
zEKd*WB<|nn8esWuqqWis;hq0DUNQB$2AF4<HB~ui3tr)_5_PPt^FPbIE{Au<x40Kf
zeNQhfH)&XSh9#)r*ogyP28?sMRa379&pImC?;fZVt-@6K#_zr6(SxS#i(JB(*G*d<
z(q?`7+wu_JSG8WU7Uv!n{8_?$(n0Z#vcINy+V|keMt`TMIdc22WMn<<zfyF|zbU&M
zZu_s4FZnUW&0+mQmc6xJ;wuECJvN_EWxHggp*!K4qh;fk6MU6N@|f2%PIqAXwEh6Q
zgV~$nEBahZ<mM<wB~D|%B;oZb@KSS*uLAF)6xMaB3E~nA+Zuu&uuVGV(A93qyoPD^
z0l5OE80O{)TUdj*&hRFPS+K2Zs7>HFdbe>3XEFN=_Gb;o3T=0%um{PVQGOt6z|XVz
zScZb=;Wy0p7#<h+vIeo9@l%kSd!8Yvf%6HsK!)9fti(R1SO>MPpoejsOVm<6PdLl8
zOd!K}Lf;MLtqxrWUsl^sNOEj%6k)eIwynvJS(C9u&ZBdIdE*q8T|7%tF6?$Vb?D>^
zs|lMN4Z}_`9*xu#pOUaNDqzyoR}PwCtJs%DYW_@)*|~NK)2U5CS{lc_G9&z+M03V!
zZVpoXA1b+eZE~4-ld_olHH(-BznU|I!~YBFoKh-GJ+z3&MAT*Jf}09j%(^UrmKl~E
z#|n<kWJznNOyIO&=xa27!23aL2V2rb2c}iItQWbYZZIpBOSr6FFj*mrc`o}!s~1Wg
zL2*fkn>9pBgk3Th?C|hnS9J@zbNt3yi_bkuuaquyPWCh3{YE(_O69DgQ<w^G!zSgG
z(o=*za~It4@L~;hxx|qbp15~%&e1)NEv8r2^e*w-q5aUW<+sqg&>gb{1m3A^naTZ~
z;ZAYEcK1t0cRCBw58Lv7H@IUvaSLNP)18?G{s(MXz9*=>Js`_pZgpq<!+WjOJhz*C
zXLTKk<>|ZXapzmYRFTf#Dm|qty-j9HF9l4EFRre*V&i&N_R5{kCB{39AM~~U=6IL8
zgJ1cj(7Tcy`8NVNzuVnOpIE|NE_Elo;CQls&VgE4&)$qjYLl`Y4D~e`f7^dk&6{%1
zn0>=kzrcVD$z^WBheRI#vT8SsJIwh~G@zk|_sR1JxlQhCBlwp-WZHUu8mC3LuJfjY
ze(5WMy7|JK?6`MJES%M7HN})!`NMR@Gq;~lIq|PUETGrmqlKl|#@j_6ry62HR<@UL
zT|dXw^1AKrS+Uv=+YiPuzY>@iTbH&Vp2aONjw@I9&~cVvCMR)*P!9R_3zcv7$sS#M
zK*jnrQ+0%a&w@^?mfTcn9v!6=yHB<oyceuwnU%1f`KyL^@?EB17h>euObur|y6D6A
z`*wVWme|=8#+NImF_$>*V(M8qlQksY`Ew*k{LwPeS?gQ#<(`Iq;CHm=TcTvo`DIaO
z+zYD>u9*i#+SM*Ka+wG>s|7XInJqhDaOk>1i148`i?ZzBc-^?UB93>-0dq!~1t)c0
zd~THcA^zaBoAKW@-8(W;*)Ma<I&tXT+tTX1zS?CW(JN0#{j#rrD6C^Gy(Xk*!C!%G
z5t#yCz1F<*YM;8n<>mGub?K;YuQkN}E)KKeJ}`IL)Hv-O@f$DQH@I|O>g9Xsm*N}j
z4Vou4@+gRFFr8|YS|FIgysMEhL1YHgvqsTr$FF@)EaGPtKA^LKWexM~1B?Y+F$~w=
zNbnqfAhq#*gV=(}wkz0wHF_rSMX;GQ$Sx3J_Yn=?-@-80LEhku9_s-i1NIyS&507R
z@L}o-);G-R2c#9|_1b~Wa<KX4#x;TS3FA=*wr?qV0W4EC@8vRK%5^X|V0g12k4tRh
z=CD~ul@c#{buS3jE^RQ|sO(@J_KHb1V&&|=@n&nTFjplnjk{p2{k1V^QKne=pN|(y
znqz`Ybd{!y`F@mt)FS08d*Z3<WwTRUi!<3nq<rTVgt-Nquh5(I?Lki8GPx(W7Oxb)
zBJ2CR;G27}e3A3Tl7>4GCbEso7O#|BD1Kqy!<Ci?52W3`(0zgB%vGH^&S{GZzc8Mh
zG5zz6QzZpP+e&zzI?o6@`^JSKp2^y!!P#v3!}u08?pc{KYODuMdVbFC`FXp!)2PE)
zMRFl`z*fNy*R3iFyor+na>dRrIq;6@*hG1U8HEWFiO(-i6;%-ascf>f<&(^|b==ER
zdTLz_Qk$}xH2JSc_#9pEEnyYISJq(N43inN9D)yC;fvx}CU>FApmfnzBbPNP$L%k$
zlra2#Q^InFakWKTS+izmFY^Ru5vEiJ(+dn+m|`95Cv={B%H-<6dVymLbFIYW#|cs{
zhu1g1WN}>IoGJD3c%$e88INOOsxE=tV!yg2wj?i*F4O6or^Vx7YruD8;q9jrt~1Vd
zuwz>(b%*uHB7RAU72yv2Y`<FOW<Hc>TDCBnY2AgYERnODcNZ=Y_Pz69-XhT&j!L=R
zp>2DFI~;eEw9HC5t9+j+hcQ@Dt$2<4)kAk#R2I44p73?iFN3c8jHhqRSfdf;{G`g|
zo|AX=0qZB;H+G7xedAci%cFEetY-R(GUh(V9c(x5I>=OAV4Ih?ymGbY$^J#=92?KQ
zn=Ftgp5gE#wq++1o4_kB;q0cf!6DkNJ6Lbr)u=vg#!<#^A(yz^#@EYJL{}k1)M-w0
zcfekr9gQi%p}Pa#3ax0^%lpphrp5;`-!D$aOj8t}=$LIY>RKh3#+vx7f$_wB2QxNL
z%iGE)$``%jFgRewQNbP0`APJE(I-!it$ewfhpxH?${(7d^n|fO;8L*y`z2Km^MvgO
z-!Z36jCbBzY+}y2o2!h|X{mxwu}JRXD*J%8fSVJZGwUAUe9}EZeM6YwI`Ms>A+k+>
zrB<}Wp5b#iGrdnkE47%VqFGB@BP!W-f-bY>idlkZpC8e)R%US3kaN2z^~BmiaMhAU
z&U<G(=m<L5#(8w(ikS>=7Mx;BSv)~>(JRe2F4qhbSa*eF7&R)taGRii<eYTZBB_{<
zZi`olnaH`8E;y;Niv6t1MTQK$E;h&0fm1}<F7pSlU12z@CiBKY-hg8cQ@8_9Uh?Bc
zu?4&mtf1i@<_g}Fhi2QEJdWz-@&)jOFjgPX{2<nG_-(GG0pl6w>;s|!t+Q{LCa`xT
z*W2=CIMzS9SY|YVuZJ=CfXoJ#B@52-D6nfJ@OL&EE2zmh*uQEhIg-ubcHtP?r|JWm
z6FT<wFm67;^?@s+VVUd;=Bh~91gQw7NsUemzWjZj#(&g7Z=s%`-uxXkCy!>B^-Afk
z+yBA)e@J2Cz4xVsg*(suIxGMGOLy1lxAqnL{$JLYth@0cTuEnP`JY+;_uP&6b@@Kq
z)AK*0D%RH}*SubulE3)i2h-{GNk7_7Z~wRbPkM*pjwKQ?MLc>-*(4VpdvGbKV5Z@Y
zH4-t~c=e969bS6y>2_Yd{pkjO%x7yHdod}=<cZYPJMaGntlSV2la(9Re=+sQ3!~(c
zNW-KrF+6!<i*Np2*J#vj&h|zAZ*%-l@%{foCF1|~uK#~}echtIU5h`O*0uNKpYi|q
zidQ=RTulwH#Qhxy@^2oy`r*b7sV6(6{;fZL_3opreLp_Td-^F%_U8Jeg#GU)PW<b-
zd*a`j{`;T&k~P|-Xa8~X|Gx7v$7U&Kn<xL~S;w~cwS?KnZ}BhY|N5Xkf7PF@{`-BM
z>r<tx@^+q)(tZE;R{lRJ`LFpkf9KbJ%PITKC;9nwcfIMkV{<;2Ke(B6F5~Bce>oqo
zzW@D3u<Spd<iiUW=RbMQeXcxx>+Shpem?S&z0Ll-n>~4T<uQZW_MW%bH=V1S-m9B_
zcH`FBTkmV8^N5EXQuzP--F#zd5$6UgmWA_Waz1-5K6)>Y?a$@)Jf<5ixCK7^RPcHG
zPr>y^zdL1Xz0<yWVcjAppHKEbzxymW2@}fuWgfggi1)3Y)}IgW6z=Qz?h}s+E{tE<
zW_I%IA3grk&0Bu_Ysk=iryZHGIJYh1oyf`g>E=?o#!s?MCD+eznEbx=TF9O7RSTc;
zaQ^+W{YP5nr@wbsEZwm_#QC)3r>*vX4&Hy1oBi=`T(&020Yz;YdGnr}H&-ZXJM-rg
zSoz)yv$}qJiQT$t=4{fhd-A*b{ArK&1@Y>pcRcf(_@}aL@#@^R8r`bjQ#eh2{)>Pa
zbL7wO%zgW=Jo(MM2x{4)ot2a9?>C%~1T)Sz?5O>{&M0sDbAh$V-k)IhZ(H4S4Pxr;
zx0Wg2!=F{&j;h&zJ*w-sm+6+=wjDJm%)KYS@81e_f=9*$|IcSHJ^8(GtH}4MI$L(<
zwq?Y<RJqT+b|uJ%^|#j<y}Q3}b<gj&Rxa7gJ^tD3zIfNnIqTW{PiHSZsa|L*`h9BF
zi~QT6$4aWFUX0q{0Snt{#x9%rzyDqozq0M$w%Amk?Zz&zZ%nGGZvzGN)eA_WbY;r_
z>B4Fkp8THd?_C%#nYE)hK6&@WhXKNF^Y&ah@c;1LfBX#bMzi+EuRU^C?2eA;2J2-?
z<>AGBzndp<g#~p^dStD7QP!;@*vG>v!g9M+?lt)vYwWi~{y&@cw|w?j`<!e4w?x*b
zZ2mX>?63P~+HI<Ly0%6ZnrYulQ@yc9W%ks(%|<z4%g&zK6nWE3`*_;ZTWf4)PtD)V
zl@qq`tk$MTRW&oO?akj08|Qoovz;(yQ&Ha3n`;=S6=YvX>%FzcaJFgQX0@DaOU};P
z6nWV!`&io9TWc(5o91s8%el7bY}B^M*=E_N)AnwPyls|!JnikRHMX<2=5OZ9xwi1E
z)uzbfX0MN=&8^%pakp$hG0U8f@oqw=h4PMWR5|$UaoC;dhAZnnIPNV8n{-MjZ|O#r
z!_OXvNxf37`+2Z%;#n`F{bxQbc%mkC^1aLMS?d?cPx<2>_NiLq=Ur>nWeFDB<2Oyv
zc^J0uY*clp-=008yK4{Z`ldNaWZIIIVrCyMN1r{O%T{rIUsK9|_obyDk}p|piF7x6
zJ@@RcO_A5lULQ;QJMHYR?PjmH=U#h%W6k}ok^e8I{hfFAm%o|zyYste#s9z1eD?Jp
zwMkyn3RXXzE%7AZPU6&*d_~V+Vs0<ZuLu>MQr_JC$v($^RZ!0l^JIn1jz7;wvHr1n
zDR`oelQo8c`9PgyDQi!|NngRGX8sGF+HUR^U*A=K@BK{PIN{0e>f-;i{;KYj5IJ4^
z&*XG=&+l__yEfjRbLZ?<wxdVCoY&oVYd<^J{=4}b#LDH)d}_SLEc>ul;LN8t_ufCz
z)5~*SH!VNI{Po}My7#~KuCISBUjOoV-OKrP4`2U(bG_~__x?Yp_kTDY|MzG8kF)DP
z-Rb{(B>r!<{bzH#kN<ucyVU<&zEA%_-r|L9r`KoYPxyX5YTs|;roXN7uCwPaKUu%T
zROVj%&&Ri2wrO8DXMgRM<h}a8{e_3GwB6|YEi7^D|M%jj|F_Gg|4=;b{rPD7z4$7n
z^FnV*4$fb+VgBF!FEabML)O`S37@<F$I4ebCiKbw|Ki%S{AsmFxlx;xa!)*uk@Vh4
zO}w4X_62_~{eN=$_tVh3&-LvmJ(oHCeUr3|?#i4$i(mA=s!RJG{w*$Q--)`(qL&KJ
zt_b?2{OL>UhrC-4-@bBqRpp;0_p^7-4EtYir~kWO@&53A&Y#z(e_wK}`qH7R^JDGp
zF24ElebdvQvM*y!PT&7&aonuHo0B7Uma^T}{AIRGX7lZ}zm;|imlwI*(6GvLU)^{6
z`HII?>+`ogEV*I+c(2vA!qSN2CF}cg8)UEibSW&}VY+SNG&wup)Vb$pxaTy;wr_tg
zAe}I`bV>X9>$_vCY8rml?A5NViGffSg+05ia{k>jT&KC!p6$j1&z1Kg5BC+G{ZLl=
zV@9Ue+ha5PXD++4?{dx=lcnDR_q!Wf-QoX!%qKWYesb^3DX(m^Zp_GR+rIkn@i*(P
zJamxQy7*T4tlpckE1N$*`mUj-yYL&xkmOB<lY{oA^O~j2dieI$2gBXkr3T73W@Nf;
zeQzRd?7K4dN^bI*?LSO!9Jm+qIO(_XwA?#OE-diRxFCITf&QZIdv-<(&vjV+KG8B?
zGB9>gM$eMF8}3iE>-e?f%DUx!ORN@W^{h}{`EJFo->VGQeG4kJO@B~Zn)X$R{l-nJ
zHQ&!&FWe?u`eyF>$2HdH^80s1f4LTT{oJd67wuf$y(!hKeUq_i@~yL~?m=?{O`q+B
zne%?@k~eOT%VK2X0+xpKu5#A;y~=x)@vFBxUQK${w`%wL?T=ptoO*XE@A%b+ubjQE
zuCQ9NY5lGxR*UcTt=0oOrOJ98-_kc?*Aia8PG1x7u5UHp$~UX^R-Rk2{qZXARo1KC
z?Yq~vnpt!A{c!it-_y?jtBb#u@Vp>BYehKM^DK+&ZF^o>h9ACZHs>y1<=)HT&VNmh
zDr`G)Q8Q+B-@n(Z);zxRHTqiNHre#+%A5K=zqQzJdv2}!mH2f}{$0GayziBb8Nb~6
z&p&dCLyq4plaaOTdu4II@AK`)FMeEHb+}H|#A2r{Slf2nO?{i+TI{Y*k$rx<u&#Q}
zUHdoUk<D*wKKyu}5><NT@s*iYpK2b&$fn=kX}jMt{P@qZIrrz?{ycl1)tl?@Z~y)L
zBfluB^h(*BmCD<X@3cC%t$26+oYmlfKL7UL#qf69%pG^_`~Ux29_pTZe5O@V^_<m@
zbF8=j1}WbD_|LaH@8oSuZv@NkEZ=gjW1HywFE_Gm%J^#69DAJp>Ug%r^|r;U&pkGs
zlY98)+k%q48`m$iGdBL9o4DPnfBnaI9;JV(7I{V9FZC{U3w4j(9#S51w>Fghhw+k}
z+-DWbZp%w=FO|Dp-+#TbW}3yS$2r!0X?qr1odelxG3$F&>5aoN&GX()oM&BXw^{b(
z#;X@M+FmMN-naSfi(B>}1~^=Iee3@cafSUk+wG12e%yM~_vPUF{(kSL8`pu8L#5|*
zu&sM-^)07t+Rl@A{Od>4tFkYf<K^Y2pW63o(yN{->vg-9>{|Tl-RrkItfp)VHv*~I
zdI7hZ37fu$%gkkc(sfL&<_$-MLd~BQn}lC=y?F6ryYQ=?6`T9}`l@G7(2X^5zw}T2
zee}!b75(0Ct6q7mGG2A8!wQl-r5<PLr)Kk8u6rYQqE6i7<=?MeG70IgS6q)xe{FHQ
zFUH*>8XT8$JNqt#yVt(=Nxpac>P}@mnL*XIx3E<3|CS~Ei|q8azFw`o^4tok$FDrV
zNja-$^|UE_FJ^3bzxwaW=V8BQrfX_qSMzVB;ku~Z4ql~ibVIYQhl6w7v8xlofp}FS
zyjrt5{93~6m4BIjp7U}QT_tEAtWmwvd4=|)w;8Vlz`o!9_=UrXX)?2vGwq*jzr-FW
zKmFF%D-%P~LwW^ERj$g0mdBeOyBc@u8c$Ko%~=P*o-b_!dH%%Lp5oFuxzEdLpT$6u
zd*1c*s#hCS+n?>(zPi}`*NuxQ>RV@fgG-b%)$T!e153Y$Cg02UyvfeE{qd_0mo%30
zpZnJD{dS!qSWV1(8P8Jpt9QeDU(cO3rPg+#Vf<Tv+wGUm+jx7fyem_na#b=kc^@oB
zK8JdrvNJWj@hj`Mr$@H9$4aTt_K<I((?im)+%CMT3U+z^@vDtfYNszYT(wR3*xadI
zS~UV64Hw4h%ZtD2T46Y=pS#rU%1!s)!Y$&PRNJ3<+~WVa_KWfNzA3a1f#dDlAHRAa
z_B(8q+f~J_(Vs0Qz3N%D(XJR8;@8rng1#+XcCe1`#{8<hrSmJNO$yp=`2d_IYhcmx
zZ1uD$wbxcb5`er*Z48zKVEyv*`iMIP8%x}?T(UxV#Qt5cnXpUIR4dQse31FPU0Ulr
zd~Hg@d-lkA7d8~^fBBywz?+#xgn@y9gTbRCCd~2XZZ4bC3=A5l85npN7#KqG3w-la
zN^?^6D)Jb(9lq?3$f*c3v~YD&P*5;7G&43)P*6}vPBJv;Q=G$}_3wQ?*V1h=n^qm+
z`6+aYC2>*;>%I6t3N6W=&vrR_|5ans(%GV=+O+WU$(db(w>ZTrJN7QjoT!|a{pRBz
zv$e+_9pCWG=1TuP2}Yr#h4TftCv>kcu46C0?!m(p7!mDics*$E!=&CstL;Be++M#s
z{=|CY=R66U3mg|lxLfkA<9&E+U*cBN$3D*lr^xN=I;?6DRq;TB$Me;O#2HJqLtoVW
znd7GDnR1{qdivz&j*qh=68D@9Ecje_V|npWDURZ|o}87ZWLvrA_db3$cbeQ^**BtF
z=Ij&}ys}8z??cSvO+n3HCx7C68l~7UXUXZ79gAPSHk0>h)xXQR_3ZP_of6e?U#2ow
zdhj?f*cJM`6$-!YCA@J{r_Bbrd&<^VS82sNE3Hrcd&mA%3GW}<Dhnm1TmJXX%)FDn
z`_UZZbfF({k`q^28PDByb3*<ysky&fuLqf^S0zokym%3x<hoOlMR^g)&!kpgiJNiB
zb7o#H$DgF@N7CviaB|H4{7_`)s_xfkE_ut@S4thd^tUJ4W3oi@&3EFW6X)ekELom5
zExl~ErzC&FHf?8@I!5>W7)IY8eczh*9A3NWS=+QT9MuZ9j{o;%U;6X2qUWo+uWPpo
zeOGK-<JWT3IOmzf`H#)Dr8z~s{7?4WU9+HfZu1-UO=n)kfBSUIHmYOM(Sov5y*sQ|
zFy}jcxU%)YpA$MYr;IkPt-U(0FqI`|U-2K`8MTq?US8a%DB@&x^lGZE%*owflpQjg
z4~1O06J@aM{3rW`b(+HU^Kw_Ev59FJb^A5AU2T|{(i-^wl4{lFqAArJ+n#TjSa!%!
z?9-pcs&l%QOtPM~bMouiQ&P+vy_Vh)kzwhNmD#d1(Q~>+Ti@;KbJsko>_xUOlZ!N+
z+&+8ZDT%2X|No!Q{ynYq?MmCo74~0Oi%*DCxSDuPz1?2nc2jTRSA{t9*$cY9N7jTj
zh0pK!s`&Sot5N&z*Bf3>7Ic@`pw*CPw3}t#;;z%{*DPST7O<-%U1)mF5-Zm4!f&?u
z@Yp%bpHUrgAb7vij>QX}Xzp!}I@-JYi@Af=D;5Jz-isNRcb6PXn_<?*ypp*vAmm5*
z?%nPuG7Zm6JDfIAV(Ic-ejgLpwf@}7Q@3#G>72%bwa0tiL%+Ngc=khsCBx(Guc*FR
zz7awinlmo?F$u+d&Oe)RRsMaz<-X$)PKGMkaVJDK>#j_C-1a0WDLmwvwcjHCfQgU)
z?!WZQS=VOkor{iDa}pPyS*2|r`i{M^Nkr+S=I``{jVgzV-+$rf*3DcpspgV$-+ht1
zmJNS4bZT9#`l)?N{0dXZ{+`_Aiv^7`v-_%^{9JGTwD!B=p<nzlk?ipSPBKmLryK62
zFWcy|TB*L*x0HkFZtkn+pKD)Def;fFQA?oc+K8KvDmP8LmAUl9>kA)C|4vg-n-shF
zJx_zqIw{eDU6Y?&Y|J-EJeekt;=}J)syO5KiW56mCtIIyfAqt9`N0p{_NFh2%G=Uk
zq7a|B?d#7u42J>}7p!@1^pa&h*P3~}#y(enhO|h%nwYxzphEFU_Y=E9DzzmvR`A5d
z{W|<`+KZV_If^F=^YVE;zA9qWChin>(UUD@{eOw;Kc>vEe8!RYcxF=hoU_aG;=`E_
zzGa&+@A}LK?rR^-*ZRD+Kqk2P#Jx}1nkhB+qEzp!y6v*LKUdI_Q)ip|sc&-)&90P&
zJ$ta?C2LsTU&gBCTP%;uWeHZcK6#?^H>LEom!)N2z0&o!Dt_K!kFwqDtR9!_TKf4v
z!|h9(4{07bY4bBDm{p|lQqP`M>48T=R2SEqUSxPzw|VW}=hEVSrN{i|-))*(-}|WX
z=ed|=OBa0g`_QBpy3bwoD%Z<Mnf>Y?CvdN>fA1^V^5VazlYFlv+veHt{&1u>w9YZj
zH%ip{b!$_Id6`<}6CJi6ljI}X?U%37$=TI=%ka%bg|74KH@#Zir5IPMb38-o@=v)d
zy7ymi=w2%@d(Qb;R*Pa)_l9{zKNFwTlX62hVxHSvwqW5eTb6xMxO*bi{PE0d>))D)
zUNyYlHpO9WpoWR?J>7_zIi`VcjwG2(Ix+3nX4%(4c@jqVBk$Y9?p(iu?dRI$K<%z2
zBCI8mdzursZ{Koyl8G#9?~300+Ffy{9?2xx@Ws1r*S=6Ab=pGYu*huoDGi73@f>z}
z(S7RaubYDQPlFt;o>lTl*}aqbWG2ra{@BagvN!Hdtl_?p`ZJNC@_mZ(PlHF34{eif
z_F+AFy7PKQmPz@R*ia|cyqEvxRh4;NwcB}1_V-eC`F!J+UYnKol+J6|ODyC4Qe&k*
z<xIEX*7TJdzF+*kIp()a+J-Zs1;LhGOE%AFx}WR0O5c3z-Jjx-&-<9vnuTYpeX9Qv
z-kEUPw9NI3c|$(SQSFb{1H4S0M@?8g$;oB@)QT_ZMSGY3VV~#phr3k#Z|Tj3NY~m|
z35i_V?x$wos6X}LZ_}Q|FIukcmC5IwdCy)}-douDc-(sHbH|S*g=?o=*}1Sf<9K=B
zo5ul+d*@!Qe7%?5?c3t-?_GZW3JcMEyYzUEu21U!)6)-Fh^$-n>+Zq5HPx5BqwZ&2
zyl&yZCFoUjWQwouH}8{YOe?0R{#e47Hcw*mL4`=}!#%S*4qkqh@@{4N#hqW5ea$yd
z%33gQ!!7H|_ALw_oL0wKx8yrYmq_k56@FB<mHS5vPyJl$I_piIADt%YRexjZ7x6Ua
zUM3dia5TE*R+ash$?|ToU0V%Aow+uM|Nn4MWI{mlb*=0pZ!8|HcrfSoEz4JjZa+3s
z51-H}_<hPxuS~7v%`Y5cFBIIG_E`A%o|OC_Nh>)*B6Zi!_&KF^G5fyd7PG`JUz{>s
zvQH{bDKR-Xo>w>IUDf6(&zS#~Ui^J6ZcVsa{k4*X{Qo2sbkCRU&)a+UP7b@bSoGXG
zM-F@0&Cy*beJNoIhqLB-LB&J2exEnL-tODkaXQEE|5u|QI<MRlK9?NueY9Ua;LMR{
zH7obOD`0D^xhWcd{QvC2cV}dy9&A%z^N3;b+D9H|7RK#Jt<m&y4s@L}rDE=^)%S~K
z?){NhJDvF~_gtdLuYZQV+Zx<w6}7aPL|)%<=~&mZ=D5?xHXjOga<wSgci@)Oi#`$l
z<b`X0$T=;a*_LCP(Y4_ke^zbV{0QBs>;?wbKNm986^mIW?Ye1Xp&+q2?_~L(w?T7W
zCVp9Gw|nQ&ZI3jLJ=FE+3@O@RWD>&Al`vBz@(SnF6bGNo*ZUHkf~vpSzPYz`MrP<I
z$EEWR#_ZGG{UD>(w8gJjrJea-izbIIgL)S89?hEi$OQ`QvEi&8vR5iRKQ<L6Hhkaq
z>H#a4Z|%j~+QCe0Q{RhyHa3>L^`z2K;z{q@60KE%^Jexwdv`a##qOVa=kbkfpQ=8d
z?{!<+w&#+2M^#C%wR%X^7Dg40upQjex~IZB_jNr<`|f?wY1^HJPs85E?Gjp3Gw;pj
zl8d<>p(d3pco)s$5|^I0?w)Vl?zNVNYj|aTN$6j6KfUny3igID^&Lm7%Q7##WB%qY
zcXDf5*l*+0d#+6})cQD`_aEQBuTy-l+5EJh_fKcvdym-tYLZirU%x!%?ycYH72b&(
zzUv4?9BvZeULbwfCb08};m?&PDqb2^-8|1c?f+W_NiM~9pCt!hGpH{tasGFiElTyL
z`T}i_H_F@ESFZYh@L2GVr;}K0`hMjeGue0a?Urk`ih{eUkG$|TxZLA$epmL3Q>XOq
zt*n^VD{#oQVx_rcQpUeRv-v5yf3DSB-L0t?rS7or?XtFwZ0{pt0*@FkDR2@0GQaC%
zN#AjMyT!hHZs)Z#tXE-p^Xy+wm$gS+(o_8kqh<L=-`nc_3qK+&xcB6o<8kXHj2jz2
zC*|*7GP`W%^J)1E{V{*fNUfMScjAkA6_-919y)jA{~MEpOX*vzo_M@4miwb%`|rY?
z)2oC`)=C?4+X}`szEV1t((?Ql=jSEGk5>Iq2r2S;@p01Y)HPmrm{WgC2^;t<&|6=j
z`<kga{u7f$^>fi&n_LwQ$HlFy_I_cUvWTb2G*Nqc?uw&3rN6{2?<-{}<r6adXwju7
ze9=}#vGzs$tm=7<vYzQSQ#QLST${b%oU3$BapL8$m-Wf_Hx!2T&Hm(6s;42s{_>F2
znZ30#vd%h25})Lb7k#~YrMd2}u9IJYVUPIoyd<NqN^!eZo(S&wwQ$k~7vHJQ7p6Qt
z@Go<zog8~>sASRat9N_Vo=(htcC=%2p4x_*^(SmDa6MXEeCoqFrG+nIY9_~&Gurj-
z5B+{#c2`T$x#cV+E)gFeuuYlht@m<z_W^ISKM|JRy_z5V<o7<3SHC`G_0hLQ2P_Py
zhre(68=PhQV(XPM8M{j!aTmp+`1G=`E!c6}qx0mc(uW__IhtbyCh(_cy{nn_uz7}|
z-j5HSyOms~E?jiu;H<rCb_cEFzPf+eM30<}vHEKx{Ju$TlGw^Byg=)td!Dw5#Zra9
z_PiC9b|rrD+~R9)Wm<SXIwCT0JBQsgb+ZCrmt#o{q4R8(PY#RyvEFo>rt0NazgDcj
zy>a%;lP}nxr*g$y`meC=$kU>?i~*KujF}#ZhR3+0s^;199c`%l(DFIvNT}p{gFA75
zw|+XY%;fo5LFs&tU55^4ImDbUVC`G*oLB$e<6W-P8n&EGY)KSLn|a<#kE!r?!e2uM
z-r29k*$eK4mNV|!z|)k@rJ=aQ<h#z>y_OoGavU3~q(n9>U|Qu+z1MU`td*14(yvm}
z56>+ubO@8bvQhg+v&H_hpOL<Qj`~<i`ljfH^SbFNEY}xp6Zp~n>QJzvWs7!v<oe$-
zFUpFST+7~5yz;A!n#blCsZEW0gq3dI5Z39pt1UbkJp0Aoz!Q4}qO>=6d$3D=N@a>!
zZvTfpe^KxrxAQh`LjSIQnd5V9n$D5;6_F<AerfxhamsrxUbtE7z?|(WVwaTOFSrvG
zc4;MVVfL)v7xyRjIB(KOV<~+UD`Hx;!*yS_d9vM$DXEVRU%r0!EAvamm(`gpA%8f7
z{Q_?1w3P9R?RRy)v5m(nj4eGvhU-__>`!V^8Y^deEZyJxQD5=c6Slo`#bUh`?X#i}
zor*Zsx=OUkh4pCkcI&lUgPyx|Kbkm8c}vxUQ<481s-C@E!5I<$YhL`xbZw?snYQD;
znG4TYuZcSLefn3Q4<Xj(hCQ6chgV+<NqKYDY}<d)s)Y{MnVr@xJ3UL^jfbnVVXJRU
zcz4plMD~<<rg8iJ9|~Bw_5SCD%LEkas-M+-k9Bxk?tU&yebN4aGfLeHqE@R~UTKMv
zi0P|%#>u{TlIn`^|GD4){Oz085Uz5Xr@PyH=2W>`OZzV#x*FWAX!=(vkg0(2?6XbJ
zmwots^Vp6Vb#K;-JT_i%=-HxOCEbS?^GRl!-8tR2achi_LYLRAhlVVYOlOx@nLF0*
zWeKhmyBaF@_2aF?>kEaS?97*J*lN5=Y`)Bldt3Kx_<PTKlaBP%CB|D)&Mf=DT5tHN
z<ev4vM@zd*pVaET>x?K5u<_hn+MyV9V9uLfwZwDcTjs95yHGT=>R6v{#VgC2`HGf{
zPefH@?tYW-IZ5w(!rg;T|I`mZ*!A+&l;v9&rXOn9ewI;ITqLXiK-xatzMuT&Q+`bA
z*4uQm=Owc^S72MGcuvW#pUbR|1g);pV1Dv$@7#3{dA^^H`K`aBalTca%keXfr<Hh>
zqNJzEOy*qnR7luqbKmPDP8v!FSIh5}%e?Vv)f~<Oan=hTZYE14{Met*b1^-8eUEWf
zrZszu<kD9n7voxkTKVV17#gL&3HyFU>P7$RzIo^LpZ%A-lQC_lL!ixpZ|7&fohMrS
zeQ)x4tqmc~Vvmduo>w{0W%nR@o@Lu=Q@&HNk;)a*mO7rW5bU@2$}|@^ExVL!?d^NK
zpJQ$=GnsnL?5S<lDaQVLmGMh@SFXMvB{54sf5-Gib8VLBpL)llF4C80R8YmUVQ0a%
zw!D@XpZ+myHe6hC$Jh3*ms--h8~sc-<bGtl>65EZ*IB$)rQ;w!%kghqTKV(rJ~^@F
z&-BvV+{v@2we0DOgX@;_DC^}EJ&P=|(dG-h!6L}k{Zl*rwOHe?<IFEu^JPCgz0dV*
z-tmu9Id<(dFnYhU^odJ#zt5J+D_x5HPm==!`Nhj+{*)=0X}n&}Bf3U=TTG1IGq%dA
z$Ih$?3ifOhSspNMniq21Nqu7o*DC$ZhkhE^X!vNK`CqZ=gXh!;$A;FHXDnCd1f+Go
z{I9v`!1{e1JNJa&$<c59AKIGGdGuJ&A}2p#P4{{GkAHfaae4XT=}gxxAD>J;+Vb3;
z@p|jNj9XRCqFWNWW*HWmot?2R_tgob#n1jv@|?0;(J@f>sNOg4smWY?FIL)E{<c&)
zJxk)ZxWj^tWj#8-y<7sV8K3u+T$^6dn_{8EaHZt%q-Cobmj6u5ObYtPYZ|ds@z%6&
z4CzO6l3F=JHh;34Fng1|;M5PRBH8O#vGD(4`6{$evQzeE3rBDAtsm#O^}e&V{^>s`
zYoK|lerwi+^r%VPal%_8e$9Qf%*8k^r&4U;Gtc;1-JO}!SR#%Ev>y0kC!eQk!jk-g
zOLOlvMGdXnp=C9SHY=`6zAB8f=Cz;7yGq+U{fu?<`Uj?uLn^jy;CYc&yX%R%YRdXo
zy0^3XMSZ7NH{1JMR!Huja>~UzqeyuccdWM<W9#(A>vgUz_Fuj81JksP`tpy1^wRw=
zvdN1&JX3o;LvYTq-ghfi)(Mmv^oSPkbnRUydO~P+tMb>5+m0tUU->e*_<HzTW6fWC
zPT0+S>h814!ZCer@Yjy8PcLU&-hKGt)srb7)LxoQI`?;$rfLo+%dK<I@)Itsp6z$w
z`7Nt`d}@nhN}}rSbo}d@Xq=m!qM?x2yeOURW{-BKn8VkY;zP=!bLN<3%D>nZ`_wi<
z=3i84%E4OO3mPuxWaft`@rWt}BzN6o@wrs~`n0h2<b#hVRDX%6e|*HF`}H#CGNyIG
zpN?&C(BZz?%=D}0!`d73&y{xwR<$1MIk%U6yT`!=%CQEn!HLaHS0f!a)M>SODJoPP
zyV=XF>!9$}e_qGs+$G7A<hm!9cN?!_dgA7>x_#EoZM#xgLsWy)EB^dF=GAg8>wZjl
z#xn6Qnc6K$Y5$IXjktbVq^#kZh=D|Xw8z`}defp6Ww)$vEDKb7`hS+`_g`)oD{X57
z&V{XX-@ES7tIfAvj&Az9Ka2I$+o$Pf|Mxv;?6k@}G&A9s^3V2KnOo{-#OB`q9C+)_
zg4McH-eg$(owY#y+U;c@>oa~7d2vV{3bi*qoW9k)_{<}h>E%4(ji=VFiJisITBYaS
z{PeS2cz$p9hlJ}3?tLgI*DBlIKF4s4#;Xa6rkVRToNgC+mc9G<f`>cnOxW3~oLJtd
zvpeTKytwMp<*95ocGigjdurQ7`WaYxGyiu5rL()#8YXP~^qVo`WwevR|H)f}wR+zi
zJoM$&oCzJVF(Q)s4%=60T0e^Tx6ZKT!cVC$TTYt#ESt;ODX{6v3Eit+XZ}v+VlUl)
z!rVmv*iv^+hcxB;d6t<q9}kMn|H&`t-PW0ty4>CGxLN9i-y(m%uKPFtZ}T;wC-Zma
zc{@$oc=@@`vy9joQD0}W&gXl3K>kIu*#RZi4xtH)Ew2Xe723uU|LWIgz27g}EtoQ=
z8YUT9iiIj1-PCAkCwe?8J5SWIr&?ZuS7A=vk7Je{dvERWX-p4Wa>Pq*+sT~MT|Wy{
ze`vpNKYCG5F3JBB$K9TvKeTqXwH|M8n0@u0malUnQ}d#d9*vx>TF<TSRA)VS^dQ9b
z)>?OE9n&S|EK<2CdCyh&gX=!C1!{^cToA)L@y+r^WnME2qv*G$JEO~E_Z(@zQf2p8
z)#HKqM1ilj5;y30mQ6b{JEU4IP`~KYgYfz-e-Eyj_@ngMz50uS+q-u)ub(Q;^YSJS
z=Osyzy~hpx)izo$5t>#xRnSzqIdu1-IRf7G^Nw>wu%{cJ$<KT?>ubrR-==9NlUz<P
zpHfZy;p6nsL3Fc9{O;Wkf4Z0(SKR8?4^wM;HDNQ`uI*c2RSPVgzI^jL`4@R!GCKBt
z8c)utJoLJ5cdgO<e-Fco*=H-v-L}no$W^t0d(TClGo3mD>^V97OM;oKX3lLq<SkLK
zd8^j}LyJU3=Cx7z+&ng!ljZbIxlM3<GOPE{f7aJLdkh)Z{Q2{Lf$KuESE8G2*e#R2
zV*i@S=_ftjd7eXY#rt<ZnSRO7(7e6jcC+48>%`Q+L#DT6x6E5|JZjGwO@1xTZwGh;
z&YJ#x_G#g^{~PX3^LHzKuD>X!zU^_Xui|Q(`xmW#7<9<@{HQv*qBB9aOF+Qt``yg)
zUHawUXFQ&!;a<Puy>0rPjh6~P8~fgI)2Z?gUS|~$bIM-yqtq6c;~#>VlrODyD0%Xx
znNMxct&fI_XVl7XF>u)}KaqWPlTR62phQf!>Be$aXJ5Xv+V&AVQGX8fzBMZ>l8pTN
z_(7B9>j*o=gl^+qo4wY}m!Ge@u&kf)M%jOXv+c2^25B`__Q9%CFRj*cIDEi0z4MNl
zik!Al@6tazWfsl<wk|^Fvq55){q6G#)_0jiK6ZV}TI+T(IQ4z&JO$-9L1$`b=uG(%
zT+Z-SNO$9sRj+e7dOTBDnEZu*yIQMi=dKcZdU?0>u^ms2nx0mPNm*+xB6#hn9Pf4A
zz#5x9Q|pxFo!ZsgjgxcbUFsk8Z+<$JJHc5;G)6e@@^yw&@78Z{n?7}>Un4_g<4TQn
zTUM{rp3Yn!8Cu;V?eJrz)IY9Xf8V9;RURR)E-wx<ma~$-lAmvqGdD=L`z~X|)Oq6O
z^Uuj_xl-}i?X;(aoqofUiTC2V<eIjw+xk~qprq0Cp3Z}Hd%IGWUuZpT9HZ35nwQ6@
zud%7Bed(K3j3UC8n>>1y`SK4}>^}K^;n{lYZl#HC?^u>KKR@@fT|oHg<P)uL4iu&s
z*NJ<)_{+-f`<Y3us@!e&TJ5|pKFQVJ&N6+?_YVt^xoCUmrpINKZ=bB=Cu%#&o}L;}
zVm$jrLrQSZvtuS*C;8%!6x*hGZSB~%M^#dI&OKJ?Ud{U%H-$w%n%uD|5@qqy@M3=u
z*u4GllJHtp?YS~Jn_HihbANHKI^MHp)@F|dOrLK`%;pIe2s?3d*00;%snJne9%ohG
z2@)!E{>=RND3{YB|5s1K!)GUKijg$PsJX%QY)<Csm~8Qa-xf@q*Jd&FuP$F<{b|mL
z2hSIAKYU)X>%d&T1L4Jb3|8mf?ChAedFpz_!iH?8#@uANb?w(?{79{iicp_fqI)3x
zRne;)o!_xhi{s-}FV<Z&Rt>a!@y7kzY40`B%CdK^*d_iJJ!6x$bZXqG1&-&JuX=y1
z;6l||J)tGX<R-?Py~K8+*87dpLWzTNlh1cfI`LmBmN#?xS%q^?OkPCXKCi=;&DV4P
zZ!cT?hGknOYJ0y~D>3bY<fQhi&gUDCY6db+tPEKvp3-O3biCPObM7bgf37c@We!YQ
zwe;e<y&>-krWtdx)i+lkURoCP>X?3K<At`WiZ!1%ZT*w`IIw(+zfX((q`F^D3o-;a
zz8+#zJeF)$&TQ~n{GV*2xXf1P-+$6Vmh{?YE?w7s@9_QK-(t5c+qzew()Z}}KGSzo
zysz5MxL$ekdE;{xnbmhwD&p4*bH%;dC$wGVocoT=aav8uetS3$7JEIjdvhyA(O^!A
zlF_X{?ysuFPq@YiZLQJFnb_)l_C{vmVrH@K{K^fbu74)@uNKkWbasQH!}Hk1<+~3Y
zmfXnwgjrcQ@kzaC-A3`MXx}Y8-cu?cI~A4`#EZ^gJh^@Q1&NCV3G3QSJiVAETrobj
z?~c@c1HPKoI?Frch4nNPCf{($IJnZV!%sg}qQ-Ii<)l2tHN8I3J>C-6!;5w>ugfxb
zPS$hhbIe`5`dfj<+z9^_*6WXiTsjiNw_w}dCzdx4KKy9*-$Ke!;lbCyQ%ko?E&U;<
zTDoA_w~dQdPwehsabQ`~^yq8zCetGS+<6Z&KRC?U`oeehHp|tT(IT=3kDSwJcU>|~
zZsCvlJjUEto^0Zp`+if#i{0jzQmhjGPT~mDD1LrdDEzO>#pq8fy?P>o9%}IWzZWSr
zl69)*l}uqMTgJ<HrCc-i!G;YsQ$9X$o_YL8ON-Z&2(#wDGq){YpZ9|2B*!h;cLn$3
zZ#+G6<^H7BzB>iUQg;`(T%R@ff`W;B)MmMWt8%~Eg!YM7J6M-3Nza?_xFMm&u=Bi_
zg;HG09+v8;rgv43WM<!=?6te?3%}E>>&$10raR8dbMtaAwH32{wqu{g37g+B$x1^1
z%C_*_cC@+jF*{UQ?M3;a$lYb7Mo$(R%=1f#)!p!x>3rekU2pnKm)TmnpB53dFWMKl
z>{E4Nf#v1Q*hV!rLDvnedrgld`p=wZeB@rqft7Rivlt03KIy}<Yr<Uq(>CiY+BQ1w
z7UX;y_fFB@vrRL!=>GG=i*C52_}(*?I(zZQmf80bnxC)TrKPju+5V~{t7moW)SVqx
z;vLZ2ky~}~eOHCa2G_5ZGns@v%O<6!?zw)k<hadbgZE}1a%b{hF|V<kZ_|1`$mvh#
zhx4Z!T37^G1^je=w5}F;yZ+X;5b0#i7dMu_UfY@N;5}i{qz|Ppo=UA2|ND5I)zRKX
z&Z^gTo<8zP<;TmD515aAxzqQSeSg>GHTO4co3k*xyGQfO)mPEEyXO=f+&43()N;zb
z3y~?>#_!IhsxauhivF^s!#nbqt!r!h9mD(`A_oQM9?F}N`AC`H_FAGepLSTwPaXB8
ztJI@qT_Tq;HEXwZ#7|;qcA9Ck{nHt#{efvGH{ND7v{CT8+}8Q$i$i!xD&LW`o|{jl
z`#IlOGN&mhx0P%#KESGE=xvtkboA0*=LI{$?JA5@&1Y?TF8cGer<0bG+mY#ZBL4qo
zxY<X)+46kn`w5rDC4^sd)_7i@Kgajd&b7OLTFf+-|9<$wcf*zijd9DIbc}x&M|_^Q
zXL05}^(o(;=-;^|npvUw@${Pjox%e(3wB1Z>wbybZSA8Rb6k8;_`>pM=YBg$o-h8d
zGy4V4#)JQE)GpSDepPC=Omy$0+&^k-%0i4d9nbChWpTyz{EUr9qR%Yjw-j$lKEvD=
zdTM9LtFXnN1QzY{dGciQ`N=B`n74)Gyx5a_s{Urss;o6G%;(-X8GM{7xp?M{J8z$U
zJLgvz=%Q#`dRgM@x}9^F4OMpTocs01o9fBor_GDLBpH}&b!@yFcj)Sm#Sb&q@4Rs0
z+~4=ToT_En=YL&{ydv>w?Z31RLp`rXj$AE`&1Q$Deba1N`oU@4uIN`w-uOCgnYCWb
z+<bFW8H;17#fO)5p-TEuMVqc<PuYG^Z2juKjamZPEKF;*HavJPtr2yK_uZOI+Z9(l
zTdIXOh;3;)aJ6INv4%ybY;zpW-jsMBbknq8yY{k*1cR0u*;A<kP5=6~_)Ph-PU%ov
z^RAE6>OU3Cb@(H(OThNRq-~;(-&Q$Y&Ng`YPWDdRdxf))3xz~`Qg^Iit74XS54jzp
z$Wt}h=th2$yq#CdiKVV4=IIi7Dzh{r?*^z&kavH0T=C)Jild*TH|6~;ee!O;20Pm=
zhxE@CkK7-`rYCDibv@xc+ro4;GWy7=yvu4DTQ}C&?b*e$ga6O3t?z;uBv{?PFH(Bg
zadLTbe`L#VncSPxr9EmRZPy;k%zk)aS8Xx}Uzqk*fiRIm>pIzv1It3*&v<K>#~=Ot
zNTQ$U1CDJ<9V>*=c{EyL|H%97{<cZU{6NCLm@5w77)v(E{@>iR!tucR4>#*Bgt8vI
zzW+pJHp7O?hI^}0A6=|BSFQEVsJq>*Vf6h~W9Nq0lkQ8~YaFbsObU<v@!bCS@N@~e
ziA$}r)16s(ntrwaF%h0u=vjI5m_zF<4U@{{24@pPxOX4_Z?e+3+2;MUulF7|Kb%$@
zv%q0nV$m-py*2a2Ll(1J`5upxx3r63n)-gt&xxKV_DE`7w@UI|psU~R?{3`MwDtG9
zZ?#Db5=MnFbz4ejNp-I3jNYQ7A1iiS-&@;u@_mzdmH#<2+vhZ232T`C+Utn*F{d=9
zUNP6ayx&)Ier=e+TUV*7<92WL>ocOJ3)lC$?fqr5aB*&eh3=cx4&6RiyJLH<TD?|S
z-s=B>S86X$ps(Tkt=m*&|1Ey@=vUK}I>)pdPv=!xcwNdXd3HLVJ!`&we=57moD+Yy
zmA;#BZU4T!|F4%Hah&tvndt?F3WnZ!LXF?zXIHaCC@uWOb+}sbZ2SbSNeZ10etU6v
zHN;+F@;_*nGBYsqaeP?mw&F+qBJ+7SCQFOvZx2d*ShH*CWW^r^*WE4@%5Hz8r7i9A
zIntErcc*8T^5=hR`mUU`Ip^-~xw!hoOo@$m<P*MeJXu#e=i*Y%>8i0C@@Cu+33O~+
zFwLV*!|ZkMZ|>zi>|51OvV1zeaod6SUENWuj{aU^V7Tmg{(e{APs?w!ZWp^Rc0O}m
z_~a`g|66uyeMq>w)@G5BX3IpgfOqA$)*h5Pemh)pVUUJA(@s&bwS|^vr%wM{;idGe
z<50!QH{JCCTc>?a-6{6ZmT#WqiCZr>FEUselKGeI=rXf4n>X`Ke81;WLI`gelZ)^B
z<1Y@Tgs)JVa`l*0PQcy0i2(u*cS4RH3YxR4nt9z89kz6tW_BN;M|N^Pi)V18n{0Ws
zZIQ)v!&9fHY${zPEpEUb{m7S5r2AvE@AW0$y3gJGF1oQ(P-Ais^WJ;AYCl$P{Ab#5
z%jg;N{X<RdS6Nxol>ED=U40yFG$FC~_l)TmBBqFhJ8^G!I;wnNlFRhcg*T>NzvQv7
zcJ=(t6E%)c%G%)Z{43`!iA<+uYmBwo%|z|**nhn?eLwF*?+Fa@H|>9jcvhUdplZ3;
z(eCd<CL^<q<mX4+#NMW6R96Wm7u0e3bnoscnE!X*p5Vam+rwsUcq8&@8ykytqvHQr
z`RB9MN;6)3-Pf>lPw}Q36&six&#)bv8?Cs)k#U`^z?Q(7jkz{StV;u~WNh1TDp|Px
zh?7LrDo2e#Ps1{{!r}{;?8Nd_7QINEX7-cGVp($VtKKl)%a=bcj=xYY-Sgq9fZLOK
z_Vs19{M*_;y{UHJCHc}=xVEFa{@k&+Tl~i_8*iW9#<s3_c9P91)mJPR|Fv^iIDK9|
z_xQ)-M?X1TSzs&BwSC!+@|ekub7$nsDx}`CyJ~x+D!q<%+TW|Mdl!4nkrfk}JjwIL
z>7oM~&n@m6>R-}clrsIU?U|GVElU5VXw`9?pYy)cr>y6Ac<ir=Q<L|o2mhRF_*HWU
z|B3rbYC?&xTi%%qB(`nJE>e=8yF52K+R#aRI(xYtYqX={se7${mM#-s{bG;|NPnp0
zt24c?Zq`=EMRQe;YS*WlWTkvut^BdsfqQc1x1;5cVypQcI2l|lb#qs7Kiq!y_o9cJ
zH?IHsMDuQqsx-$5zT-15b@c1@$gWa&wxp^5Ceu<yjZ=H&l@^+<|2Ol^n}=yvZF={=
zGO+Tu(mN^4{I=lIch9WE+@tnBANnMkZLS<O*fLjZY35v4$v^!<tM_bs)19U=?bhrM
zhZVIKf4X{ih0pP`v(D8fN0yvYko#BcHnTvZ*}Q1y{s=CIe@&VV$9{QEKlEx7H~;SE
zZ<ZZP+F*H8qsD$6=Y~|lh`%={m~fS(O87j_dSkTaf&%~LS=krTtHZXq+&i7)c6!#`
z#@#ZLmZ{8SV{qp__U7+KiDM}-UVE>9cyz9F&E1sPdGpt+3f`T(JV^E3pO^9VEly@d
zIsg7Ursy0$QFwF5_nQq{)`}#0UflQS%ZXDH)XwI}sNd#T{)Vx`D%MDv`|@Vx^1Xku
z6r-+AcoxaffAWWYO@fWE`lP6Rb!%LlPsZDy+h}opj{Ccl-E|DB*Y6h8>3OhAeAkl=
z5r0cpooo7=F<oW%+E!MB%kpuX?ybt)cXaAWMfsAoAEWh-_C7mU!c)V3<H6?inX@MH
zN9qM0S-bJi7Wd+Oo#Vba)@Qb?3fEm{S$W@Be0uP1k-WI{JL@dg-)rSRd}qq-1$ACK
z6&e&&f7`sTzvPv#d?{PDoPX~I79q}0jXQ44aobz*Z%S8kNT>eiB~CvUo)CQ9_sA@!
z)c0?mQo>^GTnEFI>icGvUHyKi{^zn^3^Go#^6yfD6h8?cYBKLO4CNHuvZj*vTG+EK
zDW-E(@6B0t<<$)>op+Xo4-QT=wOd}d&Tseos7D-rH=?xonZo%0xAP@dX*}V|RpPfR
zIpN2^6hAv~#_Ai+vpm*0zgI}FchX^B;!rp*<-oMv`5h}K-RUq9b$amo%)w2Sp7A@=
z7k>Qk^U&f5MyWsDVqsr)Ns5bc7k<-!;JJUkv)1n8E;l#4l>5FSDej43^6kxaCRxAp
zD#gS<Z2h?Bl3&0^qn<0}KQ5j+^z=yd=ETFr=_{H)%JCNz7F+$AAg{6K_O1KbhH1Ta
z-*+5N@t(%?L!c~8jU(yqy7^%#)9TvnPsO(Da^5?Ao%5L1i8C%%Q(pW}e%Wg5x2BQh
zQa$s+>RVoJGp0_qcpK=SvrE53U3ym87XM=tUN_It`0~|-^TGS4Kc6HpuB|<IU+DfJ
z&)F=095y_>Ho<L<G4qSt-(MVK6v|<Yv_Cr~OY50*r62Rs&+1d^*8J$ok+2D#p<=%H
zYKlPfgr>Q_PI@%2-Zg>Go*|Xdsv~*R$(Y%f!vybp^u1M?@%)(9>-~34<{T7^REb|(
z7$&~y+5s!?UarU$m976we_!enx~TGYs!_hw2A4;@Q&QGET0Ujfnh!@leyzz8+b%He
zX4@O#yIW=mW#}#pWpT06KKp!cN9<dXng<pWau0FnPucV1x3D|QBg^?VbF+khKT*j{
z|4<hzwCh%adeJ<|w9E(_cK6<0n`ig2Ww$Y#)yzDWrBg9Y|C7$N4zAmuRP82BUBw}i
zrKxUd;<)GWmZK|~qU}9p?@m1b-P*u;bM=k;GtN&4{ok##;(mBQw#TJ^3MKDfF&bqv
zS)AxJ-<kT^=6#}z>V+GIhx&C~!{v;4_I-&`SYIf;x@f{O_t$2;(>Cjryq$GHr1N`q
z+#9v2^U8di?A~&iRWltdkzez#_TvI)7w-is+y!3>r~ArS`Aj%IbAP|agvES?HVRCC
z56<fiiW8E5aqoPAlgkyob?J(6Tf(;WUG1>XPP#QA;d5m`#{^E@<~?P<?pyr+<h*6&
z=5J>j_OM*pvLx~62b1W)j_-%dD;jn7?{E0<yX5w#&DnnLJPmt3YG|`RKX~!7t{9Vo
zPm>w*!VL+pqf7%0M5c%we3~@vErZPc4bI+|&M*7vXrLCFGR6MRyX#k{<$lwU_9<-K
zG$+JwNvp{Dj8CUF?Av$C;{DHQb7KCz4^|Glv__{UMelfcn)hd;PnG%Uj|?XUT0O6B
ztNxX$$)Ysn*ZVyieg3yU7gY>7C41eFQP<hM%&_0pVX@-AA88ZC1k^lu&*@iPbvoDW
zRk-l=@!PCBk6hBq?{Mp%lKy~W{+=!U*JTUu*JQtWoD+DAmt&H3(#M!3vEAP$tIln)
zY%)3XY0B-4C23C`V(PC6oLat%-KIzJ(>#{ximfu9N}m}HZkDk-k{nc_C%^1k-<8lk
z+YCP**q5fc#I`MXCWms_lCL_<rzUcI=$kd8<=8zTo}DEE_e6H?S~FiuZ0m_e2YJuN
zFHuvRUMUGTnmo+lWUF(?F`Z~!_MxafewvBK)&=)g9G<K0=CgR0o9V(YFWR{;_eeiw
zp0U(xlX^$O3eP2lapGbT&r&zIpZf8~Ao!$i$%|NyzI|@I-@+v~KI6Th*55WauCV?0
zw&``T$)59t-xzNY+$KD0qN>u)Ciw@lA0s1U*1dmI=YIbEBkd}Af7z99|Ch+^jL+D2
z_K}i<*{jZdv(A`aVQSGdeNf$%|4GL@t2p_5*_~_Fs?YbSufCGYTw7!D+G&=w_}3jz
z4S8SQSw8)u&ZeZo9lPyCN`$4HXJ1{RWwpNUW2mF^dOfqXcaFsUU3)32mh<YvLq^+5
z^Hlf9`dcRI&K7C*KUY7~&}zr-*28|r`B4h?H#08xZMryB`sBuQo4D`xJuX=--Sk&w
zW5gsymEao>ER^%_1?}N`q11L}<4)t!_f<~UzlR#|?z>pW%__+k{H;p+&7JRAzf4=g
z9K0>27PHKrAH6s=cqwz_o~Qb4N6#%RymHig#ZktX6Hb;_i&oA*`^D({y=UC#Bv}sy
zcHC8BOr7v%1Jeb&N`slZH4XQ?x#{prcG117vjxMaa6Jf%@T?G7o!s=}@Rq*Ueikxk
zF74m*Q7iSDu+^;{Ij=TE-FW|s$M*T9qbI*;?BPomzj;2Xb+-J3NBcV7HcA>j-FNW8
z!~++?3%^Lm*{oxIaPU*y^}>~XE#Hp2cb`*!A+js@&Wiq3$;z9PyXv{xKEDh6*Z20u
z+fW6*|CP+fE?#NBKl#ad|F_znx-h-^iruBjC)RM^SlZ6EUUkOhib-Z~mvI)BIQ6U(
z$^5+c@wBr&&szRG3zZamwVLY@^9s@IYvxS?^Mwricy+kBjL%hcH~#zf)8M=BFQL#x
zxip!$*=d38OSi9>eq2XQdeXVBjvY74)?W*j)|cZf*&}?>HqFh{{QJ#^v9n_CtK3+t
zb)e<Bj(1?$yKa%m%@3Yz-NtLNZ<-Z%**}IQ#<r7kqV>1#J$s_&#<z$P?`L1W{=ItL
z<}+{Mya~T=wH&hC$g*vrjNhAoo0S&nJ$AaA;(v8R<U^BLj15KUey8;OJP+<pnQ#4A
zuP8K&&uE#(&n&jXlFJyUUtayWHf(Z2dG+fvl3Yj5@GN+7$Dr&%L{Z-i-M}Zxxq1B#
zk`Xq)_IS*>skPcY=kGJE^Ky~?^X#X(ZTmLY;^363;ve0$@1qSSm?*f#o#1E>lsNHV
z>r-2so_D)4j-EArp66oIc5wN!*#T#cZwky0yD;(gmd6{j8d;L(J_}%&?`7y%ANQeu
z`RqieH~TAvH|?5tJ!9*vLYF&1aWZ>%8Y@m=yLa}S(}n&?Th^qXHa7Oxj_-Tsw0z!0
zw(W~#W1qdLbgFQDH>LCW-pgM*G$vmC5R$bwX<C?4_0DQ_gAOt0d%KIWu5d~3G&IjY
zXCY@c?bPLEcO*}9&3f8&&57Hr>Xj_NLf)EUO^wNiG|L6DW*UXOc=^PH<>nl7t4@a0
zFCN(+)~<<vyT$ug^SuNe=k)6u5AN4W2CjKrywi>Kxk^}kSJw2z9|B*}OqcxFIw9v_
z?V8E!ou5BXXLVR@6~i4ZemrQSPG-G8_qT${|7Kabviu8l+TWxo=QUgI1b5h;>kG=}
zd)<1Pwoqqb5Z`8r9oz@S8n!Bnn)E(=@W9%E|HN1EC*1aTk8r-6;WzW$CQ0*#1q_R4
zaPZH$q8an~aBqX>rr`NJU6VG|IXY%|M;;Dhi~kauU@pz2r?l{g%XFVxNBs_!t}2UE
zxbN_LWgyqKnRzQxmKj@Sz5MOp+<NHq$-k4PvI-~&oSL<-dO_o_s_<L4YgTEl-#AHw
zU-9ArA^$iVu2Vsk>RfRq*|T<fD4*0(+V!?~^D7tM%;~pWs-AAM-<QTa#kTtDeZ_xS
z&tB9>t^JpDn#b?!CheIC6I!}%T`!Y%o$VI=Ywq(^iPIN<T2kP<zsm4@tqs%m3A@uj
zm}L5@t~J=g^kJ_hhv1Zi-VO3o;==>iWX|-^VpDnh?c;;;n=C?GEF!jeEaMk!|8nmS
z?-PMlCyXBzFK<a!WW1OC%rQN|?$0*Q&zB``nr(lS@8Wwla_)ialV$JRJ=8ZPE9B3=
zEIq-`MWS1~!_v1rnjzA&Uv6Qac*gEM|4Vok-G#GaoIfrw+jnPY({zTyd!O<mmG3oP
z{g5QSDW`5tT+d^<m(jvHA*yRq1h4Fhy*aTadas5;?vJatUf%7hj@N(NymA}M_uq};
zul;wvP2@Rq{NJnF(lau6H%T+du&xRHCv*B+^3v;}dM{#?G8YwmuDbo&^uad|Wxj{I
zJZ3Me)}N@i@8rkw=IQx1djd4I<5v||b)4pCpY!^_8IIplXM1J$u30ALcQadv{rRsr
zF2mCEVcM2&U3{0hPWV>DAsNb@QgKMobjO23_XIS~<<3oOWblYS$eQt8Bd&60@^9ti
zQ6F08zr2;l5y|Mf`wSbeXM^1@<G_+L;c?RblkZikFJHb_?fpT1gGH)K0-P_hN>>>O
z7Zkcj2X&nLHe*w^{^<q9N}caFW*km=+!kqh$1!oro}Jy@7pHwZd*}a;?srKSVkBxV
z8AN%Mb9kQF+BJR7Ce@95LRQTG6f^M>v*1pa2A>Btj0WHTe6P*E-23y-#%`(32g~X<
z&GN|kzFRs&?cv<Rn+*5vtvOR>x7cH;)1L~trfpp_|2A{n<v4vMW3F4ozNeXS9Kq{t
z*p@A{KC?x!@wl&w(f=6_3l7GF&Y5H2FI=(e-=BqTJ~bJfv9Slv-;nwD^|ZF>4ext3
z6($pJ>&=)sWyy(;uY6}!T$}6T6xpW#{riKX@f$=n1o$N)92e9kRWN*=;kDvMt^G8o
zbDaXaJszEW{p0sM^B*yB{F~(p8JGNC{3Ukx5%uHKRc!=VF60J%`F_6MVAg7z#XH%j
z+{=0|R5W)TtM31xTFIGj`_H|PR$tt1b#Z$YhgI(6e)+{uPv=cdb>5aRRfEyujo38z
zDT3Ng=R_?`oQ+Q?9JO7OChMd3IKKK?gQTnO2cCq>pPP7Qd$xAYDPTV2(|1nfZs6%t
zek`@CSkCqIYxGNg()LIelbV<?-~9TIr_pK0zgaxECGxuC?U(nhvu&@MsNIde%Djv{
zc=Cfs@+NbYLb}?N&90<1ILw>(|6%FW?@GVlS3Z~_eIs$#trKNaSC@P^Q!DJe;wpzo
z)rZWgpv1>fGg`kZ742YL)BF8N(cL`-zFZ%4uRVLP=X~^mB{MuL&AP0;nYwLCxhGc1
zJhYr}`|gpQThw~4d+SP0%waQsxa^qegx^g;K_;hSg}aX{?NGWK<RlmQ=5+ImBuDkH
zn*>hw{SPlpk-q-?amy{89U*6b=oFePx}oKCF5z!w*L3--v)}G*FMD3If8M4o2~U#S
znxigXS;*FZ<}Szg;}$A+RNW727|yzMW`0di{l9<rKSiF}K6Rp|`QKDuFTsZOoEc&l
z-r6}N@2Fptp4Hs8zb07y;@fEpLmH#@e6ueOEBda&Y|oqd>Ok#kKbcEzncH@%z1ne4
zPd2SRwD!pCPiFd_oCybJnr*ks_L}ro{eu6t@Xc3S64u9RGTaou@%UBEmyDVPe`U?J
zu3ukk!8hqkNsI9Z<J*EPYWE)Py|iP?d&a7|zYPKx&L3lxsMLR2dpm7+Z-k+?nUmTl
z`Tos2Z?4ocn0Tgt_Vw!*zJKZDkMvLLzi!|A^7CoCo9jCm%@%J<NOVjO?y<X2lr`h`
z4GtLzuQ`jejg1|RCd`~uFn@oX!_SLcFZu5F_;tSRaNT{~<FoPc`TH~GI&9jgy6|;G
z*Pl9-zlWtJE3N0S%uiH|5MA)3w;+6RhpT+gKldX+8=DPeKeBF;tn!;Gyw~=@``pVB
zk`sPkE7kaROl`B=U$Gxs=Irin*(JPf$LmmyCkD4(H$KrT&kLP9$8o;hMDwoWb!py@
z<E!NtcBwkE*=avym>d}1%w6;S=JAc|XFT8M8pq<k`j$E81cirJH+StwKD4O*L73ir
z?M?e;a0wl7T)OdydFl)8u+`a_@79FO>9ySb=cwZ>0~xNZP51rfBRI95Prr39$?HRd
z#pl}{!MlaIT3%o5ebDvgauZL1XK4d}?d`yw$9dOGsn{}oPyVwdmYb$bTy9vgq~`Xu
z%;*@49K~ao{`g&<{7dU@(|0BLx`e&661Q*$bZj;H@^)9#MZp!nvN!5#oUr1%srRBS
z?eQ$@h+Mv--@hDrTr{Klh@*JRBu}A;n<b)t=Xhqn*!qrDOi;J0R6C~r%<|-kOP?fO
zUcEW=P`1{SM_XDKhqV~hKQfZOo5A9ql(>Q^XmeG`(ud_{>ec)%;*67<TBd(H#~OO<
zstlj+R7aQBN2j<i(%8*>@tXGTXX>#5a~5})aHPjpoMgPx{>+lW%Cos=!>(o}$47#e
zUPW(SPn)2^aJc=h=yxNQ(wd-2g4!xoB^qkKE4DjDS0A61t(B|(LRm<Y$wHp@@x4j@
z`^2C1My~I>vwltMvrYH+ZEf7H>oWPmVUIIbZMA9E+6p`FwH=Z?`%|Pv{*OtHZ&T$7
z!}v$H)<2LbV_Hxgx;p7XiDQ524E0bEp~Ypk`#o>OUi$g2wCL)pdk41dY(6~Y<LP%!
z*<FT=Y|r;GDoMs2=S?~xn`_meX})ZVtJY)wyPX}k{_36*kC9nl)6}v=`jNr6whe!t
zs=t}1v0_Q^{~Tdk;a*nOTIPR6|K{!t{q+9qRyXE_m$xP`Om5)+^1w(&TK32bv)slO
z-uwzv%j(}p`?s*MF4^#6rpGgu&FxN+(em@>@II<u5FNh9_~~=&3xYOX8%plzAKYo$
zcXNia+YY;nvBp!MSEagitxcWvk1exzf7R+`%1_oFKeUv?IfIdP8>>S$FSGWB)&pAm
z7!8AxU#(ANtthczIko1M=|ZOS<?635&T6fUf6w$;W2M-I#Tz$o>8zAqQS5uOXP?+=
zNx!;nN1rdNsFjdf{NiqY&*P3~Gnt-QXHSq`80D<3_`#LoFvGzaLen!v&plyNe-zxn
zxpc+7keBVv0yT^GzE@>lmO6hP|C|j>F7N&YM{N}hKOGv$C3p0b?829FCbJ?Ix-LpF
z-=}opo@s1kx)tBW{Uw$MnE4i@ZvNt4yh-TELYH}GCF_14nzr)PYej?OdQ-OsOg!@2
zr^<{Yu=$Mc+NNfn58nH(u3nb&Nh|VQ;i6r<=gl0B#<tbwot$=0<HFJJ+ZQlc?pM5E
z@|iiNCb@WTVW!Ye_W*YZt74sI#Sfe(=WESc=6Jm?)%j-aqGSJcKA6t1ow8}IkZt_8
z`!|DD{?U*Kx*HWeD=bWDpUxvcw)S7!_CA}pYf1Ud%~#F&GCr5iy6{C}uDFA9%3Ge?
zZ|@FAsZWUsIj>v(%hI3kSG1o<!`+DApFb_QzMXU5jfn~t1%}<xlaI?BlZ{-+sI+V8
zFHVhr7vh-h?(!MbtrHTM)VBPD&mx=7wbjpxr)^a~KTTq4PXfz_tI}ad@{&@_^9xSc
zst8`bSLC$+(5Xj%_Bozk_o|ESyY|hd2X)VL^1MCNO`koC?qYFvtD5?yWRibX%rp(H
zlftU$!YMCOmOgEHGmkrN%cegpn{r$aSq2FxMejZSpD&+xO{37!x(kycd%2vt8Rws6
zDQU@-naF*>>CZ&<gFy@2zpmOmJFw)!hHh;!xykhl{ha1ZUm9sS?S+lLcX8D@!|Lew
zQ!T9v-7c5>G5s^6WZkFoxolpoWd$A|=Da&Hk4>Uk>;tD>$2n`Y+T!+a*ZMO18~Hcx
zZ*8~hO24GjdV5!{S54J@+fo^m6HFhkm_E&H%arLdzH8d<P?YL^(BZb?+Spys*j(>@
z%xdmBR`K&leaAJA<C!b#%JUi0(yEsgymVeC;j>B7_x52!-zD~^ex1EFQDD}J)(qAE
z!k&xbVk8fKop64pNOk6MXPcUo`f|Y|yQWtef4;zy`Mgz!cYn*;5VKt_aqa)OJnO9%
zJ^obo_|Sy;qN}tk8X`CHES-`!p~ZKBYI5SnBS`}L7w>(|ad+F*#6J7O|7`dk1YW;w
z%^y;1Dl*^SIO;ds*Tk9g?i9VB%&4HiT=?6_;o@EA7LD&ts@o&3-(Y)L@-j+#>f_kX
z{nsaD-RI3ZBe=F>+q|{fjKVt~u-7vje|}i^Vx!vDot6fR+>E#VQ~JaodE?^L?-r@@
z#>=udUFr_mCt9C3$7N}YN0?T|vDU53&XP$9cXT69`#0xl-(fs<ddu!Dih8{7iUOl1
z+bD17W7f3#I&pKf<*(`mKY5wjiX~;Iw}&(f-;9n+v;UL#D#0e}(6+PpC*N4T<cG}h
zE}zx&&)!Jwy5^<ge&7tlD($a}`BqDpFRWo}x>fh@r0FWzpf^EJF9yna{tETB-SDQ8
zS;4N={oe1dZ6a&0EIqfl_0z-1P25Xfe>{9exJEi~&EEdaI;Mp$6P$Ofn@~A3%fQ`$
zN712g-oc1v8omO1Z?K(kv;7sys=2w>Y`$8&c}&6D7M4F(Gt3`WoWB)$cF{B8J<PLi
z*OVqPs7G+#SbnZ)J)0Z%B;F0#mPPIv3(JBI`CL-AUTiVfTJhxRLv<(g-|)BQDjNsh
zv2yIW;vAO#LhD)VnH@3z92?A)%<o3t(Y{*cBKMhZR>~XqLt2JU_8$H7tjE-%eB$I<
zzsl`vLsvAm^*W?E3uiJbsj~Spdwvj^9`Em9ab5mM{noVyT)HcLFW#NFs9kaThO3#b
zM~pOfJ}NP_w%V?Bw|{%nH09Et(zinQ?dq-2VYqj_c7;c1!+O^rtCzMVc$-vQJh_NN
z@a=8!59?>|RXfMJXx+B5WdWNGs43fCGtg{q+LCu~!KtL*caDU~KLVczV8UJTZg#TM
z{`Z@wd^xk9|Irm=hme(`fBwF3+Zweq?C>Ou>)))VN*`;MtY~B860Hqdt6BH@*0s0s
zE6f!wCmUGp-Jx(+YLC_7`3s+|x?OSL*j~m*vqfJ|Jmc8Q65765%Ww71zSR5*f6><~
ze;oFmdcBqZi%9Y8yAy>LH(%#lE*7hlHSgt&wf7G%FVpH-e5bu`=Onv(GoNnBIx|~$
z$Ik1=uYH`t60@aUud0Y~`m?_!drp<}U5!06Ygg-rme2AZmNFijxy1ZY8qaUXKgt3O
zspTa*|HT=U+%jFe%==~Ki<K`DUgVzWVh~JTrg_vg!s~Xs+dIM6N9KC+M^_unifAbG
z2+g!pxu-kNC}N4_I!FHpQ_N#@FQ3>a8Oj#h_ayMxqD8ZVzE9k=bmzfWOCC2=n7wRv
z)bJ|&H~spQ%Fu0n3bL$=zpAAM^|75?`70@OqLZWOY0>5tg|)Fld$eEgH#=>Wo1|Ip
z$GQESlQ-i*x3`I>TRFG<6|(<$KFKh1<zz26*>>v)J9pubE0ZlseJ_{!F8wdP-Xo)3
zFuo??O~#+?9-B9s&sg7lV-f4O-))t~2enzwbAP$nS1bKcZf9AJ)rxCIYm{bJxON?I
z+9eR(WO_h*^SPMs^@6Yc4`uG`mJ@ak5Bo5??A%_(cN5~24!7Ee=1;pV9~HP=@z2!K
zXA6Dqye#Xpy8R)|pYs+|%*C{oFSJ%qj0ryG7qFi7ebxK=?tQD)dmd<uWKc-Zsmgrj
zwl%$H&bv!uXYHEw<r;im<gA(g*0XrkNp?|=h=R4wb5Gt?Ji50yHp8_!HAk2$>Y{~8
z!`ZT#p38pUby1w8zidJBgmYgUDmj;Ti{_|Ip11eGfsT~p%#D8=KYiU2VYy82p{Dqn
z2E}I69G|}qsx$j{DeYmKqRqy4Vb(0(;3L8Q+6_YX2X$X<zZ4$leg58sqK>PVXTMtV
zMD<y2>DdW(id`4<_QvZN#9V4y{;y42gl&34lmcI#T`N~e+`PH!T??foxgH9H1hF4`
zrCh%L-r_#b;MH~;9~|eo=XY2(By-6R`}+n>3JgsFDv}|G^^aGq%&09@HxQiTyToS8
z!iAGA+&I(K-~HlfiRYyMiHd2pf$>2bZ4a5arLy!EY4Mzn`(_)bvS(G0pA_TPB?ml;
z8!`?aw~*sMoV8bEQA_ES5WV(^6WHf@pT1XZ|JrH$-c;>Hoaa*8*S9)Nn!e{WTa&OW
zr<JUDHRG?sw{ySVka*s3biT~jfR2=P5q-|GQG2Abqr{iGe=1}%b6a`h;31LKskSCI
z_8%53Ua^|xNU@^LM4ktiyX9;`63@z>dY-@$W%52s%<Jk8_nLQ`LWDCC?0-#u@L~^#
zSjst-Qcc&1d|}q_n;7|T_-xU?G&Oa8>SgaAs%dB2p6-1oe795HW@D4~_Q@}v>K0b@
zS$|ZxZ8&LC<|f_Lr~b~9BzjD4ys~4Syiqq{N?K8;^uqHMAJnQjZd}}@vS``4*)N-S
z3tGL8@?9ci&anB)vF^=Jziv2s<gq5#cUO~DpWGhp+CTZ@!~9pX-ye@XP}9xt^sVdh
zMzxhfFY`~n=B|75Z_4H<rO&a?UL+}S9@i}67JJTjq?W@%xMY5-`Mt{RjGt9P8hn18
zN_eF1;p`cja8I`S-V?TcMv3L}of8WWN%6PcTel_iWtQ{diw+UuEz5JCr<?dZuzb7h
zyABt3+DUewOdF9Oj8CKXtp4TE+H<Za{Nm9UJ5=OuyYnr+aDs2m=`$*mbVRmsedIR(
zv`Kg?rvRhJmbS`dj?)#qv7d_e&k<4<xoWkjX}h*swxG?e{_+;7Tqf=MB`eo_2w#{b
zqkD`)ZI7tU^WgO2zbxE*96KxSL|FgYyG(aS@IHl>YyN**Uwq(tI(uu%r=|<P#WS;R
zE`A>IW4BTB5w5_zJ;k4c795)*aAQVD$}z>DY|FNP>;Lz?C|ew!_2N<5frP@jkG6zl
zy>C10`rdj+T;`eDeb2V)RWU0}J8yGfQcud_Jyln3ryI!!xVLAdF17x<CBrZxxpCs%
zZ87mepM_((lA_GEuB#P$o2bRUPI41>>&Z2Rg)^rfuv&WKQNzw+_CNo876~^hdCgee
zbW>Gsr|H3&8InomVVn<sYdpR-HLqG~Rh^!m_`(bIZ+${z-DDKzYkb@&6P+y~v0~Gz
zC5<;)q%XuDdDpeAE9L&pPdVuy^)(mnT7Bp7FQ!=LFl&b8X1z7l=a%b#7Cv?ByQZny
z?T@Txa?9UG|80ABm9wf@|IuP*;fr+#*z&|q_KNUbv;Lc57VhRR^F&d?qeNvv^0xj*
zZ%+C%%=_JanML-F@88IBGk3$%50Ya24{iv}SSWJ7HpeG%+2`B89xky+->ca%*U@g)
zyCTh>3iVs^TlXn3PnvpJU;2Q*cm+3OTj-Un8HWw;q&C=>eV<_U|AF+ThmYnm@a$;n
zU6%5*c8X==5xtCK>fb|n{}w!at<RV^-{8W!6OYttr`K5OFDUj1U<}{>Ps!rnzE2A@
zFHcHqcx=6B?!KPbJ~y6@FUs?0{&-W}wkC3G!}E~Czc(LfQoiuE=e5X|%9B3NtzK`j
z*7AIKFTYy7=b@}ug6YEszm|_XUvU^Mdhxz}J?|QB7H0b*Hz$v0_GkTbj_WiBG&tsT
z@4K^f=8Lz>k5u&9CABYD5Ti2ZM{E*zz_I5Gr)_r-UUh?SG0!vi5Qa;37f;2n+pw=M
zI=tjW*79Gk3U<bPk5T2xebS$NrC{??=SL>pcT$ucj{k76J)7vUfM3xfVD=JMZr$c0
zJ+0Ngr)ISDzPT&7)TbiFPTEk?zii)PhKhaq?OSvIhr6Bky!!w4uhh>%>kaNJyi9x5
z`p|w7qv&y#WoHT`4!P>Qn=?sP_Ss_&m0v+ExjUzO&5A!Sc>Sy8tdDp8Y76S|$QP7f
z)R{5M)~Q5l+Y+nS=8k+W+Y^>b^mp2|z4)#-**dZLZR5UJ#h&BaJNLdj+5AVc{>5Cz
z<6mSlp2ig^UVd#-dxy(RO19_Y`4cm%syfbwycST{S7az$X*&0dzwGU4m$TZ>F5Nqw
z%O!By<!<BtUk1v~&pD!(=B)YV#rZiVXX%$fp5U3T^55QeeXx`3tCie!$l~_GCEk}@
z&IUG5P~5;7x6@~{wdk4}=EZs38>L@3|2lHccdG428`kOzZYq&`Hy_>I_5RlLmut;;
zl*Z{8PVr>?n0<IH>vNG6HCN&p<3(@r>&@N6Rm*cM@we{w^xS-*`vErByUT>RPTcvH
zwA1mYvAx?*_6^e{lJw@Ze{6Ulr&ic@-1hd?WR)|fxqEdO?DV{EO*pB#H~mYW%7QJI
z_P?L|%Dic}X<c(vyV$ZF{@r%P4G)7wy$d&rOh0FwQhTw^&(HFG@NdVZm5mO^4qTe$
zZ^X;pIEBOD*@Q<|qi5a-aaXtQtyC}-P@Sr$EyAZ|&ie4_&fn{=1b(-lQmEUR6RuX2
z9i3ReZyE2)8+w}GzMpi8y#B!ZXuHmys-!iQi7#fn*dfc^bU9i`up#=$1s?0PRQri8
z>X)4~T<db)h{h!DxRTD_G2b?S%Dn2kkzbx=ao#cCYSL)(H^y$qmTd-0-4AI^T^>;O
z@3QT}?B<!7d#blxII!vGv(Lw_o4%X++UKEi`kW6(=CZuloH$!;LDqqC-_E^TMJC1n
zlc;6hUpwK9W?6^uW0hdJ^Bh+n@v3LaT&uZR+|_bq-!@SW<IgW8N-Eb`cYo8+Z0(5a
z=}-S^_3Z`!$q5F$)2CaglvH@U<y&~v`szp7JJY?x4kp}=y{We3`;_4FC}*WRmds^a
znGLv<S1#F{ym}f}(JJMxiZ-Wq4K`=~m<3whYWG&`i8tUfpOwgZ<BpW&64x^;ewgIi
zow|{1=eI8SugZ=;I_yt7ru>+)!*15y9V_2Ion08BI4^dWNYL||dyV=1$tx~zTB4g)
z`T6LI$GcoaCL1uZt=eNaVa2joP07Oy|M_m(?LEtwZNML&RvvpSvF316Qo)V+SAM95
z-L`skf78MD-<+~^!;cnCEE5&}z?JLy-(0>@TJIPyJ3s&1tkk!ila#hi@AZm35!~df
z&++D@sq>{3I_4idDu3JjPgq(uqgQZC`rpkNvtnhQt@`I$yqd2la6^cJN0be(@Y7Q_
zPe$`}^!=>WvI|<caK^Flu;$YnGv+Aox~@0PPW0@45pSO_DGh-~JQa_u=I6Mz?e&i}
zq03oLP5L-jJ4txjTDu<~gSz=%|9xq=>(oDiVh-2#;`G;-CjUPCWYV73+xP>D!h6F{
zh&0WTC=PTfKXZxW=C?yUOMlI_5#O84<Gy(5sp3DE_k9qxJ?7(kcjmVk9*ZsZD(RQ2
zrke)jDw^xfVBN0lruV;M!@~(X_@1oK^<@Y=a84m7tnjYr6s_e&3#aiVas7GWc&t;Q
zS^u$qStnb)#{aBUEm8qGyEl9h-`=jvapOVk`zp2zeQ|N-uE(S$ibQ9;I3;rZ#m~=$
zJrhqq2+)>a=k$X2P~6ND;R{3!Z@25Phu)pS(p%cqVX=I5*@J+~*OIFlj}@G8u0L(~
zz@|5OL&maW$+1uQ{VjZ#1-NY!ZwRg~)!Mis(QLiG<HpndbF~dV#@gIj&f0gU@b9wy
z_dYeLD<5E$+2*!&-V-KCXTh`IOzc~2a@J0Ia^l9mpH^Y3&s?7SRBSVg$+~?X!`!PM
z>0H{AD!KdmgPUH4uYO3g3s~<exNHAOY5Sdq!hZ(eb{%iBjGI0&>Gkx9i<ibLP2~v)
zJekRN<5R%FclSyg5A05fJ*V^VXzGqV8V$cS;}rKD-?V<8vUQx>^!L{uUv1SsG=EY4
zn|~J<?QGe*z2twDPkL4Ck(+C#E`4a7pRHqf{^&%#b57Hr@~AASiJ$yt`;MD(j6b`Z
z-dC^rs4?4T!_Bx`cc;cJD4UzM;(+q=YOe3fN(TEL2FD!qi@uq1tER(C`HE-FJ<E<e
z%q8n@7j3>Ba<{E>e#EQ%tg}p)Htww6H^ua`#%<Myi+&k=zxmpd$s#H6&@{eNj6eNZ
zBU`Ua-C?{ldBG)}71!p6W_s^ArZnUJd(Zjxvo<|m=j;6aB8&7w!3Dp=KXJXR{JC&$
zW03yrjvIPLS_PB#UX#4EJ;CJ1v>9Gzw`WdqJ|8LbI*3`)Zh7JB`Rq%Qo^?+uH+ubI
z@7!H)GlZF@PpUm%Uw<*+$B##6|2)o{)5fRrxK%Kwr%HOGX<OH)gbxWVk8JiiDl0xX
zr>kMs68gDqnuma*xapG{(=9C|v+pdBoS<@G+viOz3rnP*J$4CtG1K^3{;eMxhZ{fK
ze!NP}<f+?Vn~jO=OU|~GT)cKiWt->E&6h&>O3mtn7JQpE*=luY&#C)i2QR2325Hr^
zE!32b?qOPCed_8SlO>_Y);@XVaXxCxCQFAaX>4!iYx3(qxyo<QUY9ZZYVeBPr)K|t
zXj6BpL{O<D|8YZWt;dOnXAUSY@eAabVsOfK&vAo;&(nBv<UdHVdU<_4awK4Ob^TS*
z)a<{nIzk*>j@CQ~@2gnnywrEyoZl7N6Xz?i&6{y=+R0>P$vlRD<&vQrbEEfN<;t~p
zshD#4#2y9tfBW1;w`+g3ag06Wvcn~%t+>YdWd3WVHy)d!Sc1%qKBcObtXSb>$Ii6L
z<M#Uh&vg}cW?#Les^YqPCWq<Sy2cIGmv%2Sf9$q6$1Td@*Ym=&^ZzUm+iRe=Vdhso
zZktJW*J*jlFncWY-g4OFxz=XpN1CFxCd%3xNze9w?4KQS<&o~513r&Fl%E&p=jrzI
z<J+|S%MtmzUu_oHrbNrFyQRD0^zNq*M5`hNE}i}Dke*+vukz(7t9YV{>Ql$*57}q^
zw77X^S}xOu+txdKLNgxm9s0Y}^!g6fOOhVXJtO!6v%OA5WV@{ry&*r{@U8Dtd#(E?
z4fwjBJY)F1q|L(jl69K3vegR>Uh}zkckO!o|80!K$&KajUHz;1lHQkdF1gaZ%wk=D
zjDO*#f2?iCtd4%O=(zFzpk4c%hyz}}*@>0$iiMu1o_DpqR!*@ATD3Ch*}o6lcJ8$>
zu@m-goH|!C+bq<6`o*;9`(_d67M*`%<*&n5(HzL@#&cWLHzGZ`h^O!zM~Y_a{C_(9
zayD6RAGoLQIr}JoGM6+<sO80}adYne&v}|4qj6$gQAW}P(IPWtGdr~#pF>;EHnMh3
zcWmQS`Lee=^Vc)~ozDH~mu>Bj2z{J+nD_QIyX~5r8YNdm95}AzvQ9cTL2!@T-mV!O
z@1x$=tLOdbm{GE~=i}3-wkIrDCmMYD8T#<G+~Y3~PR@-ISjzgYQRK3Ru+=11m4?4z
z(^pL1sJ}tmbyI^~LdDv(TCz`6Rd%oLZ*5~}o%-w8W!ov*r#jc%E)&UOd;aRir%02Y
zFDLT;#@jQVFgq7>{k_`NtFCsjiq11x!?thzyhZxaPQ%sL<nR7x-_M-0&P;~)hWDpN
zdB%d3GY_jy{iAYeo<-Ad17XYCmlzqJSkBup^WLeM@22S%-c~RUELwhb(`={vMc=n3
zo2wmo?XINJx$WuV+?x+tG<y%1wl7#LZL{+J)pZZzKYv)^z{tB@=$9j>2WQ#oGn?Mu
zzI1T1qG$6NzS7w;uj)@vNPV!Gbw=6j{pw+Bq6ED3r%v>ZHPhLfS<N+-_n9Q?-7`GP
zmF#x<So-fTEq-zT+>4lFKaW@mp0;29O(}e<l!egR2R$0SN2WIF$?sLWbE)8ZXNP;q
zoNc8K&u_T6R;PMu#X%>vnx#6f4YGm$6*slltuvb99rg6*)wj|O4TlVBPR0GZHGNax
zx<hP#q^1?5maR_`p1VWuQsC;N8}Ds0*mv}c-p@-Pll5-O{85zp<+8q4VMd05fX&C{
zZ$pAte)^qfcwIC*tg5}<?zL^R*y<}nJ}P|0-+UDW$~S9VS`i~{R<-R9Q<6d9$)>Cr
zwaOq3Q`^%e3)g(VdT7n|4!tM)917h#$`;7U-CVNU>f`?IZwuKU3np-%QkGa&Ra|)R
z4d?e2TLhojsul-6EAdJ;Td(17@Hwl#b=gE)vEHkCN@C%wvNE1rdi86!Y=icK#a{2u
z9=1wcASZSrcIA$|i9bJV&iyjQYyJ)Q<2N$n7X4RoS>yZdMCv;&-dQ)2j7`F_cACs9
zJx~=sn_n^KhkId-M%tmzVTA=(rY&8*!ZT5o<6*EK=W<Jfi)$7<5dF1JMtakYP2tyX
zEl~XTD~R#Z4xTUDKBX=ZUAc|5_DgQ%U8#qjn;-1IwIX0;<Kk6viyaekd)*fKp9p%8
z@*?-}vSaDuH+g0|a!4rdmkpW8^D3F^?uMtb5s{ZBJkd**{V+4UKW1t7)bG9bj#j-?
zO-vJXTJkX0>zaP>o9w{qFH<~9_gwsSKf)|BoPDu_t99|>s2{(Yf}(o7GT8q&ce?2B
ziqNn0y2&PB+o><-v3zm={@88Hk6Ls1O}dw=Ht{&W-4%I_{a4PX9XD8+e@MPYvdg?R
za?XRr&Od}rR|wcY$YSXId-91<)oaJfC5J4yFPScDb3ECo!gj^JJY-tyGvC{O-$Jc@
zsvMn4OBbhiI%m9_Q~6_m?Z>74+gz8~Ztyob=VVm#_sje#bsMTS$lcOi^j$yUh=;&U
zacPlxJ3RTe3;a1heOb}cqo&7sTi@?#=ct=gzF4ENWA{gEhRB(HIp0>Za?ZVc*Y)-K
z3dL`4A~a3A&R3jVyvIoPy=eJ@57Qz}Db4xZ%M!$LfTKR=-G<r)YhPX1wd?ey6`d<5
z`S#D{*PU&^(wA@UV6^HJ%M+_ar?v@<F4_(s5;SV}zuENs%-rpnrN82Dth{P9sZ+V7
zZvDiS^QEtdD)aAGdCl}pZ_UrOob!V3EfQw?eBp$w+mrfNVav47FzJV$-~J)`L|1dr
zwf~wcyR^l1s!|%#w6Z(aa%{i(;5@thy|dK`zN_SaeEPCV$7%MNrhN}WX7OYl+3T8o
zmQzMttt>uy{=-MU^E5wKyeoUougn$iv-6vx!<*S^|2AzrV{O@7nJ06jy=Cr!#jp5|
zhr3<Qc(L>~yUm=v_s?_8Sae{AojRAsloGF3`u{)wD=rke-11tzMfh*xxfuuhW<6rK
z%Euj1{^!7xi05u9H~$>}S0mkcrc7R`m*LP&mvbv?znZ-~$*irXxLbc`+moV~S8Nl?
z6<=L6+PL~_tMRsdzSHw>ABnxRbWy~&)OkCE_wLQR!kQ%Wb<qN*RKvbQ8=fU^+sb5O
z8n;>SU}t$hPxdnBqZ<zW{jz#$@P}&=j3$wb);4dDInQUq727}c&El(Pe=`<o#rIBk
zKDaG`TlLMGbCVm^Z~ngLHSfhPEtek?JMON!d4ERx>42U8FRbF3S61~g`4aEE6eIOB
zPvpJ4OrK@n>wX_2_I~n5ue`l`%#v*6)T?8T{LL_Z+dW-FLOfxP?jhZ*ys5#QKM#J%
zxtdb>=5)cwCYQ=`-PNBn-(*f)WIwsAJ(}@P6noyU60MaSCLSNTeY`d(b8#KXS$cW#
zgeCL2_q=tuspszdXVc!gm?l4G5x<+R@vZaR7Co6Le0gt*{-Kb}z!bK)3%fgm)808T
zGNrZtiENkoq0YN~TlXdLe{q6*5<1y}t%kvxX<N2j*q^s3L*rs;k=b$C4Ttpp{rGPo
zE*#F5YvRRQ?bosA;)9h-CtkREX6CEyXH%JCm>!5k=ghdUHJ2?h?EcTPoP+Y4JMX+-
zbTHX`|4$Y6Pa(&d4|1hMsTn$#M9wyHPv0gZ_@Zl?*NctcdKZ+5nEd|fV%=+6D4_Pm
z?wH1EALer9<@&exOwfJ9+%0lXS+-+y6HEQd!^?A3vgCc$qIupf{*?Qky>yY_j@7+R
zNed_cH@}<ldqK?J_H*BUobgQX6PfyC|GLKp2NczX*p5uKwhlhC@2Duh7B5f#oaJ@@
zKLvVNI@s+KQ(5mm-Gk{{a;B3_0@H%9t-mV+Zz=6J>6%-n-TFLn+nx10YM#D%$B@93
z)iXsj?K;cN^cOqAYMJMsc`SKf<1t4cL*Bu~XL#Bkc?PYG%jYbgG;`wnfIpY#vG#Kw
z^~rTK{nKCZ_xzR2zRdml9DA?IF)W*LAwqOBzwhG9965mtt)2z7Tv_+{z%3tji)FgL
zA1sn;v*XkB7rDF_oxbYtaqYXyH$IqI_UW{K^<LY<Cm9yl^G{g4TRK=dVnSHdu8B3=
z+}FL@>ysWt->rHcs2HWY=QgYO%jpGnuO>0S<KS>~F^gN@xaWS|;_Z@eoa0g!-#X8)
z!o%^zO3Y4t%{={=R(fm=2TL<=>%4j9u(LsW^T#OT`f1jjk;_b6%b5k(48PtMea`+l
zFjex%na$gutzeUo;K^Ume&gb~d2xUJTCKVwX3bx{<7Gzb&bd>Hj?H*E^Kir#FAw((
zw!io7E!e>p9;IR2`r228X~uEkDWNg@Dg!l^>GkG*`)E5wqhfQ()rTzi&h9^>;mmYq
z&+Ccb`}b$8et54TBx{Ov)0Bjjeb*;l{vRu6f9Ejw!S$Rk+8>K;=@F3p-zEKO(cj#y
zXS}Dj1_y3<_-DS}p3XZyOjWneaR)A2%e6dRl%GjU=Vw))Xq(GYarN2x9nLAzALHsX
z9*3F#R<}^u`=yNKUHM5t;s0WckK$+iNcK&-eAN27W9M1r)Bofyo83AXu%AIWd<lcb
za=Vj#8~4mlov-0@S>pVOGoNNZUhw$L$%c8Kyk9==3Ud`&!Sq*U5ucr<%(CWVXOr{h
zT6c-9T0M8JTgDR}9pyu2f1VUQnb7}FIEckI@|Q!Xqie55_{|%uUPQ+Ee@LEreWO*#
zT{bTnh96p;ofDOkr1ej|$y!sz)fw$uCT!bf62E@qLW_eZWf`Mq{A%27K6~fXXFqn{
z`&C~1@9DmI(|0)8E!Uo3y+yUpguk%q@kvuAMVB=qJIsSGNat$Ev~%9tsO~(0MMz_v
zX!V6;Fa66cN%A`E)dIbzUDp&fGwrsVs&sy5>a8V*N>4DGCMQXoecSjaG~ayPraK}l
z`qH9~-%$0wvgh*D;5{|6;g1t~Ic^k}s0Z3izi&U2VG_T?T&~hjN(Ya9dBy*FG2fhJ
zl2UT>-b}6FUy@MdTby-q#uLxoZ|yePer{g&_5ACN4F6hWBOCNmZm<gMty*qfcH!#T
zi4VIcHwmhl?qBn@N~R&SMKn}R!eluY`*nG)dl9m}F%E|33KRDn+>{Y|;jNCla9MYu
ztn3%3+4s+z1d8l<cRJztw+I_CxvmL@lg|}#y%%@ipBAob)a`7!!ZQAf)7yoC*Z$be
z5@ZRrbm*FD;T(B=<s!+q-K=e|;(El-_3Sgd78TsEWb@W7oAtO3Gn}nFzJm8xK*OKo
zD$@?FIQ>Ct&AL+pKb`ZgubsJ|zPx(PPS&)LK<NSpt*+~{(-SmaNDEDvxTfUSQ>Dtb
zjd~khou%zH);v3RI`L7#qO7w&9P75fIl=q9_4UgI`R$7{R<O&mZ(sg8__fV>t&crU
zrQ2HSCou%=6RP-d{a%4_RGss`xn;UfPhCDe$4k)mbF+3`my$%ylTBBgcV8+wxTVm>
z@A~R<InVumSufhhZ5r=-%Y&Wy$0WJxm#3A4IKRByJWniM%!T<t*?nJiO?$zr7Xn2E
zlX>1ezBBE4(!^N}j)&KVZMV8yasJU=-I5(f@7kVdYuZU0WnDhV&61aJ&L>7Jv}?u<
zo=Rm01`XSmD}SfYTK3ZPeV>&l|1ajxixzIWdxcMDN6DLuh5tMguFO(fr=|VRXJ*s;
z|32UPUgR73+3Z*U;O5NV`O$uo<4WgB-=}*w#x(19PUv-XTfc5kjM@(t2`427^=rk4
zjyELAgb2Jm+t;+FyZ`s$E2@@RW_-tu&Z(`H4R{nUXdrxf$>aOA`R^Y$KibMRgL9kj
z+P?gP;8QIfcR1>+OJx78sF-VfcBw}g&$Q0=7wzULc0Tc}Nm(MitG>UO)pjXns%hMw
z8cE)#A|>8o_QC%97dB1Ux_M=Qx|`e5wYAr7vV7>+a(%Y0$^RASvi^y*JkMu1wNb&>
zJ<ap%X$SFD9G9niZ~nh+!t2zdW`$~QF@pd4&RpT<Zt!N-oXmRXyuqd`hG)e~ZaI71
zm#Xf*GVP(>pKH&2Uz*sYzxgKVt0U`qQDBKj8Y8ED-uzdb`xwvOaJ;yd?~QKKgk7oH
zSq)wcYo6upTkI77XwD4h`;M>YSzO?A2->px?vd^V-o<yTr|<v%_tM^^uS`eZKHI-)
zfeK&kO!3v*6#|8}Ka6~mT75}Ma9QKdCEc$)e;j-vvTcrZXL4=9pHnj?AC!OM^UK^>
z(VoT8XVZn;@?R3ULG7Xr&B5jW_xs)m*?Q|zngzqB62G1l(>ouR8C?B!TW`q%6RC`+
z^CG8AnmS!ObhZD_jy-vc?tOfEN4dazsby7X<T19$$nsk}O}z2jjmtYcTLToDy}x?w
z{@hfSwC=l4aL<QEV?CBAmG+|+FBp%xXtZp&P@^H_{ULV4!Fr)@1*Mux&1dvKO4;=H
z{q@Ou{a;S?@dSSso@knrU3AN8r*!8+12vY3iz*Mi@|>aNS=BYs@S>ZU<m-ah9u=%>
zgUfv8?6v;#FmLPpu*I_XvjfdG=SlHy+tj-xiSd}y&VX|Ysu}V2bGnNe4#lsIa_*~p
zne%pY`bv}2Z%v*t{an8L>}&VH8QJohPX8FTJoRaLd~ILk4D~%T#OCF;uIW8jre=C@
z?j|2jeak;eyLPL7nEIgAZ-eV9|31r<=tj@C32zn6nNC0Vd(PN4`T2QQ&6m<un^MJZ
zrWwu5G16a~bkuioxl^0_M!l99OJ=;ApA{M+Ir09%crMW!O|}~rUGDVt|G8`S#5b#J
zQ}_LfNoty;@?eUCl#82Tq|3+1H35mehKEjM{0`GzZ2$0Sf{+u7=razfFv-))R$p*7
zlaUF(FI@4$^+VwA8$17eeWtTA&gx^w4Y4MJ*e*e)JVQ~hT}IM#jvV>*a5LY5w3H0b
z5@t3opSfSQz1aEPi9uQ;Wbrn`wJs-?S*J~Y?4x^jMM-mrkxW3czVe65UKiSa?mAt`
z&K_EJs4KP7yJK%LyWQg`i;wx$A3mu_?>lePZ)y<8V9Kjv_R`?(O38pX)-z7k9_x*6
zDU>LWDfzSg%`0BbgEOv}tnoj7=DC4&p6aBR)5=!9xmj?v;^=qI^NW>|PW<H6($86}
zeW2;{9?d_mY)bybuPgJjD7(XV|Bz5I!$BS4_-`(uo|hk<s##pxs`c-L=53BmI!1rz
zZt;)mu1a2=pdI!>jkzL$`Top{d?K4;1S3y|GX1k@de`~g`SO>dnY$IXx6a?YY)4D1
zz)Y!Yy~kx^uK%!Hy<o4>p}LG2se6j7O|At8ws4>QeD>?(bK)j@%8zhmckq4tJ-O&(
zl$mU+&!eQ}&p$l6qIJ{y|J<(G{=9olzX%^$m>DX3TdUM`t#5kSCvm6u4w=9FtV<Zb
zw>rK5aP+vL7OTrePIgHSgL>OrW<u{3zfUSpV?F!*rgijhF$uP&)pHC!e7dA_Iq1*c
zHF=X`eEN3;$lv;Sbm9so;T3!bKlbjh=+D2GwomoKr<RCVN2YH9qB(Pd*cMNm6t?o2
z_c`@?D^9QM?6(`T58PT1BpF$iWFvoY=VZ$h={H;iI3GS#D?BRRb!MLVZPkPA^@^%{
zE^QUw>*D43Zqtun6{oZf>c32Ursv+5o4z!-uV<z5`8z)Zl)E2U&uy%EIb9+tyz|&=
zxwVax7XQ5aZ-HD`gj(+1Sx)7%j(t6qdsO0Y|6x6TU!hkItY2EZoL?y|z46Fl_C-v-
ziCkBTcTEafyHHdiGV<o~8P7|X?s6=+{AW)i_dJ`}H=obH?>AiK^SSAwgYUnW6*6|h
z0!&xge|;_7b$>?X>l0!EPlLWcD6*@!V%T>4ZI1lr7dI}mcSJ3FDU$!9x&O36Ztw9r
zi9fd<mIP`tEd0?@sAD^67Rx)8H&=Lc-ze(qxvrSlw;+~PWx`|u54U@3XK?d+DSh3X
z62qbARCefboc4lNZh>Z@3k6>JGXf+YFO4b@@rq>II8oMc>zZjL+m)KOE&lej+PXyC
zTdmzdVpXH7?Rxg|px-m1g7-um5ng3A)Be-HyEC1qu3~F`^VK6Q#Xj21a)xz4hQI=U
zS)ShD=ehE0S<dF@{><I7FQ>AJcmMmP3!WMDt_Wn0iP&}iRCV~ma^4>C3Cj~r#r5_F
z37u5SIc)R%lh^0-xA%8%5)a51Qad9QRVMo_{n4os!+zcJ-|v-<2QIhSB=~L%=dOP&
zzm?r};{3O7j%AtC)!3}f&a&C|N7t^Z*~cCIj<H+4juK$3v$o@M(^GA;w`O&fX6*4k
z`1x7d`cFBMe^!1p&X%~aGrrflru&KgByQp9M>j3AXM4lxa;E61`e~n3vA<6*AN`y-
zO-t@kbEeg4m!8SrdspxKwt2yctOr|sU!0xz|6Jz#p8kS3Eq2}KhnMN+M=tGMSheO7
zi`e9I&IbbBuTA-|=kAfze<!wcgdb4e<F`Ps#6aqnvBg=n@TtqbJ9o5w^xZDv6It#e
zQE9FEVYc;zX)O=5!q=}@dH=h>HIM&`u5RdQul8HtKku1v)WhPcBQK7gGFZrxc=F)S
zdXAE$obYQ`55<H;9ooojTxQ0am-WF!(SnQH!s>*&;6s6(4Q@+LElo4|D&~3r?4jH?
zqkHGfewqusUiWi~VZYFvdyid{vmSLsvWdtFrEL8hS`z3sYtQurH=~Es-b_{dd2fx@
z$z{w}^KZl)6_E~mSJrf{G-y}S@mo5r+CRP@-K<iY%4^-kA$(y^bysMY+nMYG^V}_0
z`K=SVeDdtY4S!0$tel>F`?UXkrRgrcYQh~wmqd48Nn5CEZ`1xv@W~<_rB8pdww;{Q
z^^`}oC9U)0O?I`7Pl6q88D2NNC16>4Y~!=)<x^(m{IT9E@<3MaRPi3?>j&R;xjM&X
z-q{(u^i8tF`O=yXm*S#dhh3f)a96nCih@b!r_h3%uh%Vjt~AT~--q|lw!f9KF;4Rm
z?P1E3nmTjaCc(aW_LJsuZ$A32KuXrtA^+q9wwQ-iPRGU1=eh`NIcB`1H~;r;ec?OX
zB)%HWkm~-mOK!VW@Qp5(nNf?2CRjUgD^2}*^ps-T?%H#lJ^Nnm{A;)>=TC~*=S_*$
zS(i7=DJ;l|byB#Rw_s(M>Pg+i^azU=h7ue*IbXefBy7T)S=A;{am8fbcOf1%w%ZH7
zo!k9@;l~Q2wcftbA~%*udY4Jn-B6l&Z_gdsN%L)QTeWU>kWo8x@I~>xSNWfl8aJ<E
z+7iF}+9r*oYK_jdjHg@z_h;1Bs2IvDSi6wH_k8*GIjk$WGuAzbKl?YkM=Rn%D|h|m
zZI<UvbpGir^yl5E>h<gBw<W>}4$9{~yGva9`}XAQI<+hTd&{@`<{b7~_g`sAa!-)_
z=ElM!XIZb$SZd3?)M@QA>EP@{UisgXss%;1%=|q+`2Ml|RZlAH_sPGkylI@%uCwRb
zr#ElPIL{k=d?4}u<%YT|`{ekSnHT5JE0OU_IC-Y6Rz1T%`bFxb)tjg2+dhrAia7YD
z^=OIIu_yZuOfdSh^N5;;@Rg6-PtVr)Gs)E2WAPF}@7uQJGhQrNW?ATxVeQmC@08K!
zD>Lp??dn@E$(6kJef&Ow`B&WbeCiYQ%{~9>$5QsKlV|i!o!q*4R{d_K<<k>#Ua_88
zHQn&>J?Z9kvYKz$Dt<6UBv&3{TEE2a==4UruD3puc9sYo%$LdwOy!JPn{&T;(uw8+
z&)KYQiHfH3%zEo#c-!0j-=4pI*MB7`G1|Lbo86=6vc*Y1Kb}>m&HVZ4C6OnVpZGCF
zLr!7kT)9Up?|w`C-DoU%<a2(l_Mf_#8+JFA-#o0xU*@AUZ&TOAO{>EqD{dY=bDfK0
zcD<$b)_^a6l7()CNs25`mbf-&NwnBSvwJrfy|`*;9=b1~&TzW@(}cU0>aV_Jv20nr
z;xGHOdsVq{X}&&3eM_!zDWA>YXk>6Nb~~K!RWu{*eYoEu=gs$iCh(lOJLO!?grsR}
zJT>%ohzW8$o)wdDeM4dQ7cR9`#g6j}<_4!d{duf3(=4q-YYN9Xv1_^eL|CfxYEJGj
zJjc?`kZRrSXKwP<XNmW%>dj1FO}g0(PTjBVbAF?C|3j@{@HUasGt<Jab~&iY37onA
z-Ke*#KsH8oq43siQ}bpf|GQgz>!7iC@3x03n%P3WLXl6EzkNFQepxeft;enjzs+8`
z?{K|QdbU<~jfJkmgwTkRs%x=R9~}PGWTbrRlkWYqGxjlX{bx*kl)P-B|C6gM!Zx|`
z>@V)7t6Qh+WZwB?mad%NoG?|RpIhX%o_{Z?ZoZN?$V8zo^!Scf-%LvE4K@GHzkNMp
zbwSSdR5!`1Opi1bq9?9D?^5{u<kaoT{8yO+7S}PYU3a?9c~cIfAwz+Vw(`>iP34sJ
zPA9&u*m&XgHE*LF(SvU~ldtdBRkY4=DZJ3JIy8UNjfl+YO%GWWC&;m|yjj~Y-QZY4
zX2ZH(1&@Vmla?jgKG9hfyko)r`?jqN=e>>hHug#!v6;m4<!gVtns#FP1&d~Tv46Mk
z?~+LWDDwCF<i#ib{%o_kX&U`WrS0UVFK)*sK5P4x^djr#OHL;>=B{7!#I!7!?ag+Z
zy);PIF9`km@cE0S>`^-wK4)7wpHWX!`*PuvJU0Q?(o{b4uGfiQH#K=SxFxQd*<ot@
z*5YB5CG#Y|t#{KU|7`oHeZkfKtVe=+`-#Ju&sRyU3n@sy{$cy_2M;QzKaiN|w{`My
znOl6v6IIsjJ8L5JOMO$>pDA9cFXle7POM#IcW;^aQvvSc*1O;C9bRqAxl_lYs_ks{
zhGK=Kk1J-ewMZ-uined<nDNR&YI$o>W6hDK#`gF%CvIHN|6N@*VVZlF&mR^0=9SA1
z&MNu($3XIQR`*S7r)ejA4`0u${>0NC7k~Ep&y}VZwsZF+GR+n^)asD<ew%0He&NQw
z%+A|>TN>_;eo^rAf?iVop>s7qe0Mm^dEvK-%Uo|FXTbflm)?3NY>73y75XDK#V^kw
zR{L>$tmwKU7BjBBdHTghtBdpHrD#X3RJN~MeownP^O%{zQ<49s**Ya<+$rsUeCw1M
z_N+=dQ+wBb{^Ka|^K5stPp%cu?D(eT*?TGL0M|LEQoqSeaScx|S68qy=NZpUb8=@g
zUsU$%OycpII!RMshdrt<OF4MFE@@4aeI(b!mClxbb|mG_**Mj5^#r$*!gXblT0YPB
z8*ease@a>M>B1k8-&7YT7>7s31v!S?TJR-_mu;(}?1!@--tFBIbyED_tGA|~5;xg0
zUCgxp!owO7cK7HZuH;+mUvIFOEBR{jV)xvu#=aA0yB<#G_GPfKIO6t`b&Bzodpf*I
zvdf!xTw8fhBa5rJP&D@fj}hC{hl^HjY~Ly!xB9w_gX&9-Nh=s5{sr;>o1~Vv=h}fq
zksA(eTCujkTHZ26{?H92gCimialbEg)hbow#InqO{gJzU+46#E!iu*GZ$Glg4rs~g
z<1@8N+SY2FzIWdJxOEom`Fc*(bT!7=<+Aepw<?O9|Fp#OiK*m~vy~gR9a^uwefnH!
zoq$7!yrw_Ov95em^D`!mt03EN{*lcJ6LmFeIcnd2Q)}XSUmfvtYVtmfdp5VanR}vi
zIif3gJAS75INn~<QpW%L{yayU!zYd?nWh{&{wnnDs>9b6qksHO**LG~t4rYGd3$!T
z?4Eq{;KXN3PjpP%5-qZZZ=<ldM9lfr-rY6<&q8?m<hVI(9i`6wxV2yTa`wWD-xqF<
zy4@^Llj7l-r7mq^lQ;dO+6Axl2i)goG|V^PN-+F?;j4w$wCT~ZzrP49)|&LPPVD0p
zc5aiT_djRe|2k2!a7jRo=aDVi%B`~{#H(#xJU&>xPLVyN814E?(@un~Dmr}1zVl&@
zvy>*gUn>jxD)rHR6N9H?SCIAdxp5!%_0RJ+ezN&Piga+J<e|;cnm>0Nwl{E_)wSPb
z7yO`m$NH!3!R)qU)2Dkp*}9>mN1?%sas8HnJ|-*f=s(6AWG9!Kr%!qvZ(Nc8V%2<&
zTSq58V)1zJ$aa;`gGQl9*PveuIu~}8x~`C&R(*(zr8eN|+y2SNe%#tFQGaxG#x_%n
zRcF6Ve72vf_2;Ii-kUc3SATU*aoO|$y_E^l``dIAxIe5)nD{{ca=Z8clSYh9zjSB(
z(X*K`O~mn^Um|nLG3n;*^|2ChJHn&YqUx7~@ib>nTE2LD<fh6=i{s4M-k5JoHgTJH
zp3D8z)%3+Xf9l`n7yY;HP5X1J^)JN_Xm-_~K4S6lwUO8&rkj5Mn%AGv-k3kx{m)v9
z=PTAtPFfc<wWjvZm01&{QY^L9_q@u#yP#M7rsdmJBK%x(Ydqcw|2<*KwnN(7V*kpS
zPQAHT?%mlhc8)di_R@)+`~2>zFn2g<MXptRXjRQ5njKJSGk2%A#j8(3OJ_J`?%t))
z@#T-;ML*NGH*D-HFY&i$w--zja;$uP^Yr5ah3xN<6X)&p=Mdihg8SL(o2EOC{*ATy
zyTr%i!OuBw_2=pC`~C9O`hDjLuXwu5TEQD^aOAa2uTj@C9-V7%U8cN0WN3FGexF;c
ztV#CLjE2{<pU(Nps@&pe>(+Pa(PWN>Rnw}UmQ8B$+}Xsru1<W~6_a@7lA~Yl1)uCM
znqyRcrE%@@#BJv{cq}bn*)%2Mm8&G<^j(t2Se`W{q`zhH*JxT78XX|CJa_Uf(R((B
zeFJYyFsod><SNsnb=((ooDV$TyOt^OU1@N%r&8OF4}L|5rwQfXjL7KTG1>5mOsGs%
z>JM#!y!dB<8+2n57tA=V|7HKDXcy0wUpm$Pa<{m=(w3<d3N2kaOYN-Sm;C|vZrwQ?
zzxCj|pzp_Hb>v^fEKs@<S-tG$_7=^%MsC&-o$KljU)#E7o`~Ylr~1W3ZO313Ii0bl
zn1TJWjDY0?8R^L1&KEYt?EUdd;N$}F#M4dxw<;AZY0;Y(|2RoxZP2FA|9)qhz6?=X
zm%*9CcCsdLwuj{U3->d8BW4@aFMiyue0x`s_5%g|LZ%1j8MO9FmB@VBw`j@z&251@
zw%v+~a`kDoaOA64vRH;|>Ne*(PagO0zdxzupM4nmj`3YrrRV%grNsSA-J562e-eM(
zRBLzr?Ut^uQBf?mH=SGxZeRE35t;0Gb;fSdl)C$F4mVBm@1LFEUiU${du7fCv*3ii
zu6w@Ro#)4SYH7lk{!7;+>k6xiimWR+54`=Xv~_y!{pQ7YJQnMlPW-^SV{a(iiJiaJ
z)tqMJ-?0B{di<?zQR{Mq-L{1_9BH{X`P(g}z6J6P#&6yhN=a;e@+-+w(BJXU%`0V_
zLjKR#xSIXX)b|BDObwEb+*mWy;o=r;@!1w}#{bl2o-MkZ^!`z-6T__r6Xt@}n2R6t
zb#+yaIL2gGZgZ$#_*!=Fg=qE@n;O^YT@GKYw4XKX?XH-|R~9Bzrq_3$c5<~@!=3+g
z|J@1f8~wR_<m$>*wz0-JU*EQudxDf3gRsN<-L>rZo0jblWZ}3gDIjK^d+x&I6+T}W
zm&G>o>0MqlBR6=Oar2Ay{!Q;D-fZfP`jXi(M~m&&suR}&J&hAy$=q=`WZkAdYf{(L
z@)euwUxt;;k#P_GwSxUto%zA{EVo{@)Nc#XO3k`-sCs+*QvZn)Dw^E1|3>iFoZ{af
z@MU2#TZmo#k>Jm>S|fy8UnNTMDsUJcO1K`mS}Eh~l4}9~gN{qZUb9%Y>|jkt&g-2n
zElM+t7avJlyq)i-rFU%i)lH{-EtND9y1wd3UlLw^e8Uo<34hz|<fhK<s#rQ-b>XLb
zO$W87t;iJGA}t%cF>l$|Pg9;0aF(?EH)rTi2op5@F_W)t-XSj+<*9#8E=ieCqocj*
zh+N5!1heYuHp|)d6Tau#pC~mt;%#&B$A)wEGY%!>F3Ekh(0A&sJ2Spq+&#zPUYXr%
zK8g0%;e2}P4x9}u+MgWGf7{~jq4_~j;ne1^o%j5vS?SmLookGmYGK%Sdii_vwAuf!
z%du5hG5h2`m~P|I+t+pdJEwE;(dl|N@$oErD!<Y<_WP`uSstp_zxJcx%Y_q<{@k{{
zPu}C~<P#}(oD1I+whM^y9S+s-C~N)IwW=xZIp<U(4iSdsRq8F>sy(TdO>)Lo3w^%*
z^}qT&<Yd=7*56N}gFbXj+`>G+Wig+U|G`6zzWX{g4%}YA^X@>7VQ|Z>^$dEV=WeY$
z`NW>D#7dLTD1PVD)fGuILtaR1o4Y{I_1f}s)eAqBSytO>#BN$JTfy>@-UX&_23OM_
z{7$XnD=WRZ{<M0)*ZJ$)dZ)e6SS`Gw>0Hi|f{8DCEN9K{oVMg|^%XNVx%9?q>9g2o
zMy)!wsiL*#dczlwD2D6o`Ms;Y+petHc61*Xn^IKk4hj8PB~{x0j&e*)f8sr<^T8#t
zlvS0HNixk3dgQY|2EDaR>gTzf^6sUUb$R3bt%~Z*y%W|%E^a$6$C|&WRVl^dVfwA9
zTNZ5DI3cl&wW1}eGIEEy4Cg!Lsh`Z)zUDnC@tJ=ubW_DL&6OVJ=l1_l541`<bieiq
z*UnhM><gdvyeUcEGimAS=4qi-toCOk-9GrgW|AqH`+WMjGsY3W!j8;gDlho{FYxaM
zLq_lDr<N}cx$E>5?bu_dU}EbN*X^_|?*HP0&Sw9#l=zj|uH2|S@OFh~TVGadqDa%_
zI)%ij9kE?1)5Msy77ChiWKVi=eCn}Z{-(uWZTt^b2yB+Uq{?@Y?G}Hwk5Znk$s#?^
zW?NgPzUs4D88y$Ir$}3^necSY&e$EZBBX?z64o!O>))PuX!HL)zki<IdaTp*<Za%K
zg@2d?zBQU#-(zz#d3Kp&qUa;32mf0PBh1UD{y$p%W@VA2o7Z6}4{3!*{$UOmI)%2*
zohk4laORXAF~M72qWT9_;v~9{X}rB$8S`LO*ZdPbf481jv@*DJIp5QDDYsPo>2njl
zMLrUnYrD3=&Tac77paoVUYpHNGwj^ZC?+8KC~ED4Vk6$3!a(lD(WPh0*ni8Hw(ov3
z<<8~>p|{*BrC-fkFtdHDP~%KPyKk1_96iAiY*!CQ^_+LU*P-yN`IDvLgEyi(jklfu
zp;#blId^Hn%*w4XOL_KQ>5HtseS-bNLN1k>3oAcWsw|r*S9yV>E-d?tYTV1;Hou}R
z?=ADcmvZe}bn2@83ktnX&RrT7yiXuTvG_S}XX4~_sz%+P76{E2UtlYy?Uw%Mut|P}
zm0RGoX2x@=|2i`p<{S+8)BSDfy+z{XPHk@HbLXu%BtFaV+@+SMlMV*hFJZHP*Lo}F
zw0YQ(8fLA8bst}Z<{Ox-<otAj{lcrPp2hx0B>Yxgik>vVWij`;(60rYA{Rde8UIo^
zKY7oEGg2lzduA?*X07_YN9EMQ$a=>k(L$$+qn2%ES`)b6@^*KmVtQ?>-Nt5trt@t3
zOF7G1m>T>~-ja{_9QbL5gPXrufWF66iHzUE1`C!>)qcvDljvz;7B}&aN9VHNd(zh)
z6KiJJ|DQil`Q(%bp34m{-43w4+>k%jUvl9r`-n`-xyOAEX5R?-5hDI={kmcmrGzDW
zGzu*rJ3N@d{lP@Ba6&|OVSw)uo41vH!E>_jd>5D^WHY^Y+3Kpw@9!RezqHu<NR23$
z>D0BW)`fX#CaE30t`})grk)|-B&(Em$~FAZ{|}tIV*dm%=6O7mVVkuz*Z$e7b&7TZ
z3k>~Fmg@4lG>0=f3QO1SJH1_p)nJaC);h~Gt6A0>B&CMWY??oLpF3B>*<B*cX}S&)
z(X+Poy)V&ePv+`nZ7^67lxJ&Qce}GB?Wo>v6Yt=+r{7#zwN9XeOY6S!=~&^F-ps;I
zDch&`)VWq%6YBIV<8J!0e!*gMuixL*g!{}rCLVbgy}M`4GH(xOZx+Uk6oD14h1QoB
zB^t8qy=i&!Zu5qmOWH59H|<%Jdv5F6BeGuBySpzI)jPcVcun=(z2}ux_clCwHO*<0
z%bg<4EFR|A-;QZB@+^x@E-f(3*59tar*VJR+&a-1F_{?*ORQ7H#ipA~&Up7<DAoL|
z?~Hr>7HSi;t#|m!&aGv?-ZB4vnGAo9?=`)*G9uO-wjaWHHvQgq`2){u?vxWxkA5y(
z#v5*8yGi@^<;43jPq*1TxEv&=F~|I!8T-}(v%7A0V`M#V96WI%Ncdp9xmwaE=jS^O
z*S=Zvr|#|EPxIq8G>hI@G}ZglK1mgh>%~dMXU|PqTtCGlp^-Oz`<^M@)$&p8XQ!$i
zxh;KM@%Mw{)0#LV6u826|Ljs?FIAp(g?m|)a3D|PYpqp9aeXWg9!>N~trvTo@Lcvr
zB>UH6N_O7+P8y_XIL$lqT281UV1n5+#dhAjt^2QCUMsn<=92H1_uVOJ2Y6oPuPc5l
ztS+0w^7fU7$=rj_MDHs+E^?DP$u_g2O7+a<D#a&(izX+2WfF)uk|ovd^f;{SZ<)xN
zQ#+JO)*1Zm-}9<<l3o3u8S?%vou#)_j&dDXxBo%C_g)F5J+8va8`n)^6%J~jS?S`^
z`u<q}Thy~dvC~8=L)QG#ss5oq{W8-veZF;e7muFPn965Y`C@X$1EWd*#9IE<Y<w9b
zFW0ow+<>9{M&0ZE8_#*Z++5hn^{H21!>K&tSC@Zs{=(M+Q~J2-9M;R_vrU`fur}aN
ziD9nE6_1_y((ktjugH6;m=?Fjj-Rvi@QHucx7t<&ESdB!b)NH_0s(>Zw~kr#R=-HO
zb>vcJ63?~QA-zAvvm<AHm43QIU-pu^ZP2+NoZ;!|>3g?4)|vXQ>|<}sie(yQ53J*U
z%c&$8WbjB9FMacf>;AN(QzUnHO<q4&d(Py`UDa$w6P_n;RW$EqStPx9k;gR|PWOOi
zm2d3=d~_>!z1p(l+=}lq$J!X$k5paB;9jY1w=vjH&eEIh*ye{nPaj+~_qeWCb+zu^
zIb|-{jK8++ynFk`$(fF(Nz<mCl-Bt;Bk`|9jJ&MUxxMwh&y{w|JUkpCFzdtrwKsfD
zud`BZtgPBQ*>vd?18aHJ#;?yF?b;ag<ip8|f-fdsp}mv;Pu^qnAU0lE?9{)2_cCVp
zs@^fk1UuiBdhYy>Df-Vb@!5@=6~ZzYg&yvI@bBPL^S^?h`INtG{qlK!wQNzfUs%?)
zqSqyP&-Sa_&Ush3sc-SE-;LTUw%Rr_y>IxD?H<{5bw8t?Y0?`z_KG#{%r~st*1WK*
z<m6AMB{TO&i@5BSIB;>-KTY><HWu4N-OqZ=m#BA3PJ3K*_u#y^XuWf-dhsF`Ilisc
zTVrFrxZZ{*bM-ghgLQnfYG*vSu-2&2$$4{f|D0Kt^TK|Kmo%^0mcR1_*Ss^0*H)==
z2FJ5S*ecE6c8qhqud_(5{BQ2E33B&hj<4o&J*azsrT@~GoYNN9?G}5u&|vx$XA2GW
zXz6pkJ7W^7ANPL^RWGY%QW8mNKJv;_?&k8150_~%A9?-o%sQ*ImMzxp<?jxP>|^UZ
z>Lxw;dDkKN$;qZ{&+p5{Z7Dy}^n1fmxz}^A_GA?tT^aUw)2lxIS)LW<cPAhCX2-gI
zLPCt>;Z4i$W;d7YtM&H{+5a~*RPYG{zr%<9y2=k%sMkerjPj3^+cn|%rh`fTs!xwB
z|0AB}>MwBE@!~Tsi`6%q%HJ3-b^4fTc%jzdhh5tp!J|#nMYLbM+j>Ce`^jwm-+m|F
zM{IOj+-3Pc#wYE{rjFJr1rhlfci+AGKbimWvQO<<;n7BWJ~q{xhU?A0@?GSBiX~I#
z99{mHqqC;S@4D!_Hf2>@%%P7Q-DO;Ec16!6Zi$O)#<E^AU8i+fB0u>dug=5UOYd!4
zJ5B0_cR}u{)B`grWv@PX<Foc*&ZX1azx^!i&HH)3^}>Js$Dj5rUX-ep^ZDWhi}@aE
zGnYPgyLk4U_Rns;Cv*5b=UY@x+qyE1zb5w4gIDYJ_*-#yPCooDc+R`h6DzNTw==qH
z?92{*SdwMFta#QM*DnhXzTMobufY81cM_LL(<dMKA6?y(KKWiX4bazRk$cS7{~_Gr
z!{Vu?8D$=70&`{aAN`V=;Wp#&Zt0+#x1I(EJDc5JfAHzde67UxldShQl+LLMw1`QL
z+PVD5MmD{MFL$b!zGC?II!CzM??upZfo=mY9)8ayZyas4b8A;Vsj_Qn`DDHJYM!vD
z?mr&x_!&i=ck`SC*cCQyoAK^dN6N1=OG8UK1um3!O`iC};ufE>;<SGAM>cWa*-dQL
z98x?`Z5sV+PE<$Ue^t+0$8G-15|ioO-Bxm=yDDb#o%a*$3%TC7G3M61?>c=(s(SN<
zW-X)58K-AAGkJ!%7x8#pmYDx~xh}6y=dSnJJav2XuKqaIAR;WubMvs8NZV8uix=}A
zco%yM9L_FFHhp7#Y^A4S<x}2ZW8TB-r0yH|T7A|Do2=(~VnL;{Yww{HX#@ZA2|Qep
zQoR4(KRIcseEf#WkC!FW-Yu_I(s}guVb!vE{w;3d6L!w)S5jQGX1-{aY)<!fj{Caj
zs@nx>udGbJw_^LpQ=1-Ley(+FM_|&oBj$>KkMh;?h;MJ%wtnK9-gk_gy7?u4uXu?j
z{G9Xq+}h9w4C@YVj~1;wP_gRO#>B_%Hplk%|A>67!o0EGP-Y{iX3qN~DiN7S&f5lE
zUsZQ(Q%CQkTa4$rdD4IU%slmv#q^U<%`KIPH+OkE3s>jKKHd9d(v+8%`1149Rb6JE
zIDaxuviq-WSir9b5|>ZA1SVa0x#P%_)0;))_|`g@z7v0@x-hi)LhGdb3(0FjFC9F4
zPiCpt`#MLPpcsK8&EXD8tN6E6K2N`Ob$*AgVqB!pACJwO4DTK?y;k~4@x6GjEZ?yU
zd6VR)z7P@QDSh0b;3dEIM@voJ_w{a`^B2@OP5bolc;PGo&54`c+!GGJZ7*3KerLYe
z?X0Cq+B2-K-|BpQDRJ>i(f;Q%R-K*HRU{fIoW_x9^3`L?%kOvNnlDU^<=uMp%ado?
zPZ;JDRh?zMDk#G5v$(~*f3fn>qyDC!81LA7z5FjP{*g_yDE`A<2M6Vi%}MV*zX>fC
zZvHm;Ozq_B(+YF*zm~A;M;286WPWH8YjN4v`pBlB{hqO92j$|nNUoT@O6SDpL%z57
zbuT)ny|UJ;S8eD2M_($}Hl!wOS}YgPv&iG9k?&p|eJAen|37k<JbPGf7M>~e@p*Z2
z*7u!%_Rla_+VjLh_1^@oof|7T%X{|NJ_!t9Z#n((??-L@!^V%7?8#gIeRq9LP&VU-
zpO%Sv^G~p7ybeCg)U2^Q@$`4)>AO=^n@tP0KJ`3Vxk*^}f`{C`j~1R%KlqQ&IzInr
zy1<`5D^`^6-IO~2*`%YV*Yup}Q(oJ&<RJ^o|Jilgyj!o>-B_#RV4iEI!sh7NzvC?T
zI*U!2dnZ-}*))o^+ALV4b#}T;g#4>FXY$u)-#mKv+O>xwrpqE`_t?#;Tgfijyv(%n
z3Gce&(Z^oS>a}%wRid8AEja1;y`_13iY|v`1#&%B3GbCWp#C6U-|W@Wlr>A&JFD)R
zkQ(<u`Ps*Y#}R4KQ%>7w={{2ZY4VwER&nR5DNnY4(BII~*kbpe)j{&swbwD6bL!Yi
z)~4*b=f2!S+V;KW|Bs#v{-(Camt?Pcx3+bz>V$b}ftp%pkEUCT=WMP%>aN}PZPH~y
zi!$AmMdq0Tr7Kj=e#$%Pq$IUR!PEFaxtirlw}YpnlL97vKXLijESBd1mp;3{ZteUj
z;V5Su#=|52(_Pb5T*geva1#49=O1ddzsjcW6ng5h{vV4%+WNc`vqIJ#U8b({{{C*=
zqm$)&w%$x-_xkwr!K01o@ed{|eHS=qAo%Kb&W^;qSvMbTP~Y!!IqqpL(+r-ocm8H@
zvmQC}YfZtG`TI94xnigFcJu!GRxN2=di7GvyZDU{-O)R~y1s$=i+knqn)`=m@J_yO
z79Y#Sq`KkPwM9lpq^yD_$=wl+75{91RVmE$iDz02$4Z?mY~=-+SD$_rd6mhf!y@5X
ztMfL(ZQovv+H2qZ)F<rzm$m)S)N`Nurdf6rn$NwRf7JPmSU{0JQ+`C!F@<FtZ~9#Q
zea+d{E@q9#iLVYn{!iTbvck3S%75pAxD7Xh0t^pdxP7SUW{O(Yhm%X*eO7iTW^HFl
zF5ef%yZ++`V=KdLicdDU{kzK>eeCvb#zOCJB5$6&N|1cET;onqQ`V&~O;W3?&u1T7
zdupZd)x+}Bv!9%rm$&AuseA2)ogHtwQ&&w_4hcHRvP@~(XZFLjT1zdpogWyiT*i^a
z;K1^P_r@G%_LeZwFAp}aNEFXAyuCg`o?{!+8BhHWyH5O({UgQQX?tZ+V##0G_cltq
z`*gml#FiViJX}%`9qOF1PL%t`iSL`U-W+@?F6J-K-#I}~>2cglUY=f$FSib~Zr5|Y
z7xw;`thme}ua{9-eIFflpKRXrDqThJ+~waZdYu)Dr9YiK(szq1Ra)LF|2+4UAA5u|
z_NUm$CpOhx>)2?*k=Jn1ur^M>Ku~4RoYeldzWmIOIybh598#-ndZxO>HqF&kP2A#3
z$DfT=k0!@_lw12=<)VZ22?fqr7XPRfN6+2cbmsGw)!M6+&N8N~Sm3#IOM}U5ft;yM
z%iW%--#WovZc@cPH;$#AKPXi8l)AHvXLVlZ$qRQD_WfNt`Cah*SKT|h_dHS5W%+b-
z<(dK$Nvq=4nNLM0oRe%~y5Tx$>c5$m>L+i{)tFh|C*L(|(=z4Fa!m&6SGGA{d%Le;
z`j+(VCq<cKcOE*ak>0<s`K;jI>v@X`)~m}Muw{0P;AFfUuY9ZK#cwT}J0geXR<7T5
zQZ_`6;r>Z8yGzwg^^>JSG_#n_w#HbTyg&JSxK`C|28mf&Prrvh%u2cUuiw4+q`2Q&
zi>p6QB&?e9z^j=1$tsqpQjW}ZHLFB&BJIz$%Q9bH>!<K$!+{9<xivD=OxLSLE|Ul@
zW}938_vK!NqzUfa2g>g}5W1)6!twHn!IIuDRf+R=X|l1rUMZa?%wQHRxo3+(z!C<A
zX5QubGS^d{FMi9?6JVaxAl`E9%glUpFAwo>tB^SzFBfjpDBnM?PdxfxR9DHOrQ1!s
z0uOunIaJ@6w8!P<j@=QHU$JwmD)})BZ58~pef^$(fu@i1_$JlwD|;4eGIeR(U3amH
z+y7oz#O_TI`Q&q|c3(+;i+XLY^2?bQ9)JG%l_OzM_VlVpPKR_pt>m0>`S8nqhZp7T
zN$n`i`4i5qzgS@7`hX)dPRi_<^2=D6NkY~oFUf3I>U0;6B+kvB^e^l0yCy83apZHD
z(gqE|6{m~Ur-cbuh6e>blZ)p&D3n{N@1tudR5AVQ&cu)5AJ07w6MlYuV*g`>81~AC
zch}b~me};t)RJ?}$=lb<W-Pafy0PJYnb_iIX(E%iwuvqZG4{Fn`GC@my0A9oz%A?&
z#R(IOt#Z$CIaGYqk^3{VZWmir^CkyV=HHC()=AB+$*7yMW}0z6PlaJ)zx(ln1|gRg
zr1<%7`m(0!Qtd%oG2d<PUum&_FHOl1SiyPfg5aV1M-~;DS={<8my>HfeZmaG3cnBi
zN(HK+VbOW2i^BdZ<o_)GFhBIX-<JhXg3mAix$u<r#YbFI+}KRkd8~C?l-0CBeEHm@
z152ki>wH)H@cTn4(;g$y*14N|>^|RN{Zy=wCeCH65vk+8Ys&(QXgyQM)Z@FFLq6#I
z&#g<DwW~AqaAa2LrGF}G8=B2dvNs4c>`LdHWIgHFlfvXkfvxw{?XFCmb+o@SVWC;F
zyz)gBnfSRce*~6_GDo^JHcR;YnBpjOX{M&x+M?&LUS!!6yqT~>_$<rMDNO(LtUiT(
zzOEcH&HVr0q$gV!2S~3`){NBceB{<yXd~Cm^L=HO(bE0TTlY@oOkj9xdy@TE@S4g~
z#kUqTe)HPC_w#v%thwR_n=kmDW%}rOFFE#itA_3DiEOd&TkiDMU(|XVb$gqkx#YUP
zdfgZPW(WTWd*iI`*w!0(t?cuO<vsIxGW3LhKZ&^TG4Ei^ORF^^)+ZR;LM!H<=kcAq
zT2sRyukZ2YB&!`$&ef)CO|<mcEA&0IRxba^gPzVKpBA)yZ!)wunCAcf5Xah;rIS)m
zu`?}5iV*WCWl}Nln=zY7;@ywVtZl-b4C_5=3poC)Y~$Q`V%IsYO)uZfx$wWV@69wX
z-UP0ao;R}3ejmT6V;QKQn97|lpMB-CGOtW=&a#;cA0GCQ`K+G0xI|H9uGwzGS6mZA
zo(at2eGt|+@xYBK#~#?H*x$O6GJQ^%RMbV$3+J-lg}&APIZ1eV%cuP!U!`C9zS!%r
z@ckXlJiU2KC+~EN6Hq&r^X&iUL(=DC7bjep+wIk#xz@E;H-GQ-#+Vy^k#pBge8sz~
zug5>e>e=4&HQ#q|$R*hYX`WVEmX|Y4)T(ydB&7$;hjeQkO*Qt0GB78y%}e^*?Ax7j
zqduV~Z}G9Lr?)wovtE9>+<Popf4gk1QfbSX)}{?C2}-YyeKdXFvSXITXVYotCw%wW
zuP{qpj3fRz+kc1Ik=M;5F8f7`L~^kj-AsIVuSk7&+*I+6F*9E}WnEdlenQNoTK?YY
z>v*$YUY#M>-{5cYWoljcm&E8gRu8}F5-EL&H}h9K)694lvs*7<_Q&s5#!B_qo9evN
zqSH>D<yw@OKGW=NBe!Jzmn(lhZ}2;wljUG(wKI6fKAmT^#||_f;p-MN)Y3cMT$A%?
z>&g@s@2UUVr}CufN^)9Te*MX8{9w%<{RjUqR^K*hK03ej+lMDl|G90|xG?dB#nUa@
ze&%xdm6YtBasHm0ltx)<&)ieJ2bXcLWQ|;AAs?I0^XbI%+{Yg{s!kqUcihD_B|87+
zOVe;W-a9Qm*K{3TN*B*oTk|96W?4#%n*YSgo_As0pEpmpo}Xl~Ua+23i1G8W!qOA0
zet+)B+e%v$*jM|9Py4F*x9ISTlc_Gv3_s;MpKi5hm(bglWcQy>$*>`^?MbWUBdx-Q
z9}Y>!Qdj+qRjVxWev@!vt7LA+t{0r;&m$+X`E2$rP5uA)@Uu;N37;%G`y8&^*&z|+
z?`hv(WA|<K%}d8K-4o4z2~R7UyU~5()JLHTOp7*2)bDT#efN^bwRozQUTV~;O&0&T
zEq_EYirsHie78d<uW0x4`FFD#yEjbi{i4p5IHP#^!ObUC9-D4$S#Pzml5N?m4_cS<
z3v+hIoib}ZxUcG@knd`(g<aJ`7tOama+XZn>CN|T(gEXwd}hY^ZM_pYf3Mrob>Xu9
zPP3E!<*V-qal0pT952>Zb-OgNDrlu!p=<EO*<sdm&u*#XX?y9^RbjaxTXBxoUBN)V
zoL~B!tox@WZ>yOX-y<C7v~!QfwaJHTAD=Vl3h2Kft8zT9mSw_({MlT09@p+pop#}|
znsbAowRxack($>MkKd0r)h~-(srV|~XhZeUhY!2Nzcc+->07OJ=FAim?|)wnnZnW+
z1SC9t&nEiegoI7uhS~(yDFOcrQgr`o6bPL4tJrSHcX*ff#3wt7owQE~YxQrtU9(5{
z!xpxr^cchcJRK|-U;309?%MsJU$bW229G1}C2t-q2oQD>+xO>U@z0Qw1mmm0Y@Cs;
zb+7k*kl(Q+Ol!07=kzKD&hE0M$M<gPyVcz9KV5vq^r~f>JDiUTo1a@yIZbpWN0!Ch
z%X1%P3Rhow{EM++g~~)#FTLMK_!cGVpAJ;FJ+wS%-WQgI!JHRr*KHNuxH^jG@s2MS
zWY@2(cN2e>u<zTXi_vHOqb2HohI5D(@@|cIp!sy_^o=*<y>7diZ#dZN^qAr66$Pm`
zB8$&;Kb%>->dm7I6WQdyx-jkvn_P2>_2iPvZZ*OJahp2wPn2)wtpBLdSo&xA)|-*D
z>m!(N-Am8(>wgov<aoE<lH-P|dw*;!npE`G-7oB8lC*$FWk*BQsetFp_BO6LaW8M7
z;im+SRND=F=j+ZETuNGQr!Lc%bTz|<eYJk`-U%vFJtAlJuJGQn^Q`D!gV*otT_<--
zo;A@>Q;e&tSloZ??<or=^T3T>*Z&J<`<CwC%`0KD!%N0I<aF=Svl+XBzy226w8v(<
zZq_er^CB~=mmzjFRXol$2W1w2zvC$|^PF-e&-6*vi+}xnvFc{KY4r(dt_d$5^c+1e
zZ?b;2tMF6FQytM~8@~o!Il43A(sxeV%}gb3KX$Ep)_Tu9^5U-@jm*6~feR~wUZj6)
z`YSH<qB-!^*CG#n4pFAk3H5V}K4pt+Ec=ymdb@n+eZQApN!w3!aw=`y*LnBHEr;m^
zkESQ`mwNXwy;)tIH2E?2?`iCANsoCRne#bK<$RN@8y$Zwx%u!WBhih54>>bMB4d1J
zDlAGq;Ba64A$yXvz?$jzH#Nm|`f2S=xW=-m;b_$P|G%x3)fBDTp5D%MI?}Y^&(qbP
z#bTH2O6o5Zyl1^hQN@AhP{Kr!iwxKHzx~5^T=B=7xby4JC7!zE$)Ek_s^5!E3G)=H
zX1;lF{C3nX^@@ne2M(m4f6Vqtn2F6zukiA<<G&}~6aKCFuRW_m`q`%m1@8L7E>0$^
z0u$_BmN#9#ADh{`!)4*2`xaBacklKMnN_pIMRblP7pJ0M^wa)1Jh@Yr*gdsQ%VY@-
z(wy~W+qb_GEBG#I&76K;ZO)lZJo(IP#groF=kaG2H12tM!}n=<M5Z#+#-7_jEv*6N
zna`O|@^UTSzJBwh>^)b$tV-%}{Id3^oRJQT`t;+`xj80Py;80#0<M2}p8I%41&`cy
zj)?Sys?Ph*?G^m^+Ts4`$7i=&i2n8ZCR@EyBjEMZr{A*~x;)q>vD}-x;%1qKhVz&I
zM*0<gT<dP<{p8KJ|JoybM$O*-(DRG?6;J+;4K&}OD)BSujD>Ljyxi|G(i7hqcl2Hq
zzpT-J@g<wa;r)%XVoO#&?&SOV`ikt`$&-aAdelhy^>todbCJK_cIN+WPbJux_eSmF
z+3;mPyX+>Z<5#Ssrgh6(*-yHs_xe%FrAayqm!9Rn{~>KF|C`PQBL1>BN?4+wZ(P(l
zBPr)bZ^P`Oi7l@>FUA~IFgARr#BeEY-O=TT@AICUG5xq|wx8_!w6wlT?{0~+93n4$
zy)%|CE%RFE*yPK*ZPu#;Q3a>pBw6r&55CCRrK(;syJ+iWv$tXUgO%)VoO5^hUv==r
ztgAgTCtqAy!Muv6!?#xNo!`nUC+)W!*pj>2qT}HuVb!^;-nDJbJGLG=ew6=6%GCe*
zZYSD>#l9D;$#M#aH;g^|ROY>IeNcpK_VT(LmzB4PPL&Zn?^tB4vhP;;oEsVrKKE`s
zQgE;OVfsy-bz;qb({ydqY0?E#X9&NwxaZL~-Kl^5oXVZMc$Y8x@g~URg+ct)r$Wq=
zv!*XG-BiC)_ITBq#bwc7Q`J6-Z|T2T$UN(F45ycTnCFrGyJra9ezQEK;PvspHm{Vo
zrANA7ydAjds?hf-dZy3Rmp<MwS0MQKqq2_X)5RMv*G7Kf<cn{y_@E&mqH6o_ThYF!
z#h>rhZgTRtf9TfqsW!8E<KO%;u+Wd4-O~48XX@?R!n{g0iDXaKAFHPMtvTu?9&+d4
zt_Sj50S}Jq>Budb^{D&ktj+mqOWgWzZh9>j%MkbTu5jeBW#x*-)t4q1u48=hPr5{N
zx{XZQcTIK2wMM2@0!+KlE4%&Pn8*76kaiZ|q_ZIlHtNlDQ;k<R&-s1}e@s-|q87ev
zpA?q!zTaNj<-Jr}tUvkV3$dkBv-$r^_EhcO)Ry^3!r$uZr8B2{g@bS2-oWz2HBX<<
z%gTDMahvL$(4GHJao?0NizqfZClpYW*<^DrddrHxUjL5mbM*Pi^K#m)7MbUB)bpBG
z*y+F9=gHLJZX<7ef!8$lrL`M>%%Uut%Cc#^Zu2ej9Bv+YQ@JPQyR!YWHkb1T&DYEy
ztt`2^#3|Ek%CQNz8;WmkjqiEA+)?Jz7v-Z~0TG26O%0uQJYPwg7aw}Kb@Ju=e9Ply
zY_}O~5@jr^G1%b}CG*03;-_ugMWxH67kzkBQ}EaQ`<XKKA`f}RYNu1rZ`$?Tw&||&
z)cEw;eA!)36SYmNAO4xWA~)>3tH#vtb7jwG&6zO8`}CKRoqztMY+^~QnV_}Vp+4w~
z%*Odq6<<s)SD5~cNME$o`J2#W3&v7W#U&coGYYT1KV1FH|9?SqlYzzSlP4Br>m4uu
zzbRw$66IU#x-@*leu|#$`&`!4knoL*L6OI^qLb<Uqg_suU48e|=v(=TII3+D-Sy|E
z^piJkM+?7gS+aW1sc9$A>rHFe^<f9=y0DW=*dNw7yb<X*|Nr|J`-yY2lWz%iRf?QV
z?&z}BnXqep(~^nF8}y`Z`>r!8IW&`p-@ZZCVH#^5Z@Q;P!xmA7@7r@<UWs;}``zhE
zxld;Q@tew;Cq8(#rlwtHRiC)?G_U`5r&`s?)1O=@-}tBfl%81H#x!Q*j-cnOF8}RG
zZ!hIFw^%)mRdmypZEnXCbWikeNl)<ja=7zI)rUlZ<I26lFL-6P<|WQNU0Tw0`EBsG
zx$e@7o{PBs`kL1ivQ8`6?pE*AI2E(H3o2K)J$EZP9M#OGee!YI8_%B=s&mEesx5Gw
z8y`4*!rgM;@-Cx<yKO(u@|(!CA7<FO)FIz~LxA@3Y=hTFjHJy4CO+|)Y&Bzj*7ooD
z=Rz(&Q4P@Ux-wDUQQ~2;$|8?HsUP>p|F7Oy_t!#uikCMdU!y_GgBO!LjW^%^723e&
zGjZ>Empk3f9uFEL3K`dSOE1;FqM7TndG4*c(wg7KA#%TVOv_v#q4}2abxpa!{Ux8%
zypJubx+=4<)_cwWp6fPwQyDsX<XP5Swg@>J$E~9JMMpnpG3(L}#>3xU$%svG(B;%F
zmsh!Z=X}LO?(gSzvQ3{AmC{+|w5z(@BBN+UMCr?i*CHG{pM)^+iTwEZJIXZbb(H$C
zrsHu7C-X8McYoI5mG8M*`PwO+ng@sKG#pRIF4VhQw{W(d&ZlBmU)7~A7HDpq(YUBI
zx-Lxp`IN@a!(S(HWK^n47vAdTIiDc<@#gEB{>Lv`Y3rO*x}vg*Md(wLNb-84-|^@C
zpYjR>@lT$-Oz~NhvetyeV9s)bPx}&5CZE5s{HOWxRkJ?b625+6#g+a;Pxq{65%;*^
zUw`e-+T}@3-)EoX)DHfAtn<&!8T%FIuB*QDBYw^^t_oSLP@A5^evj@Sy}Wi+!e2xC
z4RN}v?~`g)nLn8S=<^SO_Gul$5#kp6qZk)n=P%X&ZEn6O{Zp67t>x2wZ?%cUJYcoT
z)8ju<f9mY~uEl@OZTgll!Fi5`4Ile@-eXf!u4)#yAGng3_O|_cnWNl<=VsdB=lt(f
zZ7&o3AShTIZu;_C(Umu^_tjlFRQzIk&d*i;h1ITKs-kP+t5g<kzF9Sw|AXdYz6*aW
z!nih7Nc@U+^iSm0P&aw9ZEyS`XC`*1hjA>EUxYebWhg3ac_hbvm`6|K_oJgKr(H6g
z6&>7E<G=aX+0CBYY<=*W4(G{g)#E#avu`pN{?AWcK09_o3g_G@$_n?LB>!)lbZ6G2
zMavp>j;n<JbGYoTW!O^wZhxVLtq+%t1m|3-Q=cPC=POCxYS{2b)R^yr^tY2M1Pp&B
z>s?xL@m==J;!`uF-dycIAHQIYQ&H@OQ^L%pISjY|)VEka@@lgaczvkju5Mj~+v~G(
z;&*Rk=;nq-AHFLcey-?w$h433UW_@$(>?Qab(Vctuq>|n-t~j*ekNtD%%(LPTqeJK
zx%WWSTj7Aojd%Zc$V44}DRb_{{FcwNx$M{d-`eSHdH-PHn#!*k{9ixRTQ;AT5Z$jY
z^=HrBGt*dZH7$Hqk`(XxO<#Ublyd9glwI?=SADQO!ne%o+O&hUjtRo7681a)mM>YW
zY~pFT<;vWTqAJUCpCn$s-~RjX(U{N&3|=B9&u==B(<2q@yz^6u!2TWK8{G4!e@<oD
zCn{#8wDrQ)>DiV^UpH^qFRbSFc)fq7f8yf4Ru{`jW|?nJOFLAF#m#(HsNLQAIm+$h
z^R{^p59Yse>kCU(-|~5LfMiNT>%mD)OoCfkbw4EXrScn`TH^F+^687#Rlmg<Pwb7K
zT;;xKo&BGfJxl6e>n&fEVqDUp$Nsv>r8>>ZCoFsWtwYKMGtPD2vYlLzpP*Q=%-=@o
zpznb%4^q0`D|Q+G`y=v5>St@4EZ=|k;6UBpuEb3`(ji%{g3pW&zU8uMV(!&&lnh++
zMKHPTk#}33#|ujyF{e$p%x|3Qnx8oB_Q^Rb`9JLG>$g>s_f0$PVZV_-b)&uW(@Fo7
z%={*Im#x-YvTtSg(wDij3-&%gd1R;I<FBtzB<!{Pe=k`^$ndP0_q_UxOt~|S9SVQQ
z9BfaR#1TG^JM+>%-*~}}dp6nuGB=D|)rFPiZj{J~{+oNQB)!!^jQ@eKgNB%h#LBmG
zGMDW4z3}z!j2)iU2j)L9GGfv;*k}J=@lKJ!-F%m3>mB0DjEb7pT))P$f@8(2)jknC
zlQg#0ol^)4xDjt_#uj;&-OVulRtW3U+%+@HCKca3Zzc4YT_7}dj$)kR^}Vf&7RmOi
z1VxF&82z=d%K9sPsDHEm<+Kfp6P_7t$l79Ywx>PxYwye8JJahXO<X+L|K0V*oIs(l
z7O!namb)HJTW<Z(_Pp42Zq1x3gK818#%CupKk6OOi>eS<#rG;$y*S}*M&9%c-OV}k
z{|oDXbmlnn?&&JC^7>EDUdjg<pX20v_LY<S+taXYhL^QcC%AOn+mjbo>NnQ7Fx>j4
z&vH!J&dNLVNQI;Pv6T;UzQ&jSk^L5ya*f?}$%68GNB=kXE!?bOl+XOZ)lht++C63U
zR}K$C^&RrAzRD`@58ayoIiTp5iww8Vx`-ZWLHqaZRvUje?O(Aozq5Mw`Oe*onSByJ
zT-kYJ0%z;$05;AGYTMfC@1L2KCe3PDv!F!p*VhZr4t?r#_&xQ=pH#<<d*|=`q3wK=
zE785s>`IAUHvfX%vTuK{U}p68R%UbmxvTZT-cwZ%`1~5CugK@jTlDb4vCHK@ay7%X
zL>9j{-8@Gn{`az&*~Z6rZ~8jPz+u7O%ZE!Y+RZ<ea{dtiEt9#~XXl!JEqNPxX;)2!
z79TUWb&1{C=Wa%F5<mG)eOR?%@s2ERN!cCju}*2XP1%35ZL4+qJ!6ULR6d=UZHs0!
zN@sAU8QLtqeEU;-yie?fXD79GB|N?2W&Z820jrYFPM+NpL<J5W4HkCc@V|7-fjyMp
z_xVrL=|96?C~oq8ceU`A$DFPIXPkH1P}g~(H1W*qwmU5o*k?^v&#y4~wp5`eBkH)Y
zmG1M`+z0P^Y<~CphNAlGUVpdN-Ahj!N|m~9VSQi|w%d(2O!t+b+3&Oyz5@TfCcDf^
z<+!+2VLgw|B%kNPE9}HVAGU8d@BY4Zw}3$BN#oA-F^lI()D<Ux|JeKgb?c|smbpI5
z=FQNIwPu{MdEu9}emA1FHXPmG+PE?LvGm>A?#F3!c`Y7ny*V?zbc_8or%jA&E*y7T
z=$`w{rby%K{yY6{`UlySL?+1{$i2~_n^pdGm38l*yqUH86xQDetv6ru=DF&hZ6S+t
zQzcHE4gU9EF8a;ed40Q&f9w<U@)vlu#LkW5tMDufaj_a#v59A=C9ll#U_TeM)%gSa
zjrt|Fp4+}ZZ-3|#dM~lOa8>p`g+-6A)#^688c3RcJ1KcS_8-r&p!YnpTl?1@d7Ub+
zo>D*0@})5Mkv)r?54b$67Fy*ZIHN1o>%`@)&DQ6(J0~1HklPg#EmmTYbt)=AVdn1C
zL;H_Pd@kZU|N6^i=52dEaA<6|w}@TWrQx;E>ftHvNvyVIY_npO1k=+$Ydl);EZX<{
znyf=2XJSo~j0>y|uV#0ToUZZV^4CkB@5szPKk=9S<nnp5-CoT!W=-0%*?98amhj?o
zW92yaH_sw2urF*~u`St>>vQ_M!#)Npbw$E;cl4dvn|9+-OBPSv>-mQ>-dmealI)(e
zDDth@?IrIDd5q56co{~1ntg}I>k7{w7xntBGA3^&JTA}WV-or^{jA6rdsXqDq2B6~
zS+vjGmoNzF&Ro9WbCmp&qxzZ;bIPBu<(+m}O~Rli+wFb8#Yq9DN<P>rr2X1x+B$Kz
zT<nu?Rmb8kKApX#ZMs*6?B}l!&wSQia8>0`i>0CHuEqP0Uo-hF#y{!3s&io7RDIU9
zn-q9=Zmik(t*eSdEUCC{VM_M5s=A*c?9ZZ~Hzx*ZSZF+0eDc}sBJb_WTjso5A1Kvb
zrM=jt=CI{O0XLraP0y!o6y9(2E??(AyTt9_ms5hyFdRA7!|yP^vPCl@Z`#afX}W>z
zH;q61sGW7=cYpO98#k@lSx$#Uxx2ILOz&K+waz=RLn&*^OYW7IrW_Wv3^;lBKGWBg
z3LjdVqh>IBG<ovWJv8x|s1ad0?QXuPySH9VTYH56x%4G<(fn?rTVuKk0&7HBTfUct
zf8rN#IPpDM=lz^B-x|ERo_t&)9c%QHjfFX}!gbQ#!>^V}xNoce_U84PN5zst%+XT|
zf3Prp<iCGv$?Nd1%m#Wknd;BonmmqObemkhZF!{26=PSvsrSDzd$6*++cAILv$c9v
zj~~cv|6>!_{{E!5sJ?%@gHb{3!p!IrO^?^<eQ%A5ZXDh{GcxSe+w#lPPU>BF7jiGu
z^!rXvov-SQWkRL=d6uyWO?PiF-iUht*unY4c9x^YA0G+YZD^~0Ca_Pz?#|OAzB`Ne
zgqS^lk|S+@b&Y+W{bSV`VkNa^Ob3l7_2!F6@I4c|+BJ1wtxZ8>lluHlBm2r7|DFj=
zn7R5|Xl$mVmHl#&Kc|lzTJ|RDx9HBdzvsWZ`0m>g%Y&;$_r2S1WM2H`rNsUAnXEtG
zcW-$Xx#qXHD5rhWPK}&R?dSEAj=D?o9$DpJv?uvuj;ZVHo}Rd+-%b?0Iy2$9Ldll*
zUlzu^Nxmo1v`693&-thQA2OBCP15c#PqXW?;ZGEPqQ^URL6)mn-`<3CO*{|R=$GBy
zIjPW+@e;4wj4a#MC+t>xU#a{5y7gU3{Y)Eo`r@6wOBeb4m)%j&@LtvPgLZ67=a*d;
z8&bMi0$rr)CIo#f`k~D=zhAnFS2KNj?c(si_gV{||6erW&U~c<IVy2;Lhh|KuZuhN
zzjTB1!wt1_s`+QkR$s)Yc0<rjcj5%8_|MmWyL!d{ZIzwnx<r5DY`6WZHZFa5OL(hx
zt5u%d(sZM#((jtOm&`TU)8AF>cu8-0c#>Dau4T`kZ@qH!%(~+)Hal1AS<7cdyi57@
zBj?un+I<arqAk_hw>)a%yI)!~Tytc)({w4}=l8iPSFXy`F$HdwHK+}0IemI9--?xA
zxOTUuRPT`STlXdZwe}ZLweRia6H_yf>TE7A;W_P9vXV9Ep6n|D(K>t46SEh~{m-?I
z?7OM_PtM`e)|rC$I^C7al+S%yp7*x6QZV(@j_&~~*_OsGl0|kOEbmuLHlBa*%dsh?
zYKrR(=Lts!Oco2ODPxe_vPaUyX3wnF+G$r(8r-T(V($wzF5MJivDQTV%#zI))PDE9
zTXQsg^90SMl?pTON7;wZy?p&qLSQQY9ri%y>efH^PhXjM=TC9$jh1I(XO3j?7xPr#
z_6|7O>&FwDtGknpOY`4Smyhu$kBGk#Q(Jnbx{Py*&*^C`9_sgmeW!E$uPguk;{0RQ
z^Q*4k`FUaY)UwKx{(qI7c1l^zzH#pSkCT13BKg&QH!gX3evYfD?$XeVbnjK$Umskp
zd!1?ijC1#Ieo0`>zxt%E`Ra?9aG5QZM<*rfFLV5TW73qaOWhL-IbLUT`Oa9jI;~51
zzp{yf!%81D^>2*J{x3S<{Od-=M-92nmP#L{Cq|x~t-->)_4LBu4hxqS$7LB>u+5%g
zaaCXBN>}-n?4@twPbP3&uWDm(a#Ef5@JDlmM~M2(r8BNF9gfv8V^z!R;t_jQ${J~X
zDy?bH+QRk)`^#ib+|dd2=5LVxwY7V)_JVhte#bxZG&v);rg8Jtx630B+6H#E|C*ua
zcF?fu%6`RFliy!5oLjVh*@j!G`%(|T6|QJIb0>vk$7RpSw|ZU|9Q0#~Z_`g%*2Gz`
z>8ikl+K|En;u<a`suu1W&K?pwUakH%^0@P=xrx)IvNj!Ay{x6-`>9=X-(5bpY`xnL
zy{D_+?Xime<8ow5Wu5fBn6ep>LE)R^i(iGT`A}A_Aih%O)4UDq9v4dsPRKHla}wd4
zm+K?;{O1ch86l7NCuVHkdrn<I|5Uc^+iAPSmQP+5?stj1X`+nT<gQw2v9&_+ob$tO
zE84oW*KOIZl%dj`DJ`~8@xf`sI=|~>roS)UTC&vizun_YSI*Cw7CEglI6V7=<CKTa
zjXj6=KU=TvG&eH*Tk3--*A+!OW}dy$AjNxn>gUC0_52^3S{?E&{lETGdUR$<)$HXP
zmp!@5FBdi^<R_EtvO}}K2UaL$Gwr$k_tPbHMmIM5%T59F7drLZiE{`1`*THZ+HWo9
zWs|<LhAfCze6&^M>YT{j`Cf-6nd%vsu<|J$vN(JHW!A2jW-I@AO#Qs$7gz3v*L~AO
z9~RGkHz(clnvB?!HLv@x@I8HK+xsQ+kL}fjtKXzky^JE<UQg{v&N<h1P3#r>85=Iu
z(rl-g$J^VV#A!4fp71pDbmYf=!>z>`sh`>k-q^@ZJu6xMsqn@{_g15_zWT;j8G?mH
zzs$E>{Fu+~-Cd+?xVGo>#Qrabm3dd>Ds1+@zKZ?z{>^!w^^#uk9v3(sbegN`%oOw&
z`B#waWgWllca*2?c?OFW>1F?%blJ9k`>7w1J4@1B{z2Re%Tvc>x8%GF@G`k6xi_@q
zYRkMBe>as}{$uwh-TM71)+{Lgd;G?)KMysYYL2jJ^V!{ai{nG;Oy5_<H>UP~`kU}d
z;fdFp#_jd2lYeR--@4EGW}vorU~1G-zk`>LIW6dPy_Uu}@$bC*)1_|8T~ny!XR%!M
zn33B%LGr)7N5Vd**J3Xj=1+(Sn6C3}>aXuyE~USeP6%yy-@51JgD*RK?r?o<f2#d8
zMeW7Umv?_yExvBOHnNe&=N{AlA6s6r>1{8tn6@s{tW)Au;(D_zdvEK<Q*=XQXSS`l
zmmW5w=BTxi`q7_mKaL+y?`giXaz&W?sgx~Eb(2o)oXz~Kpli>ZR(Yew`|nopU;lcj
z^R&=90l&!bw=duH8-8{9rEsV6PV9%i{6DfOue_6D5*yclVe6|@Z=TfpX={OGdBnBl
zkLE<4^7QVg{MLFPJh>#YL$BLn!J3+N{Kod@cBCHNr*ZS-%8w83t5r_!lRb9J{z7o&
zjrn)3c9}h&IYHT9rZre@-lA3Ag>zSle12~KQhw#p19p!TkEh&wwSGZs?$nOg`+EH^
zTR3<(tU6x1h;{$FbFHkGK20=`Eitw6^oYB2EVd)@o*4gO_TL{X)KspoNaers<Zr-%
z6)VrHlrGkAa<g7DV-^$B^yij8?WUSPwGR2Pn^UsJ@2H4ojq@pSnY9`#>#INdMC$xs
zX09`dg^OFg#`@s(@B2MUJ6G~o*IEW$nk80#$UN2P*-l5pclUb3pZe^tUt<zx)pKdz
za)a4C7K?IgI<IbAbNICQ(}~)TcAS&_`HSiLX`Y3(jyD32lq4?vQGU+v{agD)y#ucm
zR|wC)vsOquci&CD<O!1k=IxhS@jlpw=fu3D?RTb?uNLWx+M#*op}fEmh2X%QvYk8j
z-QB0nogEakuD)dQp_6tuRGj$u)H$2A*GT;8JJKSX6Z2|nreECY0wLC}(%%6UKMv^E
z*<`IcIpa;V#B!y-+BR%jJ1=@(I+S>#uU4P;c+8AN9@Bp>ZmhMkU334C_rH5JcMtTJ
z{d_GotGVE5k<$IlLmPzG&(%D>?!}@O*PU157S>38f57!>L4RPcme9_}C*^MWwscs9
z*PYvQ;iwI-%Hin4c?Y%>E{hX#6kX5c*s!XkCERY>oVQPZWu|Ic)HGgO{$j%i-%A?%
zBWkTaEwyZ{a#amya8!;u<gnFtO82J&ty>Fj&hlX}p2Hj<@Wau;J2g|>>1@K5izUk)
zY!7UiTsL7w#j8zyL8mxP%HPYVssxz$yV@Q<pk#f8CnX?6&s|=>TV=09XYPL~qn+kE
zOJ2TKy%W4s*!iH-f_?kE8P?^Cy{q!hPrmKOx5~<2&^%Nm{L5OSO$W;U{jUG=a<OKj
z>^cuUqi63{{tRN2SebE3`1JQ;!}~?m&m87nJ<9Jet*VT1<Lh4Da{fcTYx}t7zf<j6
ze)(~6dCHxKOtMwmtuoF<+q2C{onP-isasZh^GoS1p3@)KE_Rx8X^nhH!K<xzS(-8;
z*IluSw|suUY{7M#tgA*Xerr}{b8>#T`^(|fhh;DS7H{$4D7$^@qU20#_XRAgoUW_C
zm#ceptM02m<He*2`{W<4e-NK^g+cSl<0+=|b$oP7<m;XGObN4JIR92;f8^C9#xr6?
z6OD`y30yuCtMDV+bbHrpPUV`b{!h=p*J}{d*}vp_W#+qWPR^y~8j6cPR`8^3$e5SE
zP5f@w_n+sE9avPFQtfZCokz?xDNVfD_=KU^X`e*DTbnuOh0J+tmv#E<rL=EM@pG5g
z{p`E_qPDrz;=*m6DW)N3Z!b6|@-4Qq$a#Aq)5iavJWtM7b(=0Pdc@?BaW}*4yu-(3
zI}b)aJly5zzH)xgUIrZ@2IXH5dU?;wJPf^hC_<T^<*DAj7wt<9yq6H4x$3)(&f=Pr
zsUiPl8?MJpRJboJ`)j%7TSleN@>*_6TPDcv4*gg(^~P5o#%Kp6NmJDi4`h{hT=h#<
zJo#7m|8*`tom3{3NVdytO__WaT-$qEIt3Tc+mtkU0oR|ZK#xuhn;+$i-t(V(`?1TF
zTUxOCywQ(@qn89XX>FhNO?TsJBlk(Gj%DmP^d?|?sL_Ub!mr(aY}vZhA=_Nt*2b&#
z0jnPGREMK%t8Xw`zbIx9^nK&9xim`d(VO;ZI}iM7H4WPy+1$Z?M(LIEo5+W{{EHlP
zOLVRkZB@0N!Mc^BWRiY<OL|6HTWi**q7TYn-%HDsPf(t8L(DF`VD+Xj1=-&#mY+#a
zRu}j+`OyL;g#yzYgA3QfmO0#AZj#W*;@5Fm^5T|NJU?D+$x*h-4GERJrn3Ca8VUPt
zu{Vnz1%8bF@3m_3V~w6#%eQMnXJt!1NV)wYNl^OUJ+);YBHyQ+spfmHtKG|<An?m-
z!<+JZ{~a=`TP3TR7!UYX`8fAG2D|O#%GxidYkGa#jGW>}@m2ydHx1=4#0e-aW965%
z>;AuP<BsnqGqQR9@z=fCC*m6a*7*m&`>#i{iWXGqa~_!yG;7J?otJ+us?wU`^WJaE
zqjdhqx1<F3IIg?E?OK1rZi3YJhaD&1Hy7IcF4Z`{zu%<e_1^>Q-kElnJ)X=~$A0TT
z#mVf9S#8@_%;?hDIQi+^e@;pJSPk;ra*i}dzfIgd$GwBaU5_y$ZMEnc7w3;=^PX(F
z@KpJ|+dthWPeT8$t?*1gW*?e%Xj5cE-vSMR$=huixDx%sPR*(}^xC<e$@JSpwWr><
zA6$v*eG%_&eB>xkQ`L>8rLsH!%bvdQe&g&J$KRH<-{5*~;WZ=Vu11l|GsPuURvWu5
zQorrwarq&$O`$aQ)Ple2vX83+_RQHXH)&1llP0CBi|?PCX)8AA+5e534bBOv#?3e_
zXQz4Q$~K{!nsZvx11@Eq={1bkjfwX>J1NPjjqzV<zsuUV;~wW3xkK(*&i_=GAt1w?
z$&@O!rs;p+!+DFXO}`4=eDvyIK$zbykIc6j0)ID}8oKVDzkbVstmAvWD~Qb5p8imv
zU(ff_|H6(8&V34JKQ5V7zu<%IbW7LkQ+#(Aryh_#@pIWd`8&dmvrk1I`?3AR9@W#q
zGk%Ah(bzt<`6LIou$l@tpX%H#?Yp1p1ul)hc5(XxHp%>Be~d2#K3Vir-st3!9g(GV
zGxBb?N$MH)%I4167poz&JZIq<y_x&2FweTf;aTcB>%q!}rj;ug)OWvpRpoi2YIhZ*
z-x`iJ$3I%sUp-flb;oDzKZfsV-;R`gFtX86c$ql)$mvF|uX44mi*~UW&04OwZB@g*
z0QqEBk@t%h>YNspZI3%YzeIS2&IeDnuj{{U4!NjNBe&hC`%{V9gXtc8&saVzU%Beg
z-O`1BqT~NCU0ZXe?Uemu@yhfTm9>js^gdX|o+w<nUto@Tx7eh~7v2=c*nGP+>)soK
zpDX_<M^v9Z!Z6`Oexvy5=&g5z_U}D-a@~8`8OA?Fccf|F2}_)H;NFy!PDAOnZ$iu^
zUKT#IPY%mkziM6LtLPPNPU~f6@$c{xR?dERUOzNul5)7U`ts8~8{OD%T;ffv?JiaO
zwt#2jkqttP9~Hk`j5-*%YsG;njc=6Qx`VTJ7nyoD)`i$OB=|6%6#JI2rz7ecL%PEK
zVyo+-=FSxycHj4ZR9QXun!?rQ8H>ED*IfJkPTu$TuKYXC*;fW=neQ+;@^|0H#P2VC
z@($z|R*T%d*x_aIWnVkbhvpdT{uS!qqUOgK?zs1P|GOJozm+$?HjZz1o>WuD^3d{2
zN_@D4jpexx%_~A{P0bgXbwBetoomjy{s`ym^Q@mIrMx<<ywuHK?}JhQ4Bx{Wd(|JW
z*Lk*h-aoZPf!msjZ(iMYw0+f-W#OuE(rH@_-z}}IpVM*G{qlO@7H7t%9*0X6;?+*^
zg}*(UDeiUh9iK^B{556Q#eZevFSaNbuD-W2SNs7(P|1lejwNsZZC%4Ow{ds8>CTgB
zc}2x0GhDVPOKn);;I~$V?R@C1ou41B4!T=(Ub4Mb&-f$LzuAk<h|XL1_ShlzzI_%p
zyM0gDXjrdHl<?gD#(xz@islO6rSs3V|7>~c@v%esy7j@x9l;wvty%gs`)Ri^L%BrT
z<fOZs^Cd6*X6?|kn!0aYibz?jcS2avt#&&uD{roQ9E&2nt6cZBbg$anCv))N!V-6`
z!vz;YI78%o8(&DaCkJl6I<0F>bBWxPtv@^hihk`(h_m=InYX&6v2T`youZU!_2a~?
zQXLuz@#&6F*<*eCIo-vqE&297-*hkdiuZ{>AFu7$vTIq5$cej?`oxOXXH42+x1l&z
zj`QuVRUt=ziOuL(wl9k5;Hm{|$!Q|@d3m>O-z;l=cJbBjZ2#0$$9UV%$7E%cocbG&
zt@+dPy59J`bp4a}8VZbWp1pT_6XX^0!Z-0=phUsn&6aUWm9I_Q19BBTkN<2hx^r*A
zXFeaR`*VLC*n6wq!+kHGSL@ompQpHg%`0n*NGoc;FPUXO$AbI0wSa=mj8uUSj>4^f
z_%BRenS4s)hpR0+*Pe}M#8q6^zUMNumTI`a_}OQ-WnMquyt3T1kDp;l!u=WVvufB)
zydx!|B9bbPrMhPwjtl#~XvMZ~HSLq`Pj8xR)*e4;kuBr1;)O@fCBC1vg=M!STU?zc
zuebfvSl#d=>)!vVXb=9g_Vp)rfz3)b-b*>d7hcZqNU-5rFOqe-<-?j%-{$tEeoND>
z#R^{>&z*8x>cQ@{UzpYl=b!Z7Q|nQqob6|Ev^?O`wY=Xi_WzOm*`2j&+YPp7FC>#W
z?kv$@59av2s4s$v<=#yGeP8R!bYglmH}E)W>8Qu+H#f*>|6L=n?){xJH@nvsE<GG+
zHzDg?!IY<FTXGl9+p9F^*2+zKg7<b+ocuQH+IoXm%#6(Qg&V_L%a$wdeQ9#~m0j<A
zwLcTCPtB0|eDv(O{Y6#}CMrqym#aLR{P1>$!@P^9SMus}|4@>+pr-glY1)dez7B>Z
z9G-1UGSwu%O<lTlX|#Qsd`tqn!v~X%lVeX**XAWIQRP2qJ>Tu_+K#hXH;O!zm*ro+
zxZu+AHw~W^-QA^cc-$7)y?tM1b@sG0<vi_!zx7_tcb{a!bDjT<`GeaV?oRS%%V;w&
zexJ3dM^p5y&z)nN+7{@4`6qi~cJI?Eb~fTynfCP;JPlKOEHg=NQW%p(bj3cAyz)70
zk8T*RUBRJlQ|@w2Pe09kS8_Yw=E%EWU+h$Rl+XG`Wc{khFWMrH{U3O?r`>NUI4Qq-
zx8a3`bxr$D9XVAY_)e*@_OyKkQ~9&~JY|>rS@WxZg_`TE3s@}_udf>DCg$<m$36b5
zpygTbqfWfqi<XJ{EM*F8*!+A$LCU|Q&%1IL?{~@8D(s2qdopLvyJGXMRjb!ux6*oP
zd3?g>OYt^0m#$RgwDVcHQg4EQj>nAazc(8<|LB{Z%yX5?aPECMBd5aJGg}U2e9NtU
z+PCCS>@)95Lqp~zY8szqZo5uP`m%7z;`JSYTVJ1IXxccfJjr@x#rdP}_J&Q@;k~sr
zwx>Od_rTT1zu6Y;Y(3_-YG?NJ1D1vMZ}%%%aT&gjxMY5Ny4tdc3oM%-FW4H_Z>Q#U
zdX4#0#>Gno`a9jEewR0Iky?FGS%Xi&XJzvd_4iu>g{GT-owp-^|Aod?rnP;1z7JB*
z*<UGA`IET+y5Z`H`X5*1E-(@Zbk2=szAj_dwn_erdy(o7|GeX_CTh{4U+l9BmMdrF
z_!piCGx44ys<$SDH*d+xS(5Wq4Ar`p|0~<iSUy`O{0z^&JzG!Pb5!^lzdFfu`BMDa
z*iBa1HS1OtEoCxG{P{F*iO2+lSE4tcdTM^HE}5zD`A*Hpyk+Ni1#I<_vEHf4KEY;&
zR!?)7nS-@+_t(S6i%Zq3WMBPS{^#>oizo5j!3%z5i8^_I-@Ytvg;n+y??tQ5XwJ0%
zXr;1y)7I@7ooW9|K5G5nvqCWE+-LLbkB*a{Jl}b$=iv#~Lgr<XBF1+mN|u+)E$C_0
zxpemX)O3M|fm!!XDdjv?X_z3PRerLdNsXs`?f1^}w<Sf=XWEvpob=cB=$u!&&wA|~
z)4aA=_T6Sww!O8}?`MuugVTSLb>b_+i_eFDPfHSi@L7DzdZtDbv8~61MU;*z3QdZ*
zcK^!Z8+#m|h{r8eZn^Irw@I7Z&UMGjgDFNqPs+XpRYd-Lp)*6G^1|=q)m7h14@~sf
zcRlm%R;G>{+IiO;*bjO9GJhMq(tBFpqeV|IE}0QgUve!iLExfNRB_=>)0UfiAFY>2
z>XF+%WBpZa1MR7u&jfxlRh^l7FfHJJz3u+^7O8m!E9;l(@T%Bp@RkN0+1lz^#_;#h
zvc?<clA;#(s$z0Vcs?0Ni=;X`NPSr>nOIPM>m{#@yxvR3W|sP(?^En`<NfSj>|x%#
zV(YiQH416J`_6QDp8dbU^hu&qru_FU&W@r>&wTmd{4+Z9472-WX|Ds`+PB2Q>*GFZ
z<khVSILGDkZ_CB7)f<iZ?bM~$iybR0<1a6*X?XZH|Grz`=hu%;<$OBn{;EKYW!ZBk
zR^4vZS-yUXP0@mSp$n4@Yt}ye+}`1Nz~YdHO8NYAKdjDI9FsRWUBk1o@yVO8RX^5y
z-in_T(`71Dx_y7;wpv4H-&fOX-1e&PnR{?vjN9&nje&b+N#5yfFr6FT`aJN#oqfJm
z*PdL;GGDXLsO~^c=k~nKue@wOaIX2+dUxh@>H3x&gZ;b1mF_2PVXe)4^)R5+)o-i(
zN%xKHi+Qv)y$o)?+!AA?_h5FPz@ylJif2z|mT_JSRow96LfPfSJ~oR*aop*hehrH3
zjs?Mudn1<4Si84MY|Bo*C4IAAT;ldBt)B9HlbnNq|HtNflbwHL<>DNlukp%D$aK>S
zFOIkv7xQvOv+e4l4xioSJA`tob}fo(4ty+A|MjHUPrm*fl}5+kdzrHyZJf!w@h6MD
z$7<fa-_K1*3GY<u)i@iM*;2V$#^B*O?<rrdu-@=uZZA8s_;4<pXKS70>8<hXl~E1v
zWOXK8?DEQB)?WKzbMVe}uRG)xmrwrvkM-Y$Jc&2mnKfz88Ps|%o|FmbXzBd3e_D>9
zu1jCM)-%Z|rS6RB*SkMj=m>{bY<)lH2eW6yU5zQXq*Z1{&1G(0%A~peIB$e)b^^os
z3Y`PLjxk7DGN1p?I*)03?jC0ob!MUVMGH3H(sI4UA$a~Ji@<(QtFAL2Y8`(~E#|SA
z_y1#2uHl;|!`b_vCH8-N6P7iv{YI0_=Q&^Vg2iWM&%a&sZ<hDMY4Sm<e_cBv#l6^1
z;?ezn0riNWyS92$&&L0*+#t{Kz0XwWNbA}U=9`bd@n=2F^d_&i(CG4g%}bl?lkRs;
zTx%!$e7TO+UPfl_KVKFtFP(omsAlo9-PtY|u1b0IPA<!qz5b}^?#<?1g=+b_y|=%_
z{3#4>bo8?S6~JsPb3=NftEk4&kGt!66(V`tHo5zL^9yLY9>Xs!6a3wcQHf`oK}srH
zXWD(X_wy_{qa$Xh=n3>N&bU**<23W*S8}3{k3X8vV3BO6ajWYuch}9#kk`z9=O0a7
z?HTII8$avg;+@M3<Qu-ODf~Xos_n)xO?kuRh0+lr9=Ft%*7iMiSMe~fjOyvhS-<(w
zKc01b4SOFjtv`J5mgt*x4U65aCYxtWsJ(kX>FTNnKFjT^&)>26|L@q`89%aH_pV&z
z;MMA$>G?))_H*v19y^lS7~ZyvUbXo>_mfo8rMCUE?&ahMgsPe<sMKfLp9%Vw!ur|s
z_o?N}*}j_{lfLH7eI(}a)exb=jzTqW%L!#ZD(fVqR~eSYr>WW4XvgPtEnRxo^wE!Q
z`4aY7r7y#>&rZp1?zFsYZJ5OMaO(}u>C@N^d%qbAD;=D#;Jx>PrHYi~*;_MZdcJne
zOtmw;-}*!=a^XI{)yqy<&ELPa>hwe>HmOTLGvjI$|DRpRd41-~3IUb-Cw8nixA*7F
zR#G$GQhU9qwpZy=z-dO4D>c*7&e!f!ee-lp_P<vVVOh0bkF+vQozwSxy4URBm_}FM
z{P5?-Hc!s{YP^zeb+cV&!c6{4S=XXCejoe&t6SOeY0^{c){9P+Q?^H)m+{e95muj*
zP`f8|bF<!`<D%*hGxJg-V!nL7SG@0H)VX%AlGWCp_q|U`O}+k)_swHziO;id<X3b~
z%dC98Pb2BU0p&`gUk6hRPMhXLPmuWK?zbhpMQ);8?uwfcuTNWeXfuhN2>;@)q<=Dd
z^2XZrJJdqjnZF3GuQ+$~$f^r-9UrIo+AVZ33*Nmp(tgrJ=8Y46PpsZnVt@H)&?BLp
ztj4p?JKV6D=oI~nZOV@Q+YbrVxM}ZP=kYvVGTh-^lhSn$hrNdbR;`J>7a!ev=hvc_
zZj7Ec&IVq|PTu=&?w#e&3gRb9Kf3Rk{ip2C@&k^19I9XEZ9i>p=clpWd{e<MnL_{T
z-`(R=*FRSX-Ose+PH34xSMZ{Qmp9)BX56h>CVR7cMoGl__iF?z-#_G5Y?9en_K|Ce
zafR%uBNI!#6`reGlw6*f_WQ-vhMA31_b}N=JUjIH!({XSJ&VrOBp;ExA>msV>bFc}
z(}O=&w|wr`_0Qlq{iuP@c$1OwjJUgoJ6Ra3U;h2QN&bTRIn}rI?{;2^-MY->YU=(Q
zZqlNMS$%pVm~v-`?YzL?*z3G~+mHD#Z-?z=b)C+)^<;6`Md1KfIq&~}-mh#7+sWi<
z7`e<^Tqwmt@$Q4Va?A816Zg9xTv-}@%8+Ms%as2wjBa+HTjW1+)57v_^D_xgC;YZL
z()GrLH%DjAM#1T;o<$#+lD{r;q0oW<Tg!Q+SK8I4zW5ayT5>ckVjcJXY>C+_+g8rI
zq1C}8Kk?&(Us`*P&a?ROu;H0Ol~R|}?zJye*qLlo+3WYbY?;|xc{@4uqN|4;Z`-${
z>K?9}F1*~aA!EULQ7dur*3^lwgN{v`V*lol$nQJsY(GLCy0gR;UJB?)e|e!pPs-i)
zZ=T5(d(|H+X4E_KZC<|lbI|(0O(NOuy@9T67HcK4Tg_P*W2CPH+m`ZO)Uaq`nKf7S
z=kGY-Ly5PmJeB6yZ>We{zJK2{u{EW$Y@7FY-{18`RZde^(>6PBTECOcost+U|0!*~
z4qwhWEVED4`MqgP#dq5o2C^?^ZBQ>3-*{NXT~Tevv&{!fIjp)@H6LF8zRLYUKg0L0
ze*d^GbzFJ8^H_|e%yEzFekFz#FDfkGKREk1-BD=SPL(BIhtA&dV_eSb6fmzp-`<&L
zky6sBA|L(#_u{%Px^2r?X7O_$(`r-2<|i-rOHI$1;>A9ht3=en!z{M@|KWdX>y~i8
znkEyngW-zkGXXi#i(-maGs{;g{P{d%`MT+PrXE&CJGU6$Pm)m6H5c1--c#n4u5Iey
z3o?SHH==X%-tjR+{x>>Nd4i!T&!cD3QN!4nX%2rDxFugZFe6LEJ8HQS^VDE2wljhJ
zp{Cw1e57wFt>L<NnWfc~vCidWK>8xpthH)KxS#U!ep`0y5qnINbn~?<i_#T~H5G6F
zKk~`3fid2&jX5&m#*ViSk2!t$E;Uo-vhae#$?H;meZ4NOWIlIDzPEj^d&Z)EvHZuI
zmv`(Jb@cR7@!`x4_<JuzExmQ~1T%#-i`ic)K4)W;d{$J?ASKM+vMDmO;O)nfdPOk<
zFP}ZucN%U!7JchCTeL~`bajPwuKn@~na;gO`L*};{CYLvu;JwoDHktSpa0#yI7OXT
zzk7PyH_O@a-0#H?*gY|3`rCf~vX-NCvO<UZl_F+sqt3QF&JS5<x~60u45?(<r(5$x
zsMJmClH<bd7ZMj9ePzA*q)^!flM_eQMK_4~^{k7~bYNSuW&MSkpi{0tI{aB*WNID=
zeN&{$+VqRlvcted{i4y++5PD|XGR&a=9s1)EjYWx`oD(Ms@UnvPCqF~=$!kxXYMDp
zfQ&SsqP#Ox?50I9?dGpF7fj}~*0~rrJx}|(0n0%~y?&>p-~PGGZQ^MX)^`+bWVZ=9
zH}i|!`p!QmX5Wz~`(CjxV%hvh;1|o$8(!O)q^>_WS7mqTuzH@I>H~{j+3jl;C0OnV
zdhTaQjJ}?fQ>v%%uRig#ne$fze)aobR^JTYE%NCVyHU|v_Ai`oxj7Aa{lb^)<Z!pz
z)+w|~d^I%Fk-u%DP!+^)cI!9Sy_m_fR(+FET32dxW5?tg#~nvL6-*ZQerx<SaYm-)
zfn|9vEUnQhOxjV3j2e6`%Y?%6KCf#&GNtT+nnJt0BKP@1<)81?NF?2=G+{g_nj7=X
z-^Au)Z_ngz`8s!@OV4`toQ`nfIDhZn;R<8p;<rD4y<T*3(}PoqLG$`oH!c3^<+k&-
zb;exg&6Urt@UNe=sO<cUkk5;*$+PYGv@dMy*Vh(eK5Sv`HSI^{MDMn-PusX<ZNVDf
zGxPjs?SIyLs3<sU&e0r6Sr@am5`mLVjXP(roe<@;b*V?w-Pzib{FY~3zTW=rQ>i7$
z;Go{GyMX`dv{TpDDLz(vbgLx!&(vM(PkOuZ9bDu+tL$uOn2FWD8Q}s9@0KQRtd>~h
zUh?|lq!rRzeQHA+e$};ZsamXjs^_>iyYiyQcVZJRFiEUSoOnh!`etwKg9-B{r(dp$
z`85CS9ClTfBBuR{cBdnx-h7&;_V_tZ0Mq-u2LjXGSKm9Ik`^F-@lg9U=YV4V^Yi*H
z<Z<d8Tzp44G9qrxq>C5$_H2szm#6jgy5j14Q8^pidzZDyE3cDx^mB};O?q)tcdExU
zeh=Y?a`p_(KN@azy6p-w;B=n7JNf&yXIBpvR7IV?|E78VkBv|2j2G?sxF$O8c)zCL
z-zTk2d$u^RiGH>^IP13r*Z-8M4VkY0Uv?$`J3gz5-+Pwnk^cWq8(ZI$8u!%c{or3C
zJ*8W+Iz&2vyY^+}x`280HE~~<ciX-^{V!7Lxp9`w7pHrFqL*G(oOI#AwUpC)e7a67
zklrGz&cH2OvrMdXZj^EDsU6+nW(RcNG=1p0m^%5oWU{SAk7c>IRNvyIe&Me-_a|ub
zt+_BU;$7hB!ykBr)~u|Tx^`pcqcggdtd;R>`sd#LQO$fQH|e$Khlw{zdXs9Wo>ET^
zf5wqzy64UAV|%kR`94I*-khZW=|y_M&*|UvRDKKI_G)s{+r5A>`SM!PlDE?H95e3Z
z@XVE+BwG{zIbfrPY?kcy{BHeA9Cze7N`4l<U9FS6KW%gO(Sz3f%S5b~&CkCTur6TP
z+4|bT1+t>yq8?l8X8kp)?k@`PW@Zs#U|`^2@aTvMV_xaJ{k<IngYXhY20jJ`hLHRM
z-~5!)oK(Gvq?F{hv78msM{jPM(|q=rSJRF|dyfP#J=u_=UdY3k;@LO##HI-;>Qm-(
zx!yaXwCRag5#Ni0wfEC^dNmf^|9;VFCzr0fxA*(qOLeEnec7k+;r!NFGw()vE@po8
zoYVjHjm^gI_r0$%{#<KpZN1w}B<}X*`>$UY`Q>M3-b`ixkla7lMs}Xx+QW-0cbR@S
z`q5qea?i`dFMUr=ymRpKLD%z2{@?G4|2uSXmCt?0pYQmJmz^p7leg>F?U$^3XGolX
ze(Y;a;cBt&UqZD90~7>#zVl|OFT1wfxa$0)i+5)1tFW1`vn06NT;<^V562hrR+SYh
znosn9+1gTFSXEP~wb^i^Wv$bq=6};7rh7kg7t59O%&ctLnsG7d!~UpK%iXK)MCsWt
zdS1<RFXKf<?xF?#DwiVXEKOe>cY#Y&fq9u|m+j-1x>d)f-`pYbZ>eKr=c9}|rHy$>
zf2$Z6H$UIr^L%rI=lOpVr#5b|mzcWXbLQI_S6uAYr+0S>?wxRNf^ArCmg}{+R=1~>
zJ5Ft0uk|x3v!qt!)5=4SLii?}Ts*t4_xY!zhaP#{lji;<^e@G5r^TB5n^7;kcvQGu
zZS~j9o)zF=Te``VA>**rgciY&W4E>+zv?afN+ck2-L$>t`-?iBGhE1y=DYFd)ZBMJ
zb!GeJnYk7oTwN@CYj<$i(jBLmgBq4zd%i`uJNo$7c^(1IN&bJfO!G|tI<Ga)_dZkR
zSC+#Q7w!o2$h&lMeWk7CC1Ku*zf4{er)||ezBOm@nsvo1^a}2OeO)Ac)Me#T!AYNG
z8*cS=y$Y&Xc(rzKvvc8r_xm#C)%NFZzP9e@)@ck=pP5~cQeU;za>2DShOKO`);xAz
zzeRf0x-Iwm*Kn;bTw%-|&AsB=vCB8LcGS&yv#xxFb@Xo6Lwnzr^yKdTXV?Gw{1wNZ
zU$@zIo!Dl%E+;+Ic6Icr-h-->cC60J&k_sUKK1>=+u9q1R!5(9_2W6&e=js=_Sf?2
zO7Y!$*|ay^+UDGlyZPL<>fT)uzO!E4_S<sNMLqC<LFTKHPe%kMFY{6ljxfyBD!tuj
zqULMrw@9(%HjlkpAZuR!l-n!)_*P9;=a}g-)oSLU37#QqZ<i?;b!ruTD*2UbEHu;R
zt9d5V#FN2GZ~y*Twrq0K%akrBHUG7Fw;fMBS$X{A6|3!MHByYOK3LM}tQNe~Z;?le
zQRwS$h9%b5PaZD4-RHjTddlJ(xw8#VY>Q2wtoG{0w$+6~M<s8Ux%$mJk#u|A@waiQ
z*1P-X+_hZWUwvh#SNCa4vuRS^D(+^g4_41DUY^zW^Sf_wx;EeSD?x{tFJFoD(tftG
zVgLQPi>#(<{&;Wpp}c$7W}~a7%0gVMHk|A0E8gi$_;D+(R6KQ-x2(hmUW1d**D{>E
zeDtJs$7IpzQeW7e?k%qUn8BeszkbE8$wr5ly3`zWI5fFn@sas*j6$J-k9-1?W@}v3
z^qQmUHAmNLj<VOCz$q_;RNtw1-HDvCqg$geJn&K8q9Z#O9l7h;S=}M@T}1Vrs@I+9
zDLeW#3gfdM<+v96zWw~0N#mi?4Xy9RzPys0`7&%m&ko86&M8nmbCIujLd$l3<^#WX
zPuZ7nf5C3+hQ{}^*o626RO@`ER4mXa+^6wTA@I?`MMs=nI=Njsxm`QET{^p6J7pKS
zY}dG`?Da-OwX9QUdWX>TE}`k2Lc3i%PrG*7E;{1u+S%SI6y71kFRm)5=w%Z$<%Ou~
zw?{|LEmirr>ZQU(b+0eoLd=Vf*abfF3QXFsVW{C1qv{o->&4S4#O~6W82D&`hM|&|
zjn@<jQPpEEorQsqCTJ8!OxfW!Wk=YQiY*!+A1^wR?9%yP-ODCy$`8)KB&R7Ab2JPy
zedjD)Q|$Xz_Eq<y7F7iSkDYTS*5&I6__7@C^!R`A#i5_G4@?!Dt-V?FuBqvZ@5w#i
zs~rwi6(3?NKBO^!!{$k4XB#*<`8f&$U%hN^y7IVnrF82`Nyk??sb@?}FYLIO+1}iu
zGk?S4NyWbGs!fUCV?vz``-3HyEA}#n+)<x-VD^)n-Y0jnOjZb4BB~&waY#g<OXOwD
zCKiK62A|7;AxT;cH(VH(t=z3SJ2v;h`I2jMJ=V{T*w5&i{M{$`d%(6G&r-fjJfdLm
z$WZq9r5kTO7UZnXw%WlqDR4vC*^mi;S=4sVK3T_dqE6}DyJI)kx81Zqn)2P?X5!vj
zsr2s;-h>}~Ge2=txzWw=hBxz%-n1_KcHTGidx&3=!Oz_@{<Jr0S>J5rE_uileu!!L
z2G(f?tbDAjeyXg~9x#O;U|PPBb(#@tl|$oY&&H)Y4m{m(Kr*K>)u-|4f&(*`959M`
zU=;B{XPbk0@B(QOi`gPCj<M>svYt!i)=B5mVP-w2$~x^a({vx!w@+-^vyTTcnrA;=
zx*&Jn`+~O&-a9&{>bJS-J?Pp{7RS^TlOduwZ6Al&&JOKEw{~%h?7X4jw5C>3r-(DW
zWox;*M$yW^mWV%05l@WPaby?qYZUDaY`O8LCgKU#I*#lTbB&^<fh`e#_pDsCtmfRq
zTdA>zuchaAyed!<WpT~i_}8I)*QtlIPCjvP{crAiH+JW(hqGAqcxIkD6Z~A`dc#bC
zJuOE2&0X)h@4WW#R_5ill#na`?bx1aU$=}g{!qG@f1Zt~$nQNXC9>x;J8XQNX_&Nd
za>7Ra8C@IAZpn-6ZWL1v`^7M6&&80Qt-;nBWx~2{I$!^Zl*T{p=DU7QVW+wA!sw&2
zYu>H8^QK^>O7p^ZUsf)7p!=+8&7Nk5gV8gaT<&LXc#!+7Y0ZJ33m&}naa{BvB;o^K
zv18by#sv@N`ZzB7D00K^9n)KuCF{?;uDYgGB$%OI-dvXS#2{oIZ}+`q%~PjhIJ)kg
z7E`r4-{ks!vq);I9)s)q^lqW0>4z5aM|5op;%9V~Pw5s~n|@&7PsR4^viihqv({4=
zow^TR__N`#DQ~Rv&GU^n<r#0bv);6J_~!0dR>GTVU#_*{;qe2xGgbMXY+J^B*@n+2
z`Rk;FG<M@R3|w}K8Y>!_;t#Rvc5l@<%p<V9=BA_U60U!BlYUmK{rIi<?7dlO{!?wf
z=<?SrH|M8qI?wdIe%YPB>CH^%jaZ*C{CRG$Pebm8U`qYGlkb>*#OsC|S~oK38?ctK
ziGE$QU{M+4&wa<9+!p=tW7pnucOAY>pYf*H@!Rybo8R;4?N6$&<S+Oc`ulV>)5QB4
z;hoiupYCtb-*3L-&#c<H@0j)KPw)G(@4>tKdf`W<H2&|Z{rT6Sx86PA@n?sP?{A4`
zvv2<!XL<2AvvAFP!-I?q4)C86{UNdAA^+R_3I&b-i;JE<Z|1SroBmK?!bA0^Mlw7S
zf3DmUXm9vruN_{;c;dt7qGb^rMt>H`98yZ?iRbFsC?WDEbZ3)n!!PM?SMDB=*q_$(
zknv`H^8CHRG5^-?)!cR9srg%Pea4jgTJB|<8vm_-e>#2O>Grq!<;|z}Z{NR1=FVUK
zr#%;#PyN5R@6W;mEcHS&9vB3?k^P;deIWOL%)4#v%))<K4G#(~I1qnY)I#Xahx~7D
zADTYZ>xb_XPx;|)Y5c>Xt$v#G2WGbao2JV!JpCWLu15aEhxtX4VNE>$b*C?smv|i8
zXxOyi!T(dDF|1ts)HQZUMLeuM#-%vnJ+tFJMuopi!fv19Z%$I*9@Wju6t{fKw3VMZ
zG%8jtco6uYZu!ICovW<4y5{O>h%tY+X1?zq!(SNi!N>8S;)J?s3bhhT=IeUI8?&}d
z`>i1_@!#^<h4|;^E-X8n|1u*pH0pkdhHi1xvu$T?o7!1Oc>Q3$_@Lmx{12^R>WA(%
zI^@)Om!F;(9A^8r;CsoJ2TM7A@JHppVEo?v-<<R1%ZHy2O=VSHy|V9Yq@j+oE5rPP
z+1?AKXK+RKaIQ|C_GrdqhOL*Gj+P%5PT>!(z9r7}ue&EtcS-Qco@dVLX=;~mCLNrU
zuGp4i>bPx{?vFzvySe);*FNH2yNrAH%H3NYAJ2^P<g}T7`M~pH|3KNdKTd^Qo~nFB
z^zE%bR~#MA{<v0CbvPsNb4f`_HupjMcYe9KR+G==MJ{07ywY`+`Q(cM)0(ESUq1gw
ztu{r_ZEjli{+Ek>A9cUX>JsPubdzg9GwYAjzrJ+L(_Q9xR&BHL3ya$|QTl41qfLJZ
z7}`(MSymj`v#XhNYjElI?44h2Vmp}LF*kHoty<BaA$(KNc0I3d*TQTGPeVo7ReJgC
z-?d-;)Y&DUFj?y=kINZRcSepg6HhYS;GA%<;z6fi`V4{e71mp_XFhqxv{L)(wsmQ<
z)}0Bueap1o;2C#iGjpTHxhrZ)Jz6Cyu^y?DUK)C=Uyxeduzs57@-I1#?q}SKto-gx
z@K0MP;dDdONu^BhnaP51=F;`g8&fa%cK!Uai!);W0;Ml!Up{)Fq`N_NX+QG`Cbv1M
zPHzHt-!43w>&I-gV4oRdH1q2e#-qL`eX5qYn8a%MG-yBA7hNoT%gU*68)rdlRYvv6
z8v)Us&!>I4ab(7_e9fk1OBh%8EbX4~YS-GRq8gbyDm(QSC!euYx_Q9Q_Eybz$EZE_
z0^AwQ_bep7?pZkFS?{6!e;ChNzu|4$vv*;G*?H;9O&cp`nZG&SVcm7>*Q|s+@t@nA
zqUM)ti1h0=+}yhLV1U7%u-E)9%zNFZ`^Y%|yjHO|FyBIs=ZL{7?<KNLKiCfcOR%w!
zU|Z0*bz+7BTOB8#i_^h|`bIN}t4W3VH5zsjwo)qXwF?#N4fY5nzf&;^cpnhM&3|lb
zK!Jh;|BtOd6b`#ezq#xn{pD-3{ocI|butUu*bk>HxqXv`gWbR2@;;3nsR;@KeacR?
z2P-5tT={k2{k;ztqmD@Tve!B*=7)6ixGFbqJg{&}`n#kin;=`6o{)LX9e1}L$`I;t
z-(b7`vg7B*wyV$b<*s`EcX9T%o2iwx(<Vdi=OZSb-K#mvR^DQ@uF*0!oOCYl!lqws
zK2^>0d|z@WINGE%taJKh`(q+Ab5Ncw+il+)Z4BZL8+UwF;N^*4QJ@yC;jm-klZc{b
zK6kAdy9{}cPu|1kHmlPnb84|~$LXu73yfdQRLMDdR3_u?&k{zVqi2fRuINZ~A1GLQ
z@E=E{7{|SLN_&FJ;|^$;@910dnSpy{dp%R11>@tliHBZ?P2ZN?T4>AqKtM|B$iw#w
zY;1y~{y$-ny!zAYKtc7a2YliD%x=q;6wLg(z{hYW1E0+6%dQ8iob}8%S<XFJ-l+4C
zZSthhZ$e)sCUbJV=qU+Uev(O|xxsq&2@&4iDutozPCr*WpwMCX-7HZr(E9ep6--*z
zbJ^c%&1`48ZvHY-cdy)m<!;{B&OM%;Z`O9a)@82hdUfstch{WGX`ROK?Q&o@TdQZa
zyl+#Y5BrCc6MYjzWE*oD7aBg<r*>lR728`|3k$BB<aMcR4r@5x_E>4wN{+A2zh|y{
zv+musbw3v+toc~!Jj<NPO=7+{uZ_ijn~8FVq-Nx#D6!gj8m(V^u50^8hhI0k(-tZ-
z95#P(<n`p2Jd*ymTxC~Ji0Q9Z%~>UUAu?s--VZ1GdZw|z)t&49R&vonC5gg%VI`?g
z4b2V{Szo<7k+#4x<<je~t*xDfJ4`w6@g_A$$y_vNlxMvyz-Hs%QJB4OMSr8%tJ5lt
zCz}s0Fk9BYpkU&Z_ywuzL6WwdAu7Qa%rYmm3EHb=ZSS#=D3fGn-1Mx&Zr;gU+2(b8
zW_L^KVvC#)z7Ur9>=5|>c(P=BjEt|CWBuF{4B>0kFUq=oNhvI0T%&x$z*r)GA7?+$
z{Nw&lwl9(vci=yvEZ+aUp;5(lx8~gQsfxZ4ygu*kPq1tYux0uBZ=y*Dze5V^FQ$@r
zD`mL0UYh-dXU9pKc)5_MQwk*;Iwnk?X!d@A>#Y1m0cQ>#;E+g7@@X$}j6c!%kJasR
z@0I5=Nlekp^b(I1C)YIit{2I<B*qt|?4R41aVBBqL9^}eDrA4H(7C|%Y7U#GM#_>i
zVqH<uarX@-Dze>~z$`l7H91X+#a2c13&)}UQwo3UC+7Z-*LijR<G%!+7MY2Q7ayGZ
zS0jMq7n26xLcvvL1|r?rdl_}5I;xu!1)M8R3S3NV$_f9T?fy>wm?(!-ou%XFV}TqZ
z><NZWmvg-@C+`ZHrCmBp??;F}hufyd!V6l{i&pk-@LXQ3-0G^3$Hu(Y%&5_K(c(15
zM;AFSIF(qiGsN=tnJ!J-cDm(Fcy;1yeMROIiyd#w@=6O-cC3>q4HKApxWUYyt;02{
zz*cfqNzwyVwG0!xrA5gbZok;TKSgaehaAuJ504c#Ty(s2^p3@g?F*VSmRddta@3u%
zeC4M9iBD41p4eTz&zFAKfUPmBuyw&h;UmeBK`&Q6vB<ifAjbDxomKW*X3)VEENt(e
z9lZW#$-4>8wM!QG9WN{1`sPJW=EXhhrrAEY<iGu~S$neC?pY6KynYe#z+RVS`O_2A
z{!Qb!Wo~uL=$*yFry}mBE&FaB&uQ2l%6OJ(j<fvh8LPHwt)0;N_ZG*Y>`<e%dLJ$q
zUDqoLXTS3^r}(<%i*-A;UbyYI^3^tZ_U&`m`gI<AwC?3X$NR4u>esa%KBZ-`MVW8r
zmt8JgXOg&YO-o{G(SNCXT5S5Z14&WA(r&Bwb4C5WeQD9|EW`Dgp`UNDe$H~%_SpC%
zLFH0S+2!m+ah`gQH)Shk#Y(4{tG=?|b#cjyx(pw$m9wt=TV*7|EPU}oR)2PQ{QXle
z9wf+|X?c9{U_gq30MBveMD3gVv`(DcteXAi_0f>@5Z|qH7w+O-ASL<X=mDK_Uj?r8
zXN*5e_OA4~+GhAR!|3wNP~*GP6L%$dYI2A_h;m=EQ|I=ow4LgQFP+?wQ+B%~>}-L3
z+e5#GhYmTZCJ(p|i2gglkZ>zz-sV-$GT*(EIqy(&;dS)gm{prsFN@md#d!7Er#Zo<
z=b0tu?Pi>}FrmU!(y{hJE%PSdyk*v5XG4>B><VyyCEfEYAV2@#kC$0IXVduSS(~<`
zDcng}{65Ci_qD|R_q<2<Zam?zLit+CwA~?Ri{#s$%FJ83`=m<w+F4KT@tnNbk)k7T
z>~P>@%XeYy$A1Kv*xa~ozGK%(ndf0=3-t~My2@Oy2sf{uac6H<m3-#$dyBJX$)_H_
zw>fK?eB$wYtFtCu^ZT4w`eyIz2R{-^-_)*p_G4nno7&aOmaht~S-9eK;gqQX`Jye~
zHmb73dml~N(m#)<MpbO`P5(9@6{!p+$sbmFYR2yut24*59!t5fs5~?!?P2Qd%<M&t
z8CSek>}%t>f229TD=FxAxNnxak5{blS6;r>$G#k0m1*o(&aC;knQPw7<5_9XGL1Gf
zXQy4enUeN7JMG%llr;9MXL39z&y<ZZ-n`T|t<2->nQ5joC94GDg*U%bGj{I3mzA__
z&&e|vif^r&@wR8?S)JZ3XUmwnvzK4X(Z3LAYI=CC`(NMk=NalHp-Xz)#J<*Byt@-@
zyg5yJ)8@3avt`d?O^vg+S;q$%Z%*6&c`1Yw2Ijn*HPiI=T>bSkBRAiz^gnxM*4uOZ
zYiG@TXzZ<=yLs+`#I3>E+r%RRO-<F8nQhJ25XsV8et7k>lopA`Mvs^cR~JuQ(zjyP
zl#3tsE^Is)vFGR2(v+)bdakw3tmT;cZ0)S5%h&53X!^_u$-lb9ZjK~p>a*1|Ba7Q=
zPx0*42oc`=Y=_q=odDy_&sxN%M8=;zGfQ|<VA_k+RkLQ8s`o5C^D8^;+MEmXjkvF!
zSz~!w^;Ayi)iXNXtY?>P+f|lzma|4<YrxwpvxN16vY11Ijm@{Fg-7j3OFO%6^TAa!
zO=}~&CUu4QzB)WpCos+Z>X|h+C$d#V?@>!T+h=k?+R@Z7yKIRd$lJ=j3unzVwZ0^=
zZf4|W<zBAkbEYI-%PHTtEh}wWX-`_PHOR8ES@P@WMs42v%n)kRt^Xh+OUrVuo|&~b
z$<4BMQI?-?yy4;{LZOq5d~VzkH9qxb$EumN9@=SBqBdn^9b0C0@U`UE+`F%2Uv(!L
zy<Vl3d_~x5!<~1br>=%xu(~i!tX$0MS$mwsx~}`{X3W1_ACgm`VRu9PYg;&1oM@JX
z^OwGoP@eP^eKS^n)!05Yr1n~i`<7(A7oi`rcDl?y%_>_Fq$?hwKkr%LO@+g(*Sv*y
z_M9pbys=`R_)2g0U&&6Hl1^s4I~KO|O;=1wUfU*gOT+oZGEMU-0#-*BYdLYPS$ORI
z-`4rn2SSdetT-~ui&geO_{38i+gIf#pL7a5|9CRrm6^%HGn1-pHk)qDTWw`JTjuiB
zm#ueP?3S+H{9!+5(W&Ous+xa%<}2{tIlGamu21Jt#A}OlhOcHc)aMk=c_ffxcb`!|
zuCH#EwtD6&8F%}ZC)(+XtL79|ggvaN>Yn#8)l9GLc(?wuefHaKwD--k`Igl_`E=_m
zz4U$gtGa&ct^2j_MT^hJx%Qd+ir=b-_Jm($$l*KjA++#zt?zczn}VAi(hWjW3fF{(
zex1l$wa8#rTzts#gZH_YO^aM;m?u<sIAns^b;IqY??funuc)wJ=;yaPQF*Yxe9s}V
zET<b{(Jyvsz1zLw)$c=>BaWKu&B~9dW_E2~6PhE%o3lX8I7v)+!cm>npEB=EW>36(
zC3DBktqYa&g^nM7tob=X>`~3vso`N~3hzA2Fb(E1oDwDB#n*PJX4<7gcd8cu&AMT-
zR5{OJyYGx>{`0-_=9jFv+j{s1_gZ$X9}N#~YNYgkW&i!Cv(d3W!EQ&*lb+C1Qtt~E
z<QsI!9f|Pr|G4s5(Yk52-`t!g{EoDkb?x)Xnk*67`DF$f9_-nD%|DMNuUa$hvCq__
z+r#(iWc%!vj*8M=*(v%+D~oyie537GGg4km(fhXJwuwznLGwJ;?I$xn?1+0@p{WZV
z(ZBTRm)hF!=;_|iE>2nQoB8y^gN=0z`tAPvwI4Bu9RF;Yk+Ws#8sC|RL>t`uwq|p0
z?bNaK;=0RIS0^mDbN2bytsi5==5C2_S)lx(LhVZPttl&If*k*<IGuW3aKOdD!aghJ
zGo!HWOexn3+*_VhU0Ag>=(e?o_p$?bdwzdl4?Fmn!7<k%q@+!1kC#fK++_>7rL*Rp
zQ+>bU9NU+g7fUpII*Q*Oc*?b6-?w`yWsA2ty^h)B(A{ub(NufOg9N1~-&}+W%aasd
zeO5j2RPw`qi3={98W_*qy=Zu$Urgz?2&>}c!<))OuU>Odf5USt?VHigkMCApdc`Dp
zAoWlkTlLOjz8z~?+G?4bH~LM;lsma()68pU!k4SKyLB8klJ8ooHGA^xl8PA%S1)Cj
z?>{>4_g?nj$7_xYy+}ULuP?*te|}byT+u6Q+tt1^HMd1bPk$4V&eVO>Y<c&}z_})t
z>eHF$&5t$;pMEq(&fFk8{ZM^~4&%C6Yel1*x82yTx!J;}|JKEGwM)z%eO>!)9gm9A
z!3}0x=ExfO>*Uxidwj!xaqB6wR5NyFezqqGP0bs3n7{e%_IFmId-WPi88I8Dt8vTH
zJw4}o%HLXhf7u(2h3jvhIT`!t$*O4iqqjN_Hyvlc^_siVYNDjKl4Wnk{rcIPioZ%N
zK7D47jcVNPD{Chvz13QLaqpfUw=Zmtvp3CdIkq${Zmw!v@#||I8_S+=c))lj)wFHl
zv4<H8{O`zL{LPVjN>0dX`iz~WQ+K?L-0s)4b$XAkt!!#e=e?Ya;BV{V9&cE>S5Ncf
zt>t;WBH8hly(gw6^}dkpG;^JNAtQ6WxA&fJtD}{V&Qf1|k@xM3Z5KQ4ZOaJ0rD_s&
z>(wTq^?yOO@;%rP(2%))qqJ9y#<Y&O+Zn-jVSA4()H7cDPG~Lj>uDYvpA|=Z@c3XP
z^l-)FZTw6Zv=wqsIbK~Y^KHgVU429A9eGnlUJLN8c3Q*y*IjaIp3rNZ2L=zr4RccY
zB2#u{Hf)-3xRJ4IjUQW%Ap5h>ehu|2Z{5BfG29WL#aS&Du5X%`>YhBc@7K~G19Nt^
z^Y8W4OC=|~+9=s@N9EH;j}s-)GcFb^-CQ?QWR3T^MJwJOd!y^cv_SQ$cW>0qiz`wy
z{|0H^Fw$HZdty=Ql1UOPc2}(w)V7w|p|d_-i}m%y$x<s7rnWe>dwFdAA#UCq&$l{W
zi#7US<5aa3?cJ061=QtFs%pRbQl=~NVe-s1(|2<?3;y2q<v^Np-!=vX$y?Qe3Y+f;
zymvdz8-3&Sle*ojPlbt}aF{M#^XBO}%Lkhhb{;%m*e17<C*8vDw%<O+Tq7U$Gh5oH
zE8kMzFkSPlaI6CJ(!1%4Y8Q2U^IExT8}B{FbfahAW?Q-!hSqoO^|7qK#cC9A&QbWe
zf49Z@Ne}NtuB)7Iuz2gt;u_6Ie<Z&dJ^d4T!Dd>}IYr^;=esQU3+6n`xiHUo`xLbt
z`4knN;yvk1_q+w(PnLO8+Wf=kM`h`RRwMZ*Gv0Ho=U(ByWCgeK1j#8@k~jM{1-y5<
z;;(q+W2x8Q5RbjxLH)B<%-32XH#t*t!?oOKu9@49p54+uP4U)p!COD9meyJ=u-(#g
zNzUk^U93lSL|55PHos*_**aSaneCe|9J{Xh)>tujS?7Awi*~^tzcV;*<ym-&`71Ey
z8twhHW9B!5Je72aIc5uN&zMX~_Ste??9xB`KC?L@w=TcaJ3h;2dY|cLxkK5vp9@_3
zVU=2|w<ag8^tZ6uwdY)s>MB~&$4+fq)BEy#VcM<3c`m<goTTHIZ#myS_3g4PlhtlL
z=gwUJIODLw{dwD}CAlWQsSsA5Y4o`->7Ka2y&qOjYZV{JrdIUNsEF5ivbK0nVoi9P
z`4>yM(+Vew)Xx>|omtJo|3F;u-4Cm$b%qb*64^fRs=X8E-r0Z3MD%v4tjFvhr?<@f
zCa~_4H%D&G+)MjJwiPTp)o*@rUx-Jwvit6)`;kqn_V~EC#-ttdv3=!uMPC^lszo=V
zY^MC0(fRAC)2m3$S1YDYU+5Q;cFxDPX=S|QipQ^~{F=I9qrsKqzE{?Z1pQZW-z&2I
z`OYU?+<F0l?YYWPvmD-sZ`EYw+x+HDn(1~2iP)R3{an5Z8YyZPS!~)YEqsH8oo)Z`
zWRB8k`KqLaasNMg9LlYI)_HW1_}tr5d}Z|hT$r}@hTZn54^Hh_m(F?gtc=K?YZJG`
ziRE##v+q9@Vzf5Y@cV*8enwVz7x>;h#aO;_p3$V8u6ZsS&5aidx2YF6e9+Fh)Fin@
z*mBD!?z=wP+g`+^ZF%hPxg~kCAOGbqcCq=^X$S2NHx~BK*9kLKD?2i8O~9flCXdb+
zEhwmOS$nB&b<nLBb542wiR+h=GVCum>fav4JMGIaw!^gtr>31%e6hs(!Uk!H4?mq&
z3+JU|c25jUF4J26!CYgt?UQX?`lb%*Gge=@J<oE-<q8X<6zhX`oaWTE`Tm+&^GolQ
z-`lsRw>0fz%&nF56hCI{`6bb1dC|11Ro`kgSkg6;${#qlZ?<quh^rOnnt5&67v803
z8U3Eh$a!qzpLyZj(~DX<o|oBFKRmX()N^iffRx!<Pv*R1Sv!SWu7A~Dnj!unLsU*$
z*VXH-T-fgmf<}G<9^v|?DOC&{CnU9>`8{34=CL$G+<R-RMEI>Ou_bc7!8={tmSs*9
z6EF@tJy(HiUA&s>ZXYkTnBN;FcJgg6Y;xFMHFNdaBRx}#mKV7)rvz=$UYc@fYm)TL
z7fWQ8f3oD9$-HcNL&J=XUhhKIWi|M8m2I7JX6d(UE`4`a#waTqr`&2_=#bpaHs{n<
z-U*%;*FC=IweIETnyOaz?|CcY_vAfrKb~kY^>wAgkGC<=f0<*J%Sx)p>_6ZfA=e!q
z5O-+jLATGnHB~<?OrNxA2Pd7qXAt$UP|G|t;ml9DdoNDvJQmx3;<1PQ$>#_1rcKGr
zj>?zN<*=L@t&+kQkrFh&BKxnA=8fDSU5R6lAMw56UA$CzdC~43FK)wEeD7DptFrE%
z%)WYq&x3b5+kKw#F21U^yl8sMB?EbPhN3IV_hR=4ah37&hbbmB?Ka7EcFvbr>uWi+
zI<@7~KK6peb|3fsY7N@(`{^%r9^SXV)T53UY4M*p@q}6JK+mru?60SL9+aE(YJNd@
zTkL-q|E@Ww4hv~H*DT=g2%P@I<FA(Hjm1S;iCaR1POf(0pEl=IvXB<Lj#h7PrQg$!
zze0mHs8?*5<o<V(@2}~(m*zjYoW9D~A=g)=+w#w(_Tb8{^B2~Co4P7^LxcxA<NEut
zQ3ff^n@<ENNp-MZ2=wxMsx5Ih;zMrm@*j69j(#uBIJ$jG^PT$dW&$O<64a8<USDZ*
z_UVf|g3mVS{IZ&wUZMErn`ooJrn5Y`@q#+l-;NzlVf&%Oe|w?crhHk(y0a;<hOd>M
z)%3Y<T%#P8A<c8?bCJ=^SgWL&FH$s@e+unh`0LIO%X3$c1gNl^UNJoopdf5|g*(kT
zR@vlA_ns!LX**}AEB`yVu;P%ow9l7CTlM0&t-3F)tnodRQrLacY00AfaobPwESX-R
zvLh_aXlCk>PdbX-6=A^(mqsoBw5es!irt4@w7fj_25q-tei<s)8M|!jspR-uh9#f0
zPEI;vt`fo*9rBBFd;YbxmmI2k-L-f(+~4H+f1B^G*EPGm=U%=iCwaOz<8M`w%lYip
zCyE}G|ES54(0cVeBk;iM_Z;23eroB@46B*Wu4|q8?&<sob9S6MeWqgHac0loR(x-M
z2L*l1ns$Bv9XG9+(SIDAvgXg`zsO*1_4;QbsFLnqvSgCSmDiDK)4bHg?Waw6RsMPX
zY=*LuSDz>US{k%rzL%G4->KIx#k^H7chC3q;Eh;%Y3KR#ucvz*tUK7e@%`t>rDaoC
zW|>Z^_%dBcDm=<sbMCi4tLl11-tcM`Px{`z=iSq!;zefz{hnSI3aSk&zO?du{wt7X
zZFSN7man^Vtu${1J`K4y1!VF2Os2Auf1krZ?s{KgaIU<2Nzi@WNnP6$EvIf*-NLpe
z>ZQ($?_aZ*Ce%MT=q2~F-|S`mCeQkI|Ls);pJu!{Ut?Dm+_WHXro{Ev@@)^-rsi#3
zHGRj&{T^4|du`5tooe$w{`J~;u{Zgtw<ekLl^kBF5@{*S(zLp&Q~v+%mTMie<E@y#
zta$y`{cn`!4f{%iiZu?BYtO`0<{!V*a{uv0yA|<Qz4!dwufoE=`{!4V0~4(t)L+-1
z(e(HDKEvC-w>G$cKiZVCF729k%&+>TU!r(?_I}v?_4U$(|Bnxz+FfFiuKlybPr2~r
zd(VuRv*$e&bzimKUmvf``n!QWdL^6Ittsz5cdzT5_U4qQ+Pc3g{~k=*G-+|z$t%+H
z&oEzAn%Ok>Q1jPS?$h3GukfF^{qWp|-5D;uGb+9ZSKir_-BI{&0_TDLUym=p68Apn
z_xxbQZ>}SgVhiu}JxyqcYASc*IFtS3hq1~V>lE2#E28Z-*PRr3qa(NG$>#IhJnfIG
z{f)7^`|9z@R}U&{?B1PhN}ZuGw?y&A;%%qgYkvO}(#<se&yYH`|Kl<7E75CpZnWA+
zY=4$F)l=>+cc{?%x`{2P8Z_U1H>~^d2$bi&pY(X%+bVcUW#6A5&Y7!q&aC*pcTL?)
zkvBzhJ=F&^C!Cboq}=hd_DFZU;^HeJ6MsqUuTK5zrFrA|6CSU)&B9VsEIzGPNm*yc
zTV;3bYh3JpAFeW9{;<0FIhp&-c@DISsq+1a;#|o%`TsXVz3;m~N%Z{b$SHfm)wXDS
zymQ_AL`<q={>sgNoj`>quZ_g^%*$GrEn+-0E`PXg?)4%j(@_2E2J@@yCpXnjWY^w#
ze|GMr{EeRbr}}T-mHjE;p_yI$(S_?xRK-h0{<Lil-Wj_n?YFP<{P~5O%CAIk*10h^
zNcL!jx9^pv58fC3p6W@?T(<E1`HGvnK_%t8iBmeu%Q#Ynrq`}-IWtvk=9Sa;!a?re
zKgH+q2epMhkJigin3$R97W=08>**y4{GO%$-<BE&epk`^oyfWL?=r@F=PPcyzY6y{
zC^yw)#b1X0`^IHj{hlXcG9_DAwAbzdg(9bC^ECPV&g1_k^#pZ0uAKb02o!jeZ6wMo
zx*Rlrm#y_!^>FL_Nf&OVFLalE8~*CL*TH$u4tmA?kevM`J@?Z5$CodjwwiN2?)BHn
zmES(sTyrd45VH5$Q;TOCbk^?=-r~*4v|Cs(_u<0_;UBp7oecjudrIy8i`jJ_*c2uI
z|7Pk}{hc?1^}VZHzC&#6I;IO7^9_!@7ua`&bE9orO~2y*?4VD++#5UezMhWH@2Khe
zF4Qj`6h3t_|6)mv`^l^KT@JXPxuQPOsdjzkUZIUk-~F1}wqkk3`cD;!rXhZ|me&O$
z=D)jO{QAAomvYHp`xTeo|8j=!<I=i6vw1K2e~g>_`fuX0@6*jXBdY3ey!iVfd5z!G
zXd%%DruHGuEi0@4mpN-SJld;w{dXYifqVLQl$xvUC%!j)Qt$4yZ^hr>?f>t#omgIR
zfAZ_UF8hv$)h=JS?{dKZTF$R~pY?Kd=<>4GT@d&x^1gl2-uoN&-43gtzHtBP3;&fl
z|HdW0U;gl5zx1N{kN&nC*KXyv-|G2)rth!wKTApy{(SIS|Lk<?=BiaQoac)aYVCip
z`JlVYx5k*2t4mv58)X{&T|70ud-t54Q~U5}`l}dkvm29mo*dhKK4e|-4hOki`@e<9
zw4IYpWIOopmU#u^8%zB#Mz{3Htn>4AivQd?v|oIF%9qMR^HUc5S<n8b+S^^ya_apV
zKjZbE{EwFWckI}4qp$wg^zUu?@ckk0xp;*?o@}T7vmLm9{7rt{=`;V?6#w7%G*vhp
zUd|bwJdt6O(Z_AB4dT)d+>{zp7BJ;C@pc#<cz>2DPl)SBa}UFxYq|mtHi};Gt~wyE
z%T)F8WOBR;lLwpDgR~n>(*hamjktG2YCMpQW2knT9Li8RKg?je+a1r>|2Mbp<}cpg
zTA(he@jW{6b>fcyN6-8XQ~bXF)Rww$s%5ixsjvN?FZQqQ%zrbmNAlVK{N?$-fA-J&
zdQblIuiUl0HmGCA<hK9*+T}HJk7Zk|Pde<p&$)AYUrLGAf1~34!6*LSH!AJFKc7jt
zKX-S$=dHi>I)7?^yx6syqkdB3r~T5~=3cs4>i2Yi+P`w{pZiaLZl7K9=I7<ba(n)%
zF1>hJr_tp9|45aJj}I#OCps7faDG3uv0c*UzOna?aE*txaZarp+j;(dIO1k4J>lE`
zMpp;@Z3k;z7*0B{-r+wkcZ^NFQ2rVt(>rl4i<$rb`LRkbFmuTX$Z}8PTp~TEX=0>w
z0w=!!rwa4b4+>itl^=#|Zeec~@_Vdm5E~(o|41)~Wmej|zlJ=OR_FKn&b{>d*z>iv
zE+;0-u>CZ9n%K^rw&=}ygU$63C;s_FGjrV7Jh$Q4X*I#aE=MAn1b?J%bMN4o)ZA4e
z(wPvKW_?@dQQ*Rk;tIi%_%7dHm1ZxWe}1`pmG$=R{7Zs=&Jp`OoB2NXk3DbZxj9~b
zdai!kx4kpw*YbXFlH2vhD6JuSlWvbo>4d31ta^_MQ>GhMZs=aiyR;{+EHrUu#txP)
z@8c^!oanyV`mJd19pfd3I?h)-d=Vt)^6jcB+e;(O!<)L7pLR(W5|H}5_Sl8#pD(1|
zzx2vflU1SRfv8Q_)0kCVL7G>l+Jr1s=X(;GfA9tCSxbjEKP@?@+HJNy{vk8X@9C<l
z(4ZBoD_3w?+x2XAIimIVo<(Qyx`%<M^_YJ6JU`a+WAagz&2hE5{jB9ZCp)$>#i^^$
zlu=F6o_WM{{`3hl2C}KGl9x<hy_Qy<$yDbURK%)1+2aKp=gC^9lO>72jvez@)?ogz
zLG)P4yJBu9d6tyPFJ5*FZTfrYnD6z)`FTA#Tov5>9^bd#SaLsYa-H@3zG*x5tei6M
z;^&=)dshhi*SFW|awn;zP120;|MJVJvoRq@K>7Yj<>_}t-#nLJs2VKU;hgnEPtN1o
z<<AM_-Y-qlMDHH@8oZ?3K<vng?;bDSK9!ep=wfIO*t5NEugDuaxt?n4qpPOduDb75
za7J8-?|+@#t{j!;&*g=f`mXrf2Q0J>yXL)7Zi<n9^`8B`G4VY*-S$V6cV9jFEvq(c
ztD)0}L^-!>pEn2edt|CgyYG>+ayItlZQ~99vBD+z+eiKehJy*)UZ{!4uimX`oX~&T
zH1%1q^S7o><r*iaf&)o02QE5W)U6b3;@zPCIbrF-zn-(dEYG>L|IqTqGvj0AnR_N3
z?cB5O=$D*>Gk)6YUR@EfUVFup9}X;i;u1e4J0C9U&ks4ev5>(z>*>v3ZQOjXEiJd6
z36r(nT33{I__I(}c+}Njds`lczYdQ+RMmKVRe{8gjVm<onKK=2`q$hTKSkj6^H_NW
zjwl<&A6s`F4O+AQbHtt3u}m9}T<n&g?7Y!#(N|Tu?M?Cq*<X)x?wwvK5ov$GX>Zcy
zxA9v&|F`-6GXMFd_R8K{x7m+xdO5Kq&R_Jh<&V_7EeR%evrfO-aVEP)@|}Xex9;Kd
z;?luF+p5@wmd<z-EwpuamvsB_OPcX%$}1=3U%x)VV$Jo+HC(4Tj(y+F61<+#g4M9E
zWa10MziD#|jyasDoql}cS96;i-zzqJvJRY?ZmKqQVTO*wu|MxWcclK!njfil;G%KM
zE&d9Ho5hj78TMOVGTLwQ{J+unm;aA1cdx|Wx~-heX7c`sht=}R<Hw9&e$7l?_BHr-
znTo`O4~spLbsi~ASFC5c!_xfEXOV2>&v5<8$&VS@uADgk`n7?~HT?>mZ#tfh0e{*S
z%)KBHS@wJBksS}pi;KUhlr*;7T3;b?GjMuq)!}8ne{QM$HE-HxB=R~|qPNn@R_a4^
zRb8!-YunZPpJv{?Ub@zH<Cf!vD?faEJi%Pb|H$=Ujk}LM$p7>pb^4OpIn3Q+i=sRJ
zY_AZwshY|5vfL&pAU4uZyE<b2+#4DK))p>*zTOtf`m46Ju=(-(*P+o)znJ?&5*g?H
z{x_#LDt?a5<X_7Cv1OUJ@*h3d7UMW*fAzX+#ESAITcp}64{F@@%f52qS32if#(&Ay
ztr?vU;$L0$TKF&P^4tGulmC^^{!;(p<%_ST20j^o9rv5r3q`-N<aqvDeg67OuQZAe
zbuGwKHst3$`0uFlblI%mJNTFrGH!gymvg(exFF*H!;NAqxqYUzIW1h+5q8G^#Wsom
zPYr*C)ZgUN&YipDx8fO7x5YclE8lF2+cCY^kD;COz`x^<Pq-D^+^DvhQTkx>S`)S>
zwX@THHTwSY3_ki&{qxHgfBSAYoLSD=wYbV|wfI(#{}(M@Yu1G2`R%)srBOCBedSTV
z#)|r+0~N(jLgaSloc>m*UhLwwov&w!$J{x`4ts3R5qn)C*ByOXs3UcH^qV7WCx88x
zv?;mi_Hyeg-{%}hjVondx}9vCd$c+9O{>bL#`oVjs}x0KdXIX>6mM&J5NCWmV_`;3
z^~*WCSd9~&dr8iy-KVr|y}s0E{^S>*Rc39zpOX-O??cS<>v!j9USs|COmxN5YnP7{
zEzV{REV}l#)n=P2+hg&#9l;3;J-4xZsyy^bNzSv(DAQ?o#HmAX*q`T^K7L<gJ=I%l
zi%Z?L!=8468)Xvb&5A$O>}kJp3qzcm`W)LQXTvNi847o{6wH6=qIhVso%Q}jhKDpC
zOf5Qe^YPK6haP7$r||rqb4DWV-Q|zEjLA24u5w}xyKtsCR(JVy+w?nr>n|Q!zw*H3
z`28EUe6YB#uzv0R3pNi*iw@mf-ns2i?_D*UEvZ+YwtUvVDKP6y-sEF1(#mFkXb_zy
ze?#ERIZyUqUZ1<ARi^yjxl+2sbY`!&6`PyCf=m7y|DB>A)I7V5`%fPg<8SNz@TA))
zcJ^kA1(Ii`DRs@(E}401mgI)$iVZ<~>Q?*y$~Akr{qxI=TUA$Fid?!~o1)^?A|;Og
zeD~n<#_k18#cUVLb*u~a7MWIyG%U5|fB(esv>O}azax7Wb3M*%NH+PiyF%rrf8?x(
z@18vjTlx3tl|^E$Va|VLWiygHzh1Caxl=D9Y5RWV1(Aq{t9{pNIjySucII03>(}04
z2Yy#LlqH_`v*oJ)`=RG(!i_K+Nyqooh0gOYY|)neD3{Sw!SzFd|AgBivq!eF5qk<1
z);L(+T>D`{%clu1zos}%$=h7L!|;^m1LaSrJQu&;67E;_&Q@z-jl-Hb@3vI00m(eJ
zQTUy=tM}8YeQ8ar7Hr{v$@xz$ReojbkKED;8}!q7{>HBgm@fL{b3**HgI;wH;YIrS
z!1S%#e}C~wQ<{7xJ5ay!)mM}Gs}D^)eP>nY*NRN}hNY|LpZ{<nb#KX>`<x=aeT))8
ziswIBOw(BI^tLGC{Ktqp<ulh5ojkcH?!w$ZAI{WzPj_nC`!Dg*f_c+30tzoLzcV?R
z;dlmf{Mz-79~1OHB;1J;U7hyl*~I;ePv1LmjOVp0Cv$tncE;YISt7M->zJo+*mo{D
z^j2HT`5&JTEk3;Vp;?%;>inIxo=$JI>JGH<EM`3P;pwld(>BCS4EFB-wkg;;<Hom8
z&aHpNwl=F)*DTK4D)M@^jaYTv;;oA12J$tVPQ)aisPT15n-VX}c;(uZ6}7ALW{SMN
z9pt9EJ7tmK!?chkW>>tg?9*FVHZ|3S@2eEg{^0FVU0-80w?0|ps9$WhC-Uuth2N@!
zvd;3fd-3d#2T3x^bw^*_YLZy@_jsB~++*HJ$3NRY*{EG1Upzf_vXAudzja5LuRf@i
z*dYHoq2#c?<nHKfCi(T;Y1!Vn+KWu4{?25r{#ZM!UNhA}{;^t|{o{>G{9_Ft|DAJu
zbw!0unSY+b-Qb`+QNLw{`zHv<nd^Q{T2ylB>*+R*<rVuU3FW=n@cxC}iT9eWN?{LE
z#J;z+d{A6?^yQq_*Lel~KGq$69NMw(!#Uo1_m`VFm@cL;eUIk67<hSS)eM!nd3$dP
zToie)tC=m`yi2;}rrd3V+w~%rDPPNl4z>HN7M-DC%k<_g*q-MfwS1S~NX?6`p4_ke
z-_fb;$`+^A%u9>+|GOb@Q{=sWP_NAVz9;3E1<q>Cs?q)_%XzVI;o6s-@%4Ef8C~DS
zEiY!&-o780b)V_tlbpNzy*m21TrVf@`*#gw|9hLb)`DIc(f6hLTY0blai6%j?7!l|
zUH&U}?LP8pK5wA!$A79TXYI&+xczlUR?(Yx`&C2Mi>!)^OZqv#ZN;*R|4%>e2)wgM
zsyF?|--7kaFAL;d&7E`bw;q2Ur%~m@MGw#T9$xkTW5T7^{bC2cpOUz9Yx_sO;(GSK
zCpI{kb6&bP{erE6$&T4>q4Fkf=BzfEQtS~Y>mRPItt*dwsN!KgvwfXHOh$oJ=-fli
zSGDFa%{#>$))DbyqiWsujFopM_&II8tg^)Yh}rUuS6}u{^kY2#fKhu(Y1ITz$;p#F
z-uiIfP26}gGov&txg_|&=E?(J#f9G(x9%+xS~FL9p^Z|Q-{RH9(u?OX%{$IK?b(y(
z(_j9b#(4k7Cja}h82+wXw$y7+%8vaGi=4tDcQ=%)oUgN3{g3M*qy8gC?Y-wZ&$E0y
zG;`(L9HU$DI*PmR-{Mp7wz7!7Bym&Z!zH8Uc@Abjr_4QmRyI0uqTjLKr<W}G%syXu
z*_rEGrIhC~#VOvr66kW>JYw6*$rt~5aXwsq#4O!z+f|8&A|JHmHaKaV&Nba~+1Ks&
zYSohOv(N2PGnu(Q-_*^Vbx+u;?#cNfZpnGoH&a&Z_jCG|RcM{Q@5oh<wN;uopLDy%
z?YNPlKKsm>{R*nlCno(g3QtZ6J|KO1OHpHf`u&;>AKxA;f094xf~?r=**}BAK>;)S
zY02Z}TMOQ`ZrgS8%O5e$yN4g$^L>7KyBWyBUiLKtrzM3;3=%&x=cftpm%cw^f2`Bb
z37N}z6uq8bDK~MmWZg5FeT_k(p;(I5u7*#Se$95>Y3RAXn|*uE`{%uSQ_lIUdjIU=
z1MiPpGtvd#PZaLo|KRD{2(}}){ci6$=JPjB^W(JTTpe1yTi<0*sOYM&?~h3QD>#4U
z`||1Ej&Qb2KJk{3^P!;h>dPLl53+GC@8I{0yxVcDv1tGD+xK3nR8>bf{h3fPC;8d6
zeiIKH*P1ErYqmHY-Y1@tT`qLMR!z}Xt1S1-mtP;*JePO)pD?Z16Yx;4_dU}?m6qR=
zT0U&>ZC<W;eY&*D93?x=^x|*2lF=_!daAv=cJ0`CdBbaM-bsFf^QXOf{bQ+py}SAE
zg{6%ZLZ@w&73&;i4)4l*^EIdWNbr&8OO8mde!t-8Z`-BpC&E6eEU1oi`m>>8)~jWV
zH^QY=<|*w{P@i`u=8nRKmpZ~XCd_!}%K6dR>`^Aqx2<fQ%RAzy3;BO*sI+#t-QIsI
z=Sa0j$oEMtKX$zIHFUb_oV;PWwDLU1npXEUCY=ZK&3@ngW*xRyPUzBJP<>-_t1^S(
zTQ8gD@{apcjIHAuzLqtdIF>7av%(|d`>d89iXStcFLb=IT}ox1Qr&EKowZ*3^?dHT
z|2**HykYx4tMayws*TsoWxcrn^c~m}`?$GzVT-*0FCY7#g8C|@H?kZ@o^3C&?whme
zRc8C{<%`*uupQ3(;UEA0LBJmMsW&<P@2`u^TQq}Vzlzy%e~*$cUp`bip5s%_W_nU`
zJN@W}*0rotQf=mn9#FsYEa(N>GN)zIssXpRt>+8MKQ6awTdyj&t1W-mvmoiKGm+Qa
zh;EZ8tpaZ&eWmj!UupZh3foYf9Z_a0th;aQYFYW9uTNC>!TyfqwT~^1uSjP4xAACU
zm-CFjHSBw8EPq+f4t+S|b%*wc`C?}c?_YfQBG2;D#rr~;k++LtPG_9n@wjDLu#E0=
z3$aIGQ_qQQ`S?Z5_VMYQ7@mI`dUDd<y{eOxXA8a1k6C^^?rlb)PiXYiIX}Y=hu+C5
zyt>`#n%><vlb_9&P1_aO{UELQ;@Jsnxuh<$^p*MqFW2Z_n4cW7rE1w#);g;*-}yrd
z|Np)5yPK!I(<V-KV)EWqtNL>;2)ADR@@tV5@4q;MttYs8KLoE3jEb_)YMFLrXW!1X
z#mf)uUcAhrp|0kG<2mlSJ&#4V`EVaIyJXb9YRA<@tMXahr(D|G^{o2peS`Tc*4dhD
zNQ`>Z)>*UCO6hRJ3B6)jcAa16PjJl$+O@JZI$ZS5vl%-Qwc@8O{&elN`WlAs4Iv$C
zrQM&NWII*m<#+9NwOnyUW#m4s6>p;N{hDzzTx!LqOv|YY_iYsoG275<f7xN~`BN`z
z-d}ykWtF{g*~ya$Y3EG?o_;RUN`DvWnW}qjOX1S^+y&>vpOl2j6}|e-qi+^)@A{J`
zuKn?~7CxGH4?8WLc1%mAYM<WmHJ=tlRIBd2Vl!3$^h%rWt>W4y>)Q;gmsT!{&)xDO
z>KLE2|A*dZC;u&*^XBvX|1Pa!vE0TBlPlI%F4})J<KosEw~qPO?5^AtKYjAtDytaB
zvcGwct<MJkj<wvH|K?)+!R{+bDYN<h+FIsKJ$&xg-xJ?wul&qjWTnl&iZ@%<K4;k*
z`I9H#MSS;Ry~+FJ+v7B@|DAI_Jl0z&B7Ze0f1&x$Oyku5Yc6ieewg;yzwVyT!~GM4
z_dRL4D%x`WePG>9pS}AhUH&~Y{rlPn!BW@u-Jg&gR@oH4+x=(h=a7GHeyL9ntQAXh
zs{eHRS!Z3aUrDi>_-ww9VV_g}`}uui-E1CKu!uMLTiIuq|NAa3%HFuOSN*5+=am0}
ze%l_mF>9H8{Pp+5{8`Jt$y#oemb<^aNj!7G#Q!?S*M1VpdRzBI|Lm2Y;g)md7peb@
zHctH?>-VkwmG{4kJ`ev-5ss@&SbOx|p^FdV&rbQ9Z+SDHH-Ck~?#4U+F8e(EKUMhO
zU-ob7FI;&2UE{r3@caKyzU=#TO1u5wluN$4cV1opJx^xoDgTvO<*M(^9q+LhebQRL
z?3&Rc4%-u+Ytvr*x;pQU*3xaCeD+V<mjBqoFSF@h@Y640eID5x*IkI8bB$%|^3yBV
z+C*JTik&_2ZI9Xu+hD)<#p}<mG%&g9|8kAm37g$t|1$=lY>l7oT&Qu_je+6AF$M;H
zl&$f3c{zE-Q)^2P#DrfozyAL2vbX(gFD^`*#FbZR!pV0uKCbI9cT2mOZ>FNJ_iQHD
z$M4|aXWFxOli$3%yZd)d{nPEn#n0wgAAdGy=H%sV`<EVkyO!VfTdVlJn%8@`$Nztv
z{dJW{n6A!>Pp_}P-V^uzedxaU?bn{Ku379iSIb`XnssPh)bF{s^8auBrafPManPaF
z(Yxd3UcbMxy}5C2g?x|8p~{Cx?}x4JyeW1#zcxN0+P;O=^r9UnclM%KA+f72?Dzd|
z+!woe-ez9K8*BYNKlAQZZN0Cjz2e)a*I(<R4?LL{CuX(!_0w97kN<qCe0@$iJ(E&T
zS1f)TylFyrc2bsDk*6=uNhiIvoeLvRT+K>~5G(pROJZJY%wn~w3VFX8g}E_dua|DW
zU&k-Jcezl1`r|26Lysz~_j+_vBJJkuRMr>MqZbJLE#?+UbjWK=;yI%lFkSkl?LTg=
z3%dJC8tWX}?=ZE#m=e8!BX&=}ipJ`O7xI&vqdPWQ?r@cu-W=V-xiMzrsf71`JKOjF
zJ}kcfXRrLUzaNgiulwJ+%D`BIb%UI@&7>uN9;M&^cddWl-{KoK<@>&$t1it>^!SjW
zv~a_lqxt_HS!ZO{D|~#>d_Q&l^`|E-Ef%;u<yll$u57KnSim>u-8vnf+|ti)mUb1~
zJr%Xe!~5#_xK$FzH&55P7Nov*%~G!m3f0`+TSB;JhfkS*qU%%Cgo3(7-6!TdA9?M(
zR^a2L4|yFGq7|wxHXr{>D9wAlo^4SJcZqR`toV<~lOpy_UD)wdy3J?W`qd5L&E`{%
zf8HOcaWr^ep~lh8e@fN<`pmj^GyKRe>$Z-s<(z`y7d)>WkI$0lpJW#%@$I8f_wOGE
z-*4z=_mWQ+*xE1N!MeD|Nk?RNdg2P!=-K?Lcb>f3e6U(Y&?X{(T4legoL_vRSf#v=
zLa$2G?o`{;OICej-o&u1xMhz~aIvCTdX}EZ*=i$G?$A(~Q&(i_&b{(E>l!g><xUTi
z_h+x@goJLi4=%P?6P}u-ckGhS*`tdp<Cmo^T-DV)bJpR7`<=wvLy9=(oePLj>biYP
zva5BK)UDg{KH)Ow`c_Hm>K(Pvb}n40kvDl|#EMl5o9AA96r}3E@sbI5X56bAng{NL
zWVdGjUK5h7>G|s3tgN*~tFrD)&00G_`AO@{S=@%!!JGT$=FXh;zJIRl%vpywZdM2`
z7JQbHb#3Ej+u+ZCH*Pi#F19Fpwmf^CHru9(b?Tl;b4@jsJTJ@m1x`zvE*$sth_YtN
z!rV_mTSb#{XPKEEpJ<Z%J-1NHH#(z3H}h8420h=|vmRv3+V=Z?y^U}5kB_r2ZxKzd
zon<!H=y;IJk`qPmJCCqe&aK+|?RNh4x}|H@yx?9xy)~z&taAR@Q$ntL@1_g+A1w?m
zT`lvJ`+|}C&kL8#=luB8y5rrE>HN9XR_;HQ=9~$PTNBw~eC~25fBJNRueEndPEWPj
za7WF4?=yCtjd!%{_dYXOw<>PVVw?9obyIJAO4{k9u=k-R)1um~|1%yfoc{W1?ZdU@
zPag#G|6>>O_!;}H_NHKJOp4IylIS_|AKFf>iBE_-pgnQHh7&=P+n@ZMzwpDI2PcoT
z$JK3*+iU)M+TPz`H?7z2{g<_O)AsEDfn~2#pIz=$-}Jd;k(K<}Q+oRQPJ9s#TWeMS
ztXb&109#D5<IF$5w_pE%@cvEn6;nPueLnmBHSGiGKlcRxQ+8bu|6v2y-l%V%cCSxr
z*b-K^_e_$L=fpR%EmBVRn5*VL_}l6ACs?a~ZucKSb|w|Mq^>a4tl!1V@~gk5$=Y4p
z`!DTo{?&EiyTfeRG@huwx7)cU>~7Vk$h|yqpNv*!^=~`#Gr?-P!bz>)9*+)3THbn`
zZ!4%7E->wNhK;PI#HK9?=XFfQ+EnZ1*BU;_IB0dT$Te~2eb>{iGP>W(w!{=htV`Px
zbK+uA{li$x=F|6*-@YiH-g2u?%5aU-md<3+h0bExtvb0b-*v79l^Ooizx8XvV%Gbe
zn&D0Nk4@p;+h?w<ta@|pTb?+FO@&(`uD{^$F3b9zv2%*w+r)shohi%zSqJGX^^bPx
zR{j0Oeqxel>@Afjotu^adqlV;qt;YziO7t26F)IY(Yf2STWqzMShaqTPD;kcX%~~O
z9!~n-0alf{C8Br3jr@71bi|CdL<FYopQ_(FS1CEnvdHcAt6H0nIbmUubItEhlFz?d
zx%ZlP#m~u|&p&q;a=%Vp-*rCb@!m6qGMjz~d~1IrV>e~*l(~nOABaz1-|@UO{Ug`r
z4L>%BOWr#5P^`qvZeE3&wEA71wY~mo^G<6YOM5YQuiC#wY!lCg^3`9wzgg@d|El?W
zIp$aYpEmX2^9TRaQa^0`u|87!VQ`WA`{bKC0m_G&Sm&QeYv9o|b11xFo4+si+q2W}
zuT-xLn|rI(^LzSI*}lIT-goBjG}~=o7&Di-_>;#6!y|m+k933<B?Rh~DNcKmB%T<l
zS7sRYBx!m=qF$M%*z=_D!<S;-yo!)JKkaMcJlU6%7p2UX>G<Y3Nws#9X4Xgc7}J+&
zzkeQaFZS%1bvZ82wDra;_uhH)&pitaZqcp0^5Wo2A3xJa>gP8pJ=2*!A^)Ce{?sSz
z@n4EGG>$y|A*Nzq>c7QV-b3VJmLBV`iNA|AHI99a;rimR!+3g=(3^9q%zL$WPB_iB
z^u}!O#(SMHr<N{|TKD8vOV*-<_;vm(`m#U%O1+fR?KkVugkH~!o|98Nw;o;;|E*y)
z^NVfLlFMESElSIfGi}{5)xEcGiK6AzD^sPXy~xm?KH=E4{#%x<x}iONw|+LdC`vsG
zT97L<+uKo4>Uq$D#kDnhtVc6uc{lcb>)a_a<-xoduOpjW53Y#e^17%H&Rw#l|K!pI
zQc_PrN@Mg`uU=G{-t=lwLet-kE>mNKtaQ_EomaCH(pOc=T_n6Z#^uK0x8K#}_-^L!
zkKUg5c02b+4ppt?flh^YwmiKfo9a>Qe_P(yX5!p%wa4E={OT0_yh4<v<GJEDtyY=W
zllaQrq$tT-{E=79%MV<u9BW=G#dPb-&Qq5@HeK&n?~h9l7jCMnc_|drWA3G?ciedO
z!z`Q4R_l(NYsnb-K9*8FQ#_M-8OQtc5(Xz*rSHz|VGQ24X!ERVMfp?aF0Z|(q`qHZ
z?VRUA{>MLWU71__O2g^%9IHd`a_0xT8%905B3M?`KF7+-;NFR)%6nWR5>mdLY(Bf0
z;qfGMrkgYN$j$yPK3gMvs=vYG)8YvweV-ownVpl?vqFSZbcXo-Urs$;`m*01s~B%9
z5!_|5ap_^XH*+m#$#aM-j<(s%BKtVBeeNameI=S!N2l{GcKiF-JKXvCk4sm#l+6Ee
z>FPz(eI*u0e;=K`uCef=Y>>0}`QF!liQ5VfabNy@Cdu;pwqh-HIp4*bUM!a6b}veH
zlD1ENsBwSe4j*RwWFy-LCkvea&iUhXXL3tVPL1ZBEB&criz;n4bLc*vc{D@zypH4K
ziZsnxM~zo6bhX)R6?N2bX}{F3ewC)ElTUiWH-;Tr@V$Q8{S^J}Pmb9&M~T|{mL4@e
zv8t>=@@jC)%6)NVOM*k|w#iS`KXydt(?cgK^X?i>wbY6H&!7L%`LuM#99O$J6N@*U
z2tPbU=hH)(In!PDSyjyp{8@0V_e|1!sTUJn?dGKaz5Mj+y{!Vxmv0LPh1SJXRZj_S
zQH*@z{M-M8Qhd)s5Uc;JQhZY)nB_nH`tGYvCBD=7S3dl4QYpSAFY?LZZ~Ui};(OkK
zSl`bp#W%@;So}VduWN5xWooFA%cQb0HMI4r>4{@n0%6+~woWUWb|ZNfi_qr6ExgJ_
z1>J_)?Auw4iwnFBmD#sTE&IK4bNtSt;Je3rCUIviD!(P6r+&KpJ;(J=JboGL?#1!+
zn||wjEPBo5{u`UEpZ~r2D%x?5xzOfXrAA+Ph%o!&#|>L~eP++~O0{)f6mreL!b^8u
zr{KkjA#+U5nsB~NODx=LoD>oB^NfVp_Jpbm+4%=2mDW|1$SiTGsCxQ9EZK)IkJIh>
zgK0@2e0iolJ-7BOQEPL58xbXZc+r(CQ$rK4wQ<6K7n!Y}x8-NYimy|Sn#qeEPMY1{
zm$>n)yIbx&9-FT{b-(|f2sZrn^ue?R`Fwerzn(k@bAG=f^rq$O*;y;E9|~Q-@H=>K
z)Adt}r)|Hq^5l#sy(L*jk1CAk&XUOwzr6Bf#lxQ^Sw=DyigRc6e4BgPN%x4U_R(8U
zLu3z6zx;G^ZGpkuSv_xMPdMownOSmIF|<_Pb8>k1rvR&zr953lSr(_vmi0~ltrNT3
z&#Nlbs{8o8y&1eVZ{E4Bw0X47<+ZNYwcS_GpL-;=?dXObvvgN7tEGHARH`0j>3_~U
zP|N>Z@I|$$YO}8>WpSkzPL4XZVTb41<cLXgXH8wYZLZ8c1^0-U>sKViuA8d19WC|U
ztQ>#3``pnN*TcNGCB$Aib?TAWn$E;R?rX<4>|o8_yoqb+y0SoLjqcZ3@`oSox|NnH
z+Ii?DNI>*lSK`J)l7Z46g=#Fa7JpLR5%^{DD)qDF?m;`1bpGBFyqHxHYAtu{_T`m{
zrrwLMYIN^U^9-A|Z9!q!v~3UawiKF9nkGH-){gzBVy362bxw5CjgPs!^5oIdOe@o!
zJM_GltBGGf`tr(?N4t#Y&WefIzC3tx_v^lrtfGxM6L-0)tZbbz@71@d!KINg)7Po)
z+#%;3>vnpj)_%qA8pT;ludlkIWa7Ktbjhk|W|2>BdY)90m*e=h?CPq!$85JLl|Gmf
ze7Nzl_MST)sUK(Tlnp)HT%S7S)a0_nDW|w!ebSgJe&ueUmi~&}i$dZmwz*2j>fU^@
zc%`sO>rq{MvBQs^$)7xRsk6YK?I;)j@eM}~pF4Hw<>ebE&n2~<O^R5v!82@{-!AEu
z+L2t{qW5|dHy*mZ@^}7;M^9I!-dYf(wO+3``0t6Ltc>?pFRs&wkG*ze!;Vi|4Rz#<
zS0_c-6kI#Lq2kM%&cu%bvqTR+Dm1ZfJKB~Z@%dy<FI!}!Rm3y*-Fw|O-9De5n#rDb
z{*;#3HOu#ZJH6IxtzYv^yM9fqDEAqD6*=FfCtM$X;#njYfBxr8mv>XR>z*D_&O9>f
zg-FVU9qm@>mv&_DO1ZSd|6S^<$xjygSiWmzn`u&MIoUIL_xzJrj<eanwx3s_)4ELT
z5&s(jo2eBxUi|E7?v>h;Pl{}w^J%l;4IcGPp@+YiO-i5bnJ&Eblv}#-))Q{x?nblg
z%&xD^DKWd*n^R(TduvXK+1-sfC1&>pCD~U>-rZzfT3ER#U0`)mlg>}cD@;CToOF*Y
z(Yd1JQ?^4;GDLErw~C$E(%0v<SY}J!`?{D{-^JWqY0bhvPd|MXw6Yet*wwmDG{00y
zRAiz17AD@W>pQ{%maOdwQz%)R5WJ-+=}TAGf|U%X7k9n0m%k-s8n`iJpHbE#p^~!7
zmUMy8(+cKFv0du|Uw&w-@Cex@wab0!;olD%17E&+Avu*Vzn$HEX?8-F@I=1%ZO50*
zHmnKW;^3Dpd1RHuL_4jiQ4JF#SF}n@ZH;QV_;Q(E{qz>Mmv^Tv5l`^jQrH?X!!F{T
zy-$`<=%U3Xc~$qPU;g@9++AD4X>$oP*G385MMs28eBLvwuDZt;_%g_(&#Qg$!r+iy
zQnTEa9=>?NPAgXS?BYugGhRqcUDs&%a<OCQ!yP``-4kc_v~j<zU3p}c#DvzUhDMP6
zBB9Y8-hoMi>s&gI?hsR*_MqmMxkljrhjAghM8p?gTKHCa$!&Lus|A)?vbX-_g_M`Z
z^m?^#TQ7e#mbv+IsL0Arf7i~|8}BwZ7tPm5I(+B25dZR^RgYH8bksUvqTYOjeT`3$
zU|PzgE<@wBq9=Fmw0-;9X;IzXi7QGN%~H1P6p+3=?c$Rd*@<a0pSawe8hH6{WYrDN
z@Tiv7M^lytxx8G#vvgN$j$ibtQ~VX$XG(gH-kA2$bXRVV=k1fH{i}}~%?fUkm25Sw
z&dInng{L#BE~xEV!Hsz*E<|<Bm5ef7np4qs?RUPHdU^(L`}d1HYp-swc3%B#X78B`
zS}wZwhR)d*ynX2zYa1goKkuutocZbpXY~BG+IN3D+h6bb@=ZQ_{|q0w!|ZZiJ4&<m
zEB$-&Z$Z!@VI8|CuYTL#u#c(y&u-m%`u+a||ALo)<=_0%-S@w_c3-Pwkn!~U(S19A
zyem4hw0v5!-k!ZO#W&YJol$$_?9&;45A{BsQG4*~(;0vFZ)L1EORi<q`?s;~ja}v9
zviYm}H@EE3nyXW8>J&H8Z`x@oMSXAe)49D9rZ1WNbeG$S?n@_&;+3DUX1>*4U+6Ej
zb<d&L%qyRMl`RQ8z54bvH{JEQ-cq4??Jc`Bd$qPZJKde&HdR`F;X3cdr+B*quCwe3
z+56FLt@)|As;BD}S5DvKR^$C=#;&Sq#`3-@lk8IkdZ(rzc)Gt*rl&lp?sJ61^k1`&
zAKq}~zKvSjvVYSmCVpOE6}J6o)rZMjb2rKD`#*VV?cam?VPChhFItmP?Byw!{5z=b
zlY#W)Pm|qm-4oesb0h1+67Kq`t<N{;x=FQ4U-P)Om0ct=dKZs*ezH~T>>Z|a51qX;
z-|yixIgjN9$={a-@7VkHa`tnz?M7dlxt?$@iH=c`tLhg2W`2L|^1oNqdJo87(Ca<$
zcK^#ILOXtLzszyxS9B&z-k-S}K2KtKS7ZKkdr94^{A(79U(L1O%>Fg|>sSB3XP3R0
z{%ba`p~6@5*$Ycw<(oN0Zx1?D|N86x>+3!{&9#60`AzS>ug|Pg^Y{H(erxNisekvr
zSsL~AUhT@h8R=8MUOXavvodwl-}M{jdE3aIzph$7S9I}wUc>7>7w7LeF*otp3;#-M
zb9*=UpGOaRz5aQ`{qfI-2lhUF8gPg=cV72-+Z$)9t>&G#{guOKR{p`*^2VL}p+zBj
z)8n3P?(9GE`RQ*n54%!6!GA}3D_wqQU%wqH<bSlgAU)(<;_FWjZfI57O%Cpe-fXpg
z!X2GwqDhz6pHyB_UdjE5<K^0WeKt;2`?u?@7pT7*t3O|${_b2Gr>gV{yXyy@EL|}7
z$@1eLD>E~ub)WZk4gS6Jhuf6<-1R#1dFnqM|9tX;c)ikmp7Q6Pf2LGe*-rOwdwxZ|
zSYrDhvz^K7_UY^oe>Ww(<Ln)+^#Zqdl`heL)!AJ2pY@-gc5&OXk4JOu5<@+0X8JDq
zv-01A6J2xl6uj6x<!bl%C~kWewupVzxo_%1=bufx_;h#r5hKYWB@?CZ>7t1a@5Eka
z<}CR8F-CXS|N7Y>r*AI!u*1x#yt!+m@}}2IxK~WOIPvY)7>ze;cnf9c)%cy)`gN>#
zW=cnC@|><Gr>nv)o<Db|c9PP`iZ|lPCMxnbH@B@Tl$x~hw*Ju!k2KefZSM-_Pwe@;
zuK#z>liSr{7ghaSFHOH8wp{6@_l7Pz%TSS|>N^_TKW{Cse)P*kvQ<vvdd~#?voA!i
z_oSSt3ZK)JVkfd%VotED;;x&9@sosJz7xE@x`^vh+LR+(lu}+h38iz3YP$U2!O!_~
zQBuR-jYo_vUAL^gI{)lHOHWO~pIPg+i7YIi<Lb0;)eHIL(AcAu@^@r6YiY#$i94@-
zqsGgc^+?<D#vc1ROZM5@kNH1M_`L4NOPQQ|0{bUCzFna&BYJuIspq)`9(s1~8x9xM
zTIJU*y?IvujnPMi8&!vmS5@fm?3{MKJJs@MYs4(WTH_zLj;=0#rEy59@{-8;aM{_t
zF;-oMx{pI99}JhxHTE-oX{2f~`*ZXm?mec4_k-+W-ly?AH?iwKX1()=jZF2a&+9Gh
z`j5-){9&Vz|NN5v#!E3Za+A`FK300nJ8|^6Vx`UFBa;t`3&)=L;AiCG^!<BWoTAw?
z6Xp2=(Ir)Jie|5S_BiF-URl|C<ACvJu8mWdKM_p|K7UgC$Tz#};781vP7h`D4|LCz
zoto0JeS5^qw6YMX_-~z+?~Y&o*14iXPjK@ce|5WQ;Xdi-4|Qee9C_1~!E=4uQPGPL
zEtaAeCDxgAYc1L+QLMelFs5AW$#spS%b&k`FfMy?S;cW5lh4`fW}=t9(nDO56_<s&
zB;QarzI!IrBsN59N65eb&3BAKH(vjf@@S`xQ`BQd<G&G8Tmznl?R+Wc8?sKo@mHl<
zcgLz#Q{GE=Z#Z)H`l^CGYfomcPtrNPT3aUb^`}=_2S4rEb!cv0Zd~lrh>79h5(}c{
zhKHNJId{7|F(S(|W%>CjtNZvWOItVIepgv~^}xJ6yAB!LIB2uWC2ijy_F0iBTvx@T
zmH+QeEn9cz-qGTxxAf+nzI}UFh2HyY>Bl?wEX?hA{>jfwf8Ocn8-FVFb}#Rqqb}T^
z{`}ezXaA!gek6ZC)%;|Q&%bN68-4y=`Mc5Q-zDaaKL0K_Z}j<hu6d)+y+z^2jBc{6
zR>@bDo*aJCQm}sOoaM?~*OSb8*#F0}oja!Up5NtEifvw2sKXio-!)&FlfyPD)vRDT
z)pc=)Qt7k@DQC`2)?2puwUeveHj(98iwtA7>xH@`o6cF+ajf90RlH#D)xu>;Qio07
zB-QmQm8slM&^++4W|q_YM_rMO8=Yd-bwmj2g}SVf*sY}$*7W!1n`KE^6Ou)L-U^ag
z(Q&L`%bXP*$FeLU1bZK5SVn;iTcIR%_+pXGemB9}GxN8IB)Qx9CdS>I*3}`J<h$=5
zukrD)aF;a_t3jrn{rTpb!u=e7SG&a`(b|g)WpsaQE!rrxEz~91BC0IhCAl<bJ;;tX
zXV(WFF?%Mh>w05?fA9>Y?RN3ELwvOFPKjUqr@w*w$^Sbi71g%RGuSNe9+a|bk@D}x
zn|;bFoo;Pd{z*&wz_~xC4y~^-TEEFD=FEDXH_Q2-hlaJdg?$SSUo}DN^|bpLM<XPQ
z+q^}Ca&Ldi)^=?Flgpj{`mIF#pT-2mzUNal4{h9Ibo7PHPSZ0}G#!`kF<QDqrg)my
z#Ebd61&gOuDTMQee|p6g-ZQ(hH1zn@J-ZHVeN+_}YkJ}0spZ->-d|5A>rb?NUT61l
z<r*XYfAjyWiq|+Fc0_Ku!pECj-QDl^9Mbd*`TJjU;n}Dk|0Dew)2v%N&xh`53E5=#
z%wyqAq32KSUz|EM#W~Gcd)n#c>)1ZV*p*-HfBxxUwtLOqNp)i9pDw=stzw-`Qpsbx
z>9g;I|8w#WQ`^47UuUv(jpM)78`k*by}ucuX1;yz)W1)nRMe059}%5$W#Wx*9~?L4
z>IBOv9^JCsWmipM&rh!{r}K{$->kd-cxTRm?2j=gje4GM;=F#m_-0%7<DEH2HXADM
zaoM$}x@V!+lGD478SOlnp0xJFTU*i7Po8b-dHG4`<tOz=Tt(BjcjWSySALFAEC2a$
z#<wY6)62UI<5!mb?5)tdz24hqI&X#PywhTL1kR@luj_ukiFfz0;+q}MlXmS1*|jIS
zXQ9{9)4Put?L4?V8DzNR=_k+fj5e27t}OZaxR7<{Y3t)zQ{Qa3aja;%eAmuTn!fLn
z-ZsCPS2jui_O)31-SK}MT>hxUeBE4q>t)ie+a{b^3pNT|*Ir~;;xaqbC0Q^p%q96n
zui4s;V@Y?`bsW2(oI59eamU>6pTBr8`aOR=@k89xf_>X;J8s3S>Nw^kv$o^d2KRL#
zF3Ey@;h<7yy7r=t66)HE4Bt%b{%P8EV_%JJP{-VVpF6cIT~j)j+FU(pxsze{r>$N`
zxHj%qN^-psEVwc0&DYJ=C!Qu<+EC_bH`B?4f0OkSO{1*K?<z}07pBI=K0NSZW_Y+^
z)bp#Ne4TQYrBfH=%1K_lY;a<q@zo1=zvP|S7wTMo`I=!|A@5z^_eZBcJ)2o?GrfGd
z?#GyW^KKo<Q87)~|3>ok6SJ=!sYR2kjvMWC-J3M?&VsXsx8rzk>VH3KRCzc@boDgz
zGtnDWD-Z8Uwwq{Lr}X)I{pHPzgCeZ#_f55xikbIQ`|zW5!&5g8*!Kj^^D(YfbgMii
zpZhDdr|8`R`J)>CY76SD-6~JTm;G|>DSG$de`nx4AK$-zJ)frR|NrH(#8VrGI-$ij
zGq?UaEAdqGfBS>;o7s<~H1Kw+G~Ru_@*C$wmrW-7R?W{p^5VInm5E=y(cMyqIsa02
zt$ratH`rZK?b#K<b=wXXz0x?eWRBIL(6Wj<<)2EV1((~;^uMj|S8p^w>`Q;=<@3g6
zA#>_{muMddF;0_csk!=pb&M9*MfG%1*B8>?IThnqOUw>-H~jVdieR3D@>BgIsS_Wn
z{j>NTa^Z_^+}wTho6o*J{k>>IW$O<|KC|;5^PePq*7=+FjX&J%{N|H}ZGm>@Cm-DT
z!)71b(?_3wNMtAkYX0e879YZ?GS8#NKxN9++Rbs1bEm)B7xY_HR@?aOa$)&%r-FW;
zpZwoj<J7Y*1J!EJw9Jz?Ke->dU-@{cRq4C^VgFt%?mQCz|Hmcs*L$L)t1`O&c)t8}
z|BmY9p!#BYACJFh-Q>k<6h3BrD*qXs7&B$R)-*?*%h%UVKKlH`v5W8L>BYJ7Y!~>v
z7Ca^N^>f-Yjrf+^mZ#MJ?|v@xwEJSjKQmvM@YCl{1ny($F1jKg_fY>_;69P$r{{T&
z6mI?F^7B0NC6yiQ>)ost|JnNGh)24L<t@qQJwB;-{)Q`^Iwc?3->>0a6moNBhmoB1
zbmdd0?x*$lf<-ESX7d@|ak^t*bau+2P~+HBf%`bF7f<1DKiMktw7XD;eLKr?<z|J}
z)wkY1)rjw!Z5bub*JN#ZD*W(PnWvNg3v=j)@NYa)et%tT^nJ7biS{hj^A9Lzg-A8J
zAMG$<`u@hC@@<?S$Ld5^^{4fIr<b(J+F3m))z<c|{<Gmvf8EQRyyGiZ?EAFP)@YS}
zY0mt<X*%-94=+{?X8&|E<K!ntYm+FW(kpV4cpGlspZ<U2+pE*FuD1R5P)a!DfB3(4
zQlHHq|9?;VXHWe!+1Ez-sk(GU)Q5;i6F)q!{cPvfdFtho4Y8Y_*4vyubRkUEL~FVB
zqgDPAlCw^&wK5J4Ugg^_A?f=ltlq#maOcG3r~hxBc1mOW!z&L?evt~&{ZZidcID=}
zS#y?cGVV68n-|%$^5YpHnW@t)49^st?A4F$YWu`s)V6Y|`qAm@+A2Smwi}lg)x3O_
zp;W(ErETTX*QW)IcRTQ!^y|yIY2Tj7x&QsO+0k-NO*yyCKiJ*go^WFy=jCs?5smxH
zPTuQdi?Z7H-)>L;z3`{Cob}EPQddq1?$C26&01Md(s2HA>F!g%<vxW+y+{er-^?Xc
zXD(g8{1{K|ewO!_CWi|ASo$LALhzxvX%FX{Twc-C{zuO4*)5Yh>w;b?)=%i%D|R;A
z=In9L?lkRp$&YrpE*AN2R(NR9#4h$(H_|WK9Ch}2vpr+oql0RE5hm^*IfU7DXRWH(
z(H|`4%d@f5S<E-k=FE}AZZ&PWtVbQLlSRH8SMpqxIBHuP_b;>M(LS}lNRz0Kb=~e<
zxrQwFH(fZethg&bVnzOC$)nG8?`(Y0@rYaOw^2#RM@#PQI#z3b%xX0>n;TSf;gE0l
zHch*Phi$IWqVqQz+*;P$wLap-%L|-G%XPjKyl8slEw;<LB<7<g_g$G=>whe2UB@RI
zTyw)oyuD1zE^onRXKM-dKdNlIZifUv&}F`x^K18krEFC<zEn4!=J~Q^rO$&T=G@$0
za}UgAyLJ1^ssnG?tZsbi(wLXGXu9v)Et8VPq;77B*3L{@wAuH+*o`YL`LqA23MKoL
zY+Sk1YmI@ynT69??wJ`}S@@kr&&1%y!uuRCc?lDo>jie)KH$(EC%ohI0fzQ}8U?c(
z|M6WFI;!jYrFg|Yt-PE?>z)4#d@_=km5o{xyW`IB#M#p{;vPQk@bwm5|K;Mfg{fWQ
zKW1cJW;)7UduCJS!bflI&P8kq`^YArD-*S{VsXEu+1j8zH{IFWuW7`kJ)GsreO1d_
zmnUuRtu3x>zixbqR`fSEJhE(?R?)RX1+)1y?>$Z4?fW|PY~K9mYPkV>j=OWWn`zy9
zy?CedY?1BU8=Bo0Jle)+WmyvSv4lHZXVy}y=g~V(8M}VW<zAd)wSLFOUNN)S;5{b}
zxy*j1EqD6bmX)n$Kj$=hi|y6@(9_s0wpaDTo<?r5y~-cj8q>`FhCV1|ew$Mj9x`X!
zg*(fbS3OeuKH0ZkxZ>=AirKF?ZE_#1an0wl$$qfOwSHpJW8FF1Gj^FhUeT&%_BQCw
zg|z4M)@jU3U%1|Pb7;A+aC6SD<p-YaVY~5VzSdNx|EWF&E0&xR=B)Q^$hvZ9Vr%w<
zR#ltly;@V{Kc&yiNWKsoJG<@U9K#i}HFwPIy~cLeFh%jVMp<OY&Qrgc>>KZi*(zD-
zRaxW*vv2*7$}gVtO5|H#ueX`6IqUoFg{PNAcD3Kgcoa~6R5;h9BxAy(YQ4UjTaF3Y
zoH`~uyGna+W4o}~+TdRj(%*Alt^VRB=XZ09<IBf6CIu_(FW3J6yuwL^`^yoA`HP~r
z9r(y-=V>n5$NPbE*TU0f{}~=veBH3x=3Mrk_UkLB>Slj2;;&!iwyoxOp8r3QU$)*S
za&!K)=dG;#R*{htP%C=#^}>mcyjxPDrT;Ixtz~raA+x@$`D&X_6YNhOteNbmA@??+
z&(mGRzih*SC6l|RTS(+zN<DfvW{b(n*{T&Qn>p2D1FQJO*ZRC#_~o*lo79%uVl_98
zTTV99wtI9^eoNjW-`hFKdmV+hzOkF_pJWpJ(O0^dH)`pRExna&x&bvOk9kZM)83bq
zJjK&nG=8(;ttC&p@^47wUGh45J(tHgv-QzCzBK7A-i6u!+?vg_^ByKl@RSzWUUcF9
z65oY>^5wp_R_EqD`Lxe}?bqGUEHd&hxlWaQld#f}U4*}M<M}0aTAMFBmQT*puHDhS
zSM6)?uRQkIl`Pt|OS)~<cmsavuy^xVt^Xn_cJ7n8R8#s4i{zl{qkCGvZ^`IPn#Z|U
z=hccI>w5FV)?VJUJ-1|22Jhno{1=WcX>Z+i#Fc&Wu?*kSF*$~ra~?g_Gn;J^_mN9n
zns=7Kwo6YQ^L!0^E9+yoBY^qn^%x(6jGd=Uw*L6wpggtiRI2(MF^#`nC)*jMR((0G
zChwck@yPp)x`au@N4<NyZ%PL4x&PEy=j^3T>@jv#7jllW#}-b1u3>lN^SK2(yUKUW
z$hqKiG&;u4=W@u=Y#+OCLHt*D-a6viyh}UoVZt)U>xVBsc)ZE;_ZIj3Upta6F&^Ds
z8)N!%!lT7@=VosS{a7e3?sIG1k0t%xYHzn3-E{wu+T?lSUzp5heTkXsF7!_!E<pF!
z$^*@8VNzdq9Z+TqlMHcpkw2EzEO&L4?w!pq)~xFa*S)j##ga$PV!tf5#D0wB-Yc_f
z#p<Vg%(A(wbT_ni`kDO=f8fRJEK?PkkS|@o_}Z%re`Qlc_MQ^v|JiMOX8Vgpp-YP%
z*mqwQtMv%Gz$j+D-NZ5cGBe{bt1Bm0ezN%9(YjGAH)YrR|6=w_&v$dh6|_Z^Toy`<
zD{PA@as6n)ZLB+Mnb)%_iL{HAOXas;IJ409);~70s9PnGADQA-<<81JA@!dpEb`02
zCrSGbExH^vN&Lf8G2QK~=9@S6%+*?IzIEf3MOVAZqa@NVvaK!M(0cTZh}OMR(F**P
z&URnCB{DC%x8AyY+-7#$&7^q?8SR|Ib8ZU9@RYBZq_b%=bIqJrt7qF7A2|MEp5ETe
z%r^ezqJF#|WbR%J{jsZE+pP4mZSwNoY%|}BUu(DbcANPI*Bm@tI{Q|;_1@kvv$Fxe
zRQadpzFP4mTQ2X$mC%p5;qz{<yRtV?BY!gA*MOhVHp_CO7Jm69*LQ16*vAa%?YXZO
z8}Zu8q~|-oOwPTtb>;j^($yB3m)(~3Zx6h`kkKpu)x#~mv!~iAO!$BL>!NoW@yE)#
zr>%Nw*P1I9D)=QcXX^{)P%ZU8CmHR0w_jyy&M`4xu~|;Ojs1sCZ0IK$er{c><$pIH
zJ1o<^PAl$p;x^aoBI`dJoL=<0EBuy3%EgLc_rBhPV!XjM2aYjx@5=t4zsc~zqHA61
zH(#V*6w|dn<5u4trkVHX<I72Atya2gHfOXydM0-*YD;XPF@N@r!0Vg=tlGN28Uo5A
zW+Yv#lC_l9u{&_7=&1C~nVU0OAANn36S>9bqgmPOo9!Wc?mjA3T^npOp`WEDCUDQ~
zLw?<6(n*^qGTOOczhWVt`({(-#BT>urFY-#4XwF)Om6lx&3Dfdmit<ZUjJ@*eAzrJ
zt9Kh2b6+jov9jOu&gZV#zh|7;yLQLY{*`9D0e5a4H<`_*nfEA}rCP0P_RkrI?sYs8
zvs-riN_?TV{No&{6+5PPPBk;VxHK)iVSP~bTv2PLn$}w@`O9t{&YOJeg;mAX!&c3^
zH1<78?D3o{I=`&&z=GCYV)Gx)y|BQwi+}fw6ao32m7HtxAAJAvRm=a!zxx4`S8@K(
zuXB$V{t*6Wn%B|quhSRvZM{74+R<aPuLLB@OXmklx9-jP(;Bt%vH6ulRdx<p`XygC
z9$2RM%kyr{neCY?&i&&w`{&5KHN~BO|H9a5YjW?T*Ye(4-Y1a#GAAHh^e5LZi7So|
zr(e9iU>cWwYaE+t@Q=(dCM$McvpaL#X|`I6Y}&%@&eN}SG&9#U>b+JnGH?jpwWOe~
zA$`TdS!H<*=_?tX@9Q7Y{?c+`8mq>#Wqmq9@(%XR3P)@i_qoY#IkSpk>6Ms=T@0#M
zd>&3>aJ}O4a1lf6m57H;46Ii?zW$f5bDF>Qlgoqch98ov9C>Um9E)E$xy~nE`s<3d
znsuMW4G%3^eslu!kD9~)_ods6Kk)wAHsyW7gY+-4j&FHuCht?O{g%JSAztu<d`<U0
ztq1denALh7*#ANH*QSQ=A7WQ2K9K(*R~vXB|3mGsIU2807Kqix&$@E;@c)Z;-VZAH
z_b(Hk#_^AF{~{$u{fE;-bX27zelXWMd+a}^|H4@5{>{VZGy7HV=&{8u`!9Iq<^k_7
z%#QO9yR^%#cY3qr$BxuXda`TOYwnn2wm&jsl!`9#DqPLbt7ElrhigNdm~3#(ad+l!
zKJ9%^7w>ar7rp<X@cfd$UHw0IBwsQ+>RxllEVKKOo?g_9E3WE?S=ftxt(KiTVZ<)%
zyKB{s>D|}VzH-eqVxR1@YuT4`Zz4Xj1XqQNv-`eUwZq!qZ1OJccQ2Fnd)kWn7jC$*
zAULXw#oA6ymM7Miee;cjfi_)t6DIR%=RI1q(ldAKn;7=VzPpxuNz<FP@%G9uVtTV?
zUkNO9E<56rwRFeE?!|jFH1b|O>hOFkVqRW&V+mi^af^tg3xQWF4>~eWmeQ<kXwG~S
zrCqzA`Q@7_nsQGP*lq`ZRAuM&&06#+T*ky`<;+Lfd{VQoIDM>SU+$B&;>V)q$!exy
zH8&4(PM)Q;@704Ho@Yhp7j0;q8+r6_%of9y>mMyvo3^QY9p7UUc5Yv*wYf9S<?ZxL
z7dfABdsa!<N1uOslh0}1d$(Y<r@8a&-5J?I^;dVEc2uAIPNQ~Vr|+KHRsRC@Zp}0a
zNZn@nBJC3IRLRFlGd-K9?mO)0J~>bGZ(r}aJ<OW#UM}c%trq!SaN*{nyIuJ=U!16$
z^vKxEDq>5(M^5Rty0cdQ*wI@ib~bR&rK2HsWtp*`V|<J=wmgc|E1O{w^D#&Kwy)H}
zioWh#wcZe$>qo;TyJ^mQlw@W7qigxD8>yE9j$V#=W0E=J(NaCD*(PBh<Ak^Kyjop$
zBl*!X$6As3rG^(59M!sf<d9>to5ZHX8jjz*Rr>>^qi<wiD41$@a`D*(KehIrI#SuZ
zOS9H^cL<wr;IA<8aGqIWQ8$mOHZRk@_wG@Xqp?VSf#J~wC%dli-jQ`d<*2$(j$y`v
zS8p;NZFA%meQ#2Dcfq_a{aHKGFDM-C)wyGsG2_uxwY(2;i*_`({>srjdffMoSw_R7
zWVJG>EAfTt+_!n9R_~bHx|Xdsux2KIJDcvsZ!w9drM85841K5AJWcamMxylhm<-=}
zmTY$~-<x}O^W6p9UANgK@-9TicD~z`oN!@cf%NynlS^#7%700uUa&fPmN~}w<%&ns
z7}w1%i79-|VD4j;>Ak*2`n2z_84KPgw0g>mfAL*o@-ou$lg|U?e$V|P76%SwO|H`^
zSk%a?_Sfry3iE5<Ut15<v0a<-MR{eys?M!!TMb+8w4Y@A8;}ssobCH7zVR>b8snF)
zE8qUk$iBForItTe=hu=2@(Z@Q&KLW_yJgc0Nyq!H-raVJt?JSli5LHK%H%BA>1r>$
zL;t|_m5blaWac&5`a=EEl$mPNHVQ8&o13(Vy?XJjHOXwtW`%@5NMt_E`)l(7XSOhj
zFY1DJnaT5gKY!_4&SSs8>1Lyq)DQVz^WH?7epFtys(M=Q_Z5xr4#Yd~H_uvSz46N7
zm~Or3M{b`szB*rWUFee?KW`<=tG8#v`2R18_PY0M;Y`Qvx;K~3KgQE77c73&Ik@@V
ziX(~qygXTJSlMq^%-)sJ>u&pJ`Yg?<ci-7x%2~SU`d=yE3uQW=HXK;QeT%Q`K=$Q?
zsR{pohrU`V6s+sBIiqb?tnkkXC!+iKPB_+hJYih6Lt37P_tyF!OPW8jT@AOnbu?q1
zMOXE1iR6I%qqA?M8fTPRe2D2WT`~F6dTWW}c{3h`zIi0M#VJ*6<L9GxX&t^L+gEg#
zT?%9l_Nj6{aXPo>@l^Ncj>YWEzESIUENx~~%QbPW+0%GX?XS}V3+BH*zjk``m>W*{
zZYj&S*!RoBz3v}1g!fj)2i`gA=s$Ve>SKL;zdqHi-!Zv4PAxa|&P_-DZ=53Kr3Ov4
zd`BPq@EERK`YQ8r@&w1`ug+3GBys}uk8=C)q$sy&dp4-moD2Hp#4TJg;pNGyz3s+k
zcP}*GHRSJ=$x1w}dE3#tT~6qgjP&oD!q=FO<w#fGbPn6o;U8(%8?fidF@xD|nsI51
zdwr8d)^8}hx~#BE{ML@l%LPZfb9uIAE_t-pY?hTt<i|+<wX#{u|9#N2yLi(i^ksC8
z%%;pqn)?dFrSk)nWdrW!URkPUwV;Ys;{Aon=yghdH!Tt_*G#=9_TcoDu;<UGF~l!2
z<1%Ocp|thd<da3**L9<o9D9+OG}X6P)c@<oL(3v>P3g3o_E|Ibql*0LoLTECHuW#9
z@d~#&>R#QRru8l{X{&E<$oT|$?;NSsUli@cHd~7Df8BUu*~hM*7j8~;UvV$})56Wn
z^86)bw%y-swu;&{m)ZRL8a(?}M&<=x=M$k9rd`jmZT|8+?&;)>^Az_t$<|!BdeijC
zA+_#h8gglg%K4wPg1UIcdV_D=I$+toPt)6%(Qo#bM9X_7n=hVPFgxlrC-?gcacfhj
zw7z58dcAGKt30-?0XC-(mvo<dv9oepyQ|pTtHs69%=(wMr=0x7v@K#w(Cvd>W}hEM
ze-z^Xtg~wg>+i~l{L758J%4R;HoQ!zc>Ex7v2VKw|CbFXmmTi1{=hi*{Jw{8ceJlH
zlMTPq$^X&pZP=Yw|Ji15Lv0QoHk<9ICHFXSv#<MMX#xJ|9IItJ1o_=(ugkh`y3z33
zve#Yfqa`vgXCC#=-Lfrn3*T8|xhTsk*QSa7F8>k#t7*;U{m1!NI=qo&i~4TEv1L<#
zV(0rppS5PkCcQrUxGQ?)!Ry*~4^D<{b7w!IXsh==c+dUGr{CS}+C8JFh<CmJ`dLNw
z1wJ3yg01T3J}O;y=lq($J6ET0&$=+(;<?9{ynek-Tir9|DNcs(5)<bsKTkcjSNBY5
zN}1ui)Wkkz#`t5iD+{cPE*`It_m|k4pK|BaAusoyqd)YnKlNBK<)f~!wv3_7W9wyq
zGN*6uir&2b(FudJn*P74?oGix-)G-gujqX&+UHGi$^wJD!~bS0Gf(>H>8$teu=m^G
zwwM>EUCR#D`@H*qIQM&tqB-|lo|{MPHNt<(i)49A2iNR;IW02%ag~Dk-JaLCcL=KF
zhxkS95bHR7dIzh1LgS8edsKeCconR=aGTjh3&nNPkGF~~W7*_tAeXQx)=9~?C;js~
zp%W)(e~g*;y7A6rqj~4E9UNjs&Oh9$DDb}VqfMMQ)2lTV)ATbX-in_8VyHVITI85<
zjSp{%X|m1T<4+u>86VqQvxZxR>&V(E`{x~8$LYW09@{q7kZ85f67rjwEq`zRkRiXB
z$?}h}+|%SVg>tqY_g^yvPxvxCuG=$}t!UxN9qaom8}DQoy?dOTrw~4?r~3B{;S*2g
z9-kKTW8d}Y)5)ucIu#^^qSGH#D1`s-F_*9qRuMmHrY5EOL~H)XIOcNZn<pjKZ!0kI
z@LO}1t&eq6uu)$2qEx3t3_a?zEQDUf8qEK|Bg2t$JFVv6fprdL*2li{+~IkWa^Oc_
zV{~H+m&AS30_zDIT3&42U@g$!_EAMHy7A6^gLyfL@d}BK$G%qTuoc}(xO2{BbxVut
z5?i~lpGDe-ckJz-x-W*Wn<rmtU(6kYcL!#->2IHNM|1wcl=**S@AbzW=7^u95wT!)
z<HLO}^Jn}~=lMK&di7c!p0BD7M|Ui2RNOabN5m36w%@yss{JuHIC1^1@x%Rn|MvX|
z(YbTtfP;M{`<)vH80>dumOqm{Jv~F=ai7hpnw_yJcUs-&>oXqqn&p1+pub!1?^zjd
zPrDte=;~!_ba^A;zd6u;!fUo;uWROfUb&rbnyKBnB59HBp9`*Dmp%8r`-A+q4V9*U
zjDL67Pe{CB?Qoq%_e#Y<vuK-*%U;!nO%}fKJZ1S!{dZpk?=Jp+>g?6T*4<D2K2A6^
zw`h4qz{9eexA-;gXhr|7Yn`2%eSdku+9M6++IKW=>Q;-coBn2+Em!#I-Wz(Y+E3kb
zmLGar)W6O7VbD&Q+v^H8#`NU|?+DO4zD;Y6%ERkhxzs&=l=dDf6sn6|ThOC&VNH;Q
zmtI-8mW-nK18ot$$rlb4wHB)^*x>n4hf^ab#KM`0OIpNla>Jo2_q?a~65ju7Pr9>f
z#o=~ovv-2h!L>U(ALdzPBu~`W(|>%}Ozf9XMM_Oa@4M4)yLklJ-D`J#O}umFpkO>x
zf6r_Si#Mlzg&s@G<^3+IORl+mST{azo5cNZ1(&Bw>&rE+=Jolc`e)vU>k>0wc;{$-
zm@W0gTz*;w_Zr2CTl7!#m7Y5?RY6kW{-ciT+pS7|#eTG3r?u~ecSZV^#k;%p1D7{;
zg;&ma>*>YvTO_pbz+++QYCY3}8<Tg3eUsf)EyOcp0bibWc#(MD%0ox@9a*u<Y7@W1
zx=&w>7EDyuR7sq&vr&1bYNE=nh02`j54T3tx_=5d^m@~>z9q|Bp7#`QYdQPGO8dio
zeNFX#&aG>eA8s>nyM1rs>N^H{w+~L75K|Gk`KBOy_0&ge`&@FaY216_vF(7@QRf)X
zmL2OV7HHoT3m4%pQ{{Xd^~1Ms$H|9ZUD?B(8q-DOOH~#ptbSBF?N9B#!d<E7%`cw0
zx+DL=ehs-w-i3N4s>?3B=x)0)HQ8bF2c0E_OP4(qbV~N@74<JyWnZ-K5%aP?x_jpC
zx*S)ey68gq%jdD3VlBt}yVtph|I)B~<W-`$=d=C8F7Z3g9}B~6UcBr2zT?r&(vUsp
zMc?c6aK9-#YW;da#d__yIQ65_u^*ounsG=i{M^~x!)De+2@`kZKd^6|%xA0l!gEg1
z3r<J*V}<P=D$?was{Jl9mYtl=@JQllcnzmcUvSOM=_k%d9L?6*;-}JYW~?j2GwI?M
zPO)z+LbsD_4j)>mF!Soh8;2e`FopD7|7CIZ@~Md@4{HC9{=z<U@75nKe|&GQsg^8y
zQ|GGoDT-OR=4|GptriZ;S#+O?8h^0wKF9fM$C|l+nMyn_2*tYpW_dAffos?EN}<O4
zX{TpJMJfr&bLeyMNf%{3k=)TzYinQ0CY`rvuYP9LR1?>AbM=pZ^qQq~{hEK;qc6r6
zk4;a=SM|M|{q%I_!b!_sZvFD~Txa2R2KLJSOHcPlH<;})*M4`_Z|i)Yv#$@I+cw|E
z;cF`UJ%70c-+o&>xU4YM{z3A7|7KD7PaFHU>mOyWee*T5<58yEw;w0}td*22`z`b_
z)#mbHz4*SbJ<F?ayj1_Z%dO(ZL-osS?v*#*sbA)I|Ll0c|5<hKlji36KE`)mJe)P(
zXM@$tg^>!|zw~VX74bUQKKHPhe0I&N^%dOf1MI$r-Z^ww)qbYajZ24J?PnglaqBRv
z{Y<|brw&)z&wOQZ(`~Us-f2nytrra@ut*$xT|4LD)*BO9OFND<PJ4OF-s6#jw`}LT
z9~EueUg$k|IJ?Fp{hduGdv4glrD|~#Ca4^4W?Xe4(A`gVx6Mw;J1f=O<M{Y~7BwE)
zD!bM2?)2*NW5;uRK0iITZ_<&~-=vGauK&2Ix$RC+!O5>|$9@(~KY8i2?a9C!OQqVQ
zC%r3lOXaI7a^4sIa&wLe=aaPAPt$K?$aqM#-Z*>csl%jViTq6kniE*0*L{7xL&AfP
z@9_GEP70b9Q@<Q3vGZti{2pl`?xE$c8u{0s?WBaI{E~BQMX6^i*0%;XrZ9TCvdvO`
z@~X8^S=d*&s8MUGRK~mu9jfKK8pS%FtgK(%Xx*r$_3430f&PSU>tpOZSNu=hReBtz
zHcRD6QTVLn1&&VZDkZj?Uo=phzN5$d#*C-l8jfFX&v@xA(e$xO+PH=@(I750d7l1E
zo1XC7GhUy5s{MGY*|Q%)NeO$79m|P-w^u@cL*bd}1q_d6xBa>MTFfgx{qa0~@hv^?
zt9HCTZOZ@HTJGJClRO7M*Ld-&h#dXV)cbf}OG|~SQpx9+g$v_ME}Wmv!2EbM+pk}f
zI1m5mYP8#za>!s`&Vudw;g_d=;kb5q`sz1cRV=UHoffsquHo5Rvr6XM14U;gw+nIB
zc^)n;h5pf(a{4sn(mZ#a%L@MFckfXBOV>!QYN<(gL%nO-t&0Ay__$;HQ?AeIP5+cu
zX4s2exq2XerB8r2^Z!M&f-1UFWY%0(yzg23>szL4HBZl1)6Iqg%YX6yYF)F%Cdf}(
zs3oy;<FuHw^F#OCp47AGL)2&OqV9e14|VK<eogv#V%JZR=iW&rs%Nv`Jvz<nt$H@{
z-5c*HPZ0gYdlH!c!h1&K2KCHV<C68e9(ufb6L)u`zxAY<n}a_}x)-IFYrcEzrT4k=
z=<3>@l>M%IXSy34npm=+W&eQ#qV4}wnS^~}id3FX{+JT{kL7KRt>k&*Cr<C>o#v&S
z^1W&!`tq&Erz{DhSFb%T<w(@MeDC3wDRJ!OV-LPUiA67;d*p3wNfr7R<NPn}ZM9S0
zV~<}OT@Eq*4?L;e93cH`QdpVYofo{F(c7+iO`k1P9<{P!O|z<>(Z)5CwLPys7ujB}
zdh^$oM}6y566c579689QE*ZS*xyP-SiAQ;3P6pYn`ms(q^HjUY^>WqEntKB59(gpK
z6+7B4>$&9Snu=CU^U15X*dCYhd~|2o7teJn3+{*39GduKrfiq`?nym${vTb!CtZB6
zVfW5M>1^52VA(STlRj>gT&MEEB*6MiiK^g=g^xnlsjM^Gel%<9lcvvt3)dB@#_wF}
zwWe4#e&^OlTh}f5v?XXy)Mbxojku&oEy_F9dUnsYc&qZ*p51p&sY>^>k2T?!YNb~G
zkqQ1ZLtN``lXj=#-l=tGrgERm>+0WClzB7sqhGk5&27zhX^(m)_lV~j7oMAVrQ+Cj
z-<Sdw*?Av%!;Ol6um0m3UbKK+W8XWkN5%F>|LW}gpS$kdOUv+gebrjEdzDwNPt3_$
zsJt^F@l@PohfR&kRtaCznV_@qW!G#rp${{b{n(@bGD}&sod1bl$-GDN8dJ)31MVnK
z_%r)wmo(d?6K0;vFP(p)A7Af!LI2{BrR%wWvF2HPF?F;*s@uL#=f(3Kk1vF$emMW!
z_0-aOE}65{4cZ@>?9P0grug#}PrLMv*Dabg$vh@Ss;`89>_24KzEAPU#<oAX@61{I
zOrG>t{g3=%e=M+lpT^nQ>5m#FpLsYZ*URr&lR&gk#Eccq&x|Lf{mxwI_en)JYoS!(
zCiR#8i80At>Y0JvqW)i1{$_SQQk<uvaW=%}%H%oQBfHuwCmk`2F7)@m^i)k-?wx1R
zWtpS4Hl9_pmh70W9$6nOqHnC)vd1a<=DCUAxf3O-+w~>4Fkg8w_s0Cv%w><xF<R|1
z@h+UsAR9Al?T>l=-}HLJW9%KQr>kk?2`pIaEi7uD<bHYS$*%K1GhSZeIGSFwr7UyC
zqs?|vJ56FfvdZhmNG;uwtT6MG@u5v>YSy3J9t)OwEwes(K+jtBvJCIPu3)397fS+f
zURq{x(!ju4wR>*LiW%FtyY{u!9o39{uOgguQ#0~~%4F}#)sYWWl#lMYx~6#2$2TAO
zu34R2a<h={n#IW@w-WpQWWF+Rv%7N8PhHYl{#`=*<eshlrB5Bt_6GEK+fHNo=F;DN
zcUI}iiYD!wZ?=nmFID~guj$dhb$fDlEZH$%yFXq}OYXrb<yoh@ZtwUs<7vyIYwJ>G
z%7x##HkDmpU37c#(}~^^dv?D(v3O!_SH86ntJQ)Z)0D42O;mW_r`*}gF1mh`$~;r2
z@W!3Xmc-~^>f7<u^NO$1(N`+H`sep1uxBjOJTD^`$es~a`HsWdVe{!?iyIebhRfCN
zOZV36cyd<dPiEVbjy<{syA)R{zvq~<@Z*gtrsY{z-s>}^OHDfdY25+Mwk4PLs7`)c
zUwC$5meZVMk9%kDFYVgAEoGheDz69s1O91LuUsJiaF_E{*1!CDr<z&Z><{L)?^8M2
zE@AQL!fK{=DGQzcD2YCUf)f)J3J%GqK3E{U?2nxaBgfeT_g<giUbOYs#NRTNnp^xn
z*16v+T@zk&VB+;KX%YD%74d?}kDB|QFy)2pIW}>I=M0UFmnJUPOT6|~%RNag@!Q=j
z_ebH0-|j}aCnY6H9kg;kRG%ny(9OL_AY9|#1CP9<8CPx3Pn>hqK)QOLa^nsI>FSBf
zg+eDEJ@zwts(4)R?VEkmS_EgmRCtl>d1C#_N8HP7<SbVirGJe*rFiu1*S)7?o!5L8
z>`b;(y`sEK<<eW;>vG=rX59(9b8V8&(daJes7d$AJqww=Fa6fjT9@y+=soLEYoAV&
z+~7S|r*K?JJ9^u<(<e7{&*`ZhLDjNJ-M*E_w}rgCt6RDIngY+&s87q@&bxH}MfIlU
zF8`UIzGd%!H0{^7*R$$Q3JbOT*$}`#`;%FEzt@%v&t1Yr&9|$_{_1)(dzsB9%cVbd
zX`V0e)7Y1Gih14RF7sKR)@AQ~^mW;uKT)eI)@u6i57V}LePZ*HySLQm`gm^Qy_~!&
zv#PB2?<BoxOW5v&{>lt)s$mzIZ=!m#YW^eNWh#;R7xXJ*<Zjn^tZ4V?G<z4;d2ZQ~
zXm2mR{0CAK_pJHRrkQwRa?~f;%C=9zh0pI#InpA}`6}^2{+CS)#Mu7%?H9gs<UsY8
zY{#>_f12yGSIlgzzm)&P?P>oC(Pd)$x~8kX|Dd?PS+~=&`pRbc)RWc?b6=e+H-FN2
z+B;~^#>VR}!<F`2I_%>=FER94=7Yp(>i+8`)_*WKKAA`SSg?-FmnVh(se6lNzCO9y
z;25{9&X*^u5|3Hs#40EC9H`jWesta*v1FU;hlKo<Ui6q(&UkWive>a`8=r4aE;2km
zE4Qp-((mv{|C_<Km5pkpHzl5X`iVb|6gyTiDLgQ8{U!H`Nzo@N`dS~(voTA$(>9mM
zU(UivE-!h5x+T-ptK$2gcsXf578Ki7G3n>|iY2YK^Ol@2lFLopuU;5;ta`^`o6Za2
ze1Fy_Ep=~oN_Bs-Lp$^F)KclYPd(Sf?K^TP&tL9I$hF*(smpKPV$5HhZKEaj#dOW*
z6&^qKbmo0f$y~B2Z_#X9&(`&8te>0t3wdb2KDYDm<dUDKb`{HgQu#b(YYmTh?8z(q
zfBGLcNvF-LXL=r6<#yq-#d9yCtOxe(o7bf*X8)&Ncx-99tG~PS^4Q90r*>@Z6<()e
z6n;(lv1WMDKkaOrZ%ec}FHSxDC&&L2f5hqV4R;nZImRF3>R;8i^ZjbuD!ntc@vkp_
zZra!IRj$^_>+^!!FFc(#wcY(*HAz1@^6>L$Th966*P1_+`3JRXzfL`6=YMIk_Ugp*
zQj_>uOy5}go^6pTo3FTjt-`;PjAtjLSlm945g&KwV^(_76n)L49_bkpFTIPD9)H`m
zWb4~7-OITqyeffPCOy%5e>L61NA9G0OUu5^$@T|bZnvbYG?sgw9IC+IEb0GYqtyfv
zu4BoyYdD{5lzsfs?i$;tz8N1G<YzOT44US=ssC(aib%Jgd^A&~r6PNpd^EFXR+`PB
z<C`3WCj6Wb*TdVm<oTyd*^5&ZX3o|rJm>t{;nR7opZ~Idbf*aa{`b1Vchh1f&W698
zb(%}c183LiJrLcaq<MN@y!3l7sV1A?eF+!RpBmr%r~buMTsm)R<HvnA(NA>`OrEpj
zZB_l&%aiZylI^N|_RipmCCg*sc~8n^1Ab}x3+?37w0q~NcD?TGjUO{?rr%q0%U<uL
zY_v$7(<bX}S~YQ-tj#oQ{5DyeY5iG}Qj&8ys$$AELzUyAR$kFkpN{ktD$7gPR$e;3
zcJJdyyXK#Xluuk-sSsJ+GyPY@`{44euZ84a*SuP`V`D$>KAU?9HP?^w#uwk&c|Law
z#}iMf=x_cN!IM@obGlwzdf!`p%h!UN{%IROT-tnO!uQS#Pt*1(<_k%l-=doNp)Dyc
zFYR@<$uZlU%GISerbNGdHR<q=%7y2iXFfmu+w9tndxu5sXL4V@KP}|+<fu<J{*LjR
zc4+;zM?LRmmF9_?>WmBw0!$1H0?6mx#21&8Ch3*s2KZ((8H((AzkWtC_wCrNTfRKL
zQk7vV;u6Aj$=PRKo`IU;$*8~IeK$FUf0*<=?Niz_)zaRL8`agf`yTl4^X11p6N%mr
zx>K(l7ZN_Jy+^Y(QtHEuiR$GFfugRl$@e_?Z{039bgv^!ur4(tp_g0Wh-k5n$Ks6>
zKXz@tHo4vY<%J3ThZ1_c)R)|wz<fB$aNpruG8+x~CTC7HTGll~J>nU&qLzNo(!QcL
zRm+uU#O`hB3l<lbogol8(eQJERiKgPOe_BIqm`d`Zj9f#`(ajilI)io+g|QeY<BHD
zJngK<lV9tiPMvL6zNE_az}T*5dPJG)#2vN0Yp!hL?dbcz;CYRso{&+lM?vX-qaQCn
zt=pjamuqhM%a4_d<<71CT6(x$BSf=l%Zq&re=ws4Dg(o$3-i}9FeKWtG4LV>s$M}#
z(wn*LGoBo|`2Ff7#^Q3FHH8e#hglB@O6$p6+}W5QJ-a&hz_DW!GbZQA6#j^NKBxGA
zrS$VT#pf*duP;7l*?xWgtN2jct83pyo_%-b?U_Z@?=D{cwf#!yU1RH4@uA*Y)kh1B
zT|eG>|EB!P+H;ow=j^_de)jA+`?o!t-|c%g<MO-8TQ8U2eY_@n-OSw&)_vdm+p^s1
z_q=Q~nYts(Os&t`*YlRW-}vlZ`rXHCcE?v-n|Xa#Ql!YySxHY%9T)qP|MtwW-t~Xa
zEnT;FRyqHj-%B3%&$j-?xBb?(r&Drg^A~On`S$96-uZj(a$m36pP#bV^sArl+nc{%
zt$y{_w%YzD&y!y>jC8{@D_x`RDeJzUIqkdG>AhR_80oI}I=%Yxr+b+*w{cd^-?B&F
zO{8f3_Nr^L0rhJ8>h$Fj<pSjF<@DradD@~~m&-=TmH&{EW7{s&v|ID-`DJV4v~=H3
zpQb-|n*QWz`m?9$PoMVP|1|rOr>B=cWxo8$G4m%^=FhIopI(_iPi0p6M(KUf{QuSb
zYu(lAp4VT)uFd}vm(8U$C2GZ%4NlzE8HxUSYgV0qRk-kq)6!Pas2k1PUs4Z6uD*IC
z%XDLu@0u{-)mM+)%Sy^O-MD?$jcDIDYt_=O9O;=gBT(2lE6L6G%!<z1D@W|IlH^S{
z_RqTE?)zr7+P6^Q->W)nuO8W#l@xDkxLPgk>X9|GW`qiF&Psaw<`i>SVd7S?Z`Tg-
zh+lhY_<C!>1~ERzh5vl`L!Vx_S-YcP>do4%2B%wBuPO=+UAOGi)sUULw8F!mO1(O7
zy5(v1xi~NGq%Rw8{@qfLsTY&|%_!<c;lo>-r(bQ-Xg?q4$Gu2qVXU3gRNl?MvmY|(
z#>8>6XC0K^GhK}D(IGe6+Qsws1^VA#A(waMviYl*(qF&$ZVtcDG-scu|NSMp`Lp&e
z5?eCY_trVJ-1N=gYBz7&9C`cZnzuRE%FME@XK$T*_SU&+H?=bzZ{1w<Hs@m5wli^&
z+?URY<)(LU+Z^g%w|d*=(Azgxz0J8=wk^v#dh6V^x6W<5X&N=5Y+I)F?JaY2Z>`V0
zb<Qj|efGA^vG(8J-a1#7o4$M7=Gfae*S*cTUbd~+y84^k*C*RA_TO8ns~5`}zwM{i
zS@G1EW?8+RC0Czz>%<5D6zbAWJ1B0pukT}O_xhlkz(?5v@kKwl4vUNItNZY@X+7T#
z35y-&uDUUv+~L;_Ny+RKyIp!?-SKY;Is6B|1>~%6dK>!n$m-08ucCIeiLL8Y=0E%`
z>PDRO?ZX>wnQrr1?2v2hy>YkYZCY+(_qDv%eZ05d-jM5j8+ap*{r2mmYTKOk{crhl
z%9E;1wiVxyYks@&#yy7H(n;0IIsJ#f9lLRl<@V!^cU#}qugo_;`tIxoTgKbM8*Mpn
z&rYu9&hbC?ZQ6}{T(^U_OpMNcXco0&tytdj*4x<+`JybQa#w%Tt1{l#VYUA5>!P!j
zZ?E~@-Lp-zzb?-Ea|`R4?DR*Kx}10SY@2=kQ{>$}Vy$^`x6fwpyl^&Ky)MrB^4aXt
zxZURuRKB$X$yGkz+P)_DT=w?T&$n7^epTwm?w<YmR@Jkp@E2#cnj8{T330pOaEMDe
zM<tP8IY+H=`C*-$SN`puFP0s?TQcMPt7V5ZN-jUlvpUn7XSL_b<;3>as<C?uIv<^W
zytH6y`3|17E)OqRS?}{Px4w4sV=DW(KK<Kg`vPZ_m)Vq`efFl}wcy=1J2d<2%4$~L
zdGjOa?@GP%eUS&wY%^kdcHYK1jd60~JlV|+oTebrmRae?RZ2EL&MP~UUb;D1WY^6?
zbL(eL=GOBXZhm}PQnqJ*oE-m!n;)N+menjc*T?@V?YNcozC#CYeiYqx(~#@)xxV<l
zy7Of7ZBlQy{d-g4YHlr7`DOFtzeSrL|9y1BkXx<nOjD=q=7gl<Itfl^Hz$i3)IYmv
z$SG`L{jUFf>Z_eyvh!^}WCxcoU1zajj`g&d`1W-Z9z4saudV+tam#+k{(7ai@|W)0
zH-7uRolSqXamCFW3Y^yzzV$l3k#4@xevo<1!P8H9;`GcsnoK)tlLdYsQ}~ux&=r~J
zdYo%IN0>w6o;43Xt$AP-Ho<9@=$)30O|uQc3LYo0w(I&Xbc)%r=+U96CHo3ekG7h2
zac7H)UrRK4TyQqSlZQ`y%Crj)3buZ{^T6PKekUJa#0IhF@9L^{ymA2J2XXQ4zi&@c
z+_C@of&v4NLkmxLH*&V?pFA64$=Ishs1;$!*{a>78?lp>>$QW#nma+<$xnOS&mNt#
zac^|>+$ruyB{eG-sr}NllxKVIKTALUyxXM7&vq%zF`1m8e)h)q$<MCdSpTfg{BoL%
z;oHm4^p5OXv;3^9@{xDDGL5-}O>^B6o0gr`(D|NOeDHGPwbvWD=PJgp{mQdbBCV?C
z>y<A%9%wWCfAIPJ@1{jBS?}m2EInYdBg2+mPfGX!)8_k0`&Pcc+CKl6m|D#_=e-S{
z`3sHj?UgpZmu-@^Cp7t3bZXk3I}bE7{$IOskL~vDq-yJ&{QkGH8*H~OS)FIH)Amu?
z#V2L2w*_y@vu=@F-#FRJdgs|QNwHDy)VBLtax9V$iI0Ap^TEhwN^g8~Rb7Pqs=$(_
zOi_XK=Mt9O9IHRa|61|yk;Nmwv;GN3Y*n|<?1*1Dk6BgyQK_qip!z|-BOCM89&L%g
z`^II?LE%Cf!?(g8FZmzQf2H=Q=2wf)k^U<?-vs`Z{JCS<v$L9|#aZ{}?AtzbO?-OU
zS~0%oPQ^<1(thpRaPn7ax_L(8VllJAhgF+rZ{6U^U3BJa`u42E*<#y@7v6pIpF>wi
zh<VA}KK6i!DmjP53^@kZ7Mp^`xZjm>2UHGxZcyR3h&g0gQa7XR+-vTinHCHFR?WV=
zQFfZZtJ8NSZ{!v+`3JGLU+gYBQ=qoZrr(nLwslgqdd~S{+iF|xo-5)zJZ<r->(^fL
z`PUW+ep5>6Dc+Df>DU7W>&vXdN4JVvKHG4&QP-I5GV5eEXO79ocHS_`pVOW@$?8Tr
zzua@@{l?qM51Khm%+`}~)pOW<Heh%Eq2Duh^I!P=V0ZuB`KMahR@gk<Rwz>E{2^uk
z%ILS3=l8@FnhA^UOOg>)JLu=OF<))*7J0YULKd~f!d#BwtLnLDYj<+R+J%H`KM!$Z
z;53xl$nYW2B#H5L=b!Vbwn8e^O)qcnFZ#PU=dG~s!kN32Von}mx|JFpVW2%T!eGiV
zrZA(6>idM4tPNxLY*G6z8Y^7y>bW64@XhS?8gJX<17!@~?zyt|`^=CyDS27blkG1#
zW|**Wn`*A+{b^E}nW%GxFILP`M|On{SIC_uji*-b@Dl3{NL|=n%XdxtW!2s#^6QQi
zwFsSNQx!AkS*_C$bnKM6-Jw(Jd};#hTP%2%KVe$OqcZzhvjBT~(ayeuESs2b&%N=i
zNOrc*y5_riGv6iHFYY;huuJl_c&}t~)U)F5&q)Vv)SbHaw)Q}T>D~s%=?9*?S-XxS
zJzwR<P3doEqjKNOzcPP!V*JNN`2}}#o_%X5{rHwqXU1*j2!qL4VrM{X_tP5^<aC{x
z&Tsl$a=E<hZ_bRijVy=qD&wzx->mnBoBNqtoY<M(Jt`aYE6ZN}F8c7MX`X3i!Jjpr
zLN~Ic*{o9xnq`HICAixTMi|T&<d$GNouHyF@#ca|v+S+s>Se90pGy-D*2G2_$P03R
z=#}hgxqB$#FJGMMclL^@KlmrG{ATZ{{S3u_7}>thk1<XEuxg{D&>C>5XU?{fzxm)_
z_3+te@9-6E{ZS?Wlc?yZmEh_4@@l7Cob5YBXgN7sE~)-jWl8Aq#j^cnHm6!EE3WuT
z^zY()9JrmQqGa<qt!*#9q^<t+!tCkAw}(G#Y&T}`{K>E@<d8}1p@fc`Urrp|xYBw5
z3oUl-lFDY$UF#OcmpC#D%B?DEUwZX*O2$=2?S6-cRj1ZmXIHcO@Kh~V-E-aS&rhnJ
z7(6+3?fI1#hOcgHeAVxI<FyV)V!76#ys(zL*BoxF<!!lp$>GLgk;L*o-bDXB@xqDQ
zC0b%_H*(ybe?2zYY;xufw>g;#2hY9UkZ;7hMLtccfF-T$XKPcf|2oM)PZ!tgZ<^gw
zS)5&UW}Fe>o;CARlVZ5gt;w$wH?Q6#w<-GG^j{YQx+4OLzVc0YQ@Az%Wt5;|mDBDp
zdDhB^2#4ih;-;wBm8nh}ds4R9B<sfSu9aUe`+Z`oo4n_@f;-zwDpERsXXsw_mAkk7
z;{H7=c)agO3*B&YxaGd({aL}n{D_P%ZBJN&^D{2b=6!i@Lr#_Rp*+WDQ)C+69=mh?
z@Ub7y8(DsTZm9kI+2QxY^UQr2i{37qbJBOCr_dVDH`0^0$j>?==)2?Y9OIpqW}Z!^
zowdo6zBx~}NV@g0th9Z5aQ}9{kJn5;TzmRatd$`@@WltS?(G^nGWoG``HZWaAM0{4
z-rL1_I9lY^Bi)D!mJ04wQ_I>8-#+BW(csFnt(a@u@iXk(_>Q<3iwX$)suYL`uQP6W
z%@AC({8X>SDc*TWSN3jP^v<wrSKfoSDSWk(J-hgNwuwDAdL_4hh4u0kyS)RyN4Vbo
zFC|x+&voT#@%q+7XPq9{IyQcHVZAq7<3pS-^PU<W<M+P2pRIa6Pps0L{Qj!*r&Rw*
zA5PoJ^xn1HTGV4zxn|bq577?}7rnR`@Zt66?NblE_vG4d9`Ivs_JQ|jr9VXX+SgaN
zyQ@DakCw0d9#{3_t^bE@Pt+=+A92cYmNm848XsKzx1gzA+2A1efvW6tPp;ii;k>5u
zt=IDwzwaXs*4O(^=2}j^oite`V)6}hwM=`HPUVE67p-e+*|%>$zVWSL-^L3E3?~UQ
z)TT(wSR3^w>qyHeD>j~T-}?S+AT0K@;+Om8ubcdi_w+Jz6_tRWyWUn8-`!!ZV^ZZ@
z#58sN^=0PQy}!4mUX~V8W1hNx{_oej>;7DKZ~FWC@V=j~7ytdRp5NUhu+sh9lRjj}
zb*O2*KQr@=<ZP9LvySXD^!&ZhQ^xS^iaq7+r-J)W9c?l?=o?a|8*sC9MGw<rqZiwC
zwH8lsOBHEzOJ(@x0u?Y|3UNzxocTb><!Nl!sqGSMQ~kq2?CKP>#MLC<FeLDt+%Chc
zBHlKEJLUmb%r&l<Q(dj=LibHo`l#7;WINx)A7&31J-w~Pe_!wL;nqVRbe$yZ>K;sv
zl3~+TO8l0kUZ%@=-_P@hnb)E1yq6Db50cpT)hY3Z7}w$L;SzRni51fieb5zc^N-__
z*!Oa?kinkPMPkO^mlwD{Usm9LJlI0$jR@cGG%okw4@LOgHF%cWWKQOsH~Xwk`n>Mx
z#+w;rR`euXTfQk_+Nn(m^UBUORo=4Xd^`Ej@h5X^)yk%^PF|IIa7k8VL;f2bZmzI6
zgL9J}{4{_1rl<7Vjc*gmb9gFsOceT`uxz@wfcME=1>Q;>=@ZI|jcd&3$nNO-)%MXU
zOYDN)_lc~VlNwr&?Omgy?(og+q}{c%cUIhIENf*gJz94C`$WE(JQHm7zW*+W`SaVM
z>2K|UeLrg#{QdBq(cQ%H+cC?@=6$}C5`2^H&sg&Jf=XXT(;MF+wR|De2vfMNn>nv;
zLMiWrQr)6`uFNTcGuTrC6^@oFSXU}^J4H`m{o2Qna&Y~nb2EzeIb2%kDAt<jaILZE
z2UC6V+)SCZ7S)>`y?N_Ar_`Zc?c%+-&Tl&!U0y#BRj<!q7*p;2^p>>7^?A!;svj-d
zdF|m%m+Y%1vHufh>D6rT2)Fs+AZ|6oF2t9gdw2SbrRQ?4PkJY7^0T_<(7Q~o-RWrw
z)B6+e*)s1r{np^qJUjVM^QJo8yKMTu+Q(yWy4Kfu>#kI{yZzf9q47TK)b8bGVRrJ%
z_f${&^p1CWQ@MR@;hEjH{ce_3{5M>-H}#Xm<$om~e{g*Mv3}D$J-PetCi7lzPM8;c
zm}y1d#%9*fbx~ps>^+q_3_d@uKM2VAJ3nIMj^*7!pWkwphqpxD`KsiyGq(1|Bfm`v
zH@a94Z_-IvaO|RI);?Rw|KUf9&iaWdF8LQO|8j@5&Vu^KFZfK~TUuY8%g~!|C9SvY
zd;Im;->x6|e0?hGXRB1PGwLUseyT(oOl&CpGQCG-#_zPi<3F0BtV=iV3%+A0#`AA;
z!XaIq83o+jz4p5g&P}{7`DkV1$%Y@oX~y4Q&l9-6-n;2&^qbkgOE>1fyMDAz_@V!t
zvv(%eB-OYZK`TM&S;y*xANZg8`eDhAc9n?c8|G{q^Q$`kW*+|@_ub_o=h5#+Z31_2
zemUx}Ait?X_{HoC_WF;+?+Ujr-LT=o!4jLmiiv?NB5ne%4vKU6+!yAGFt(P6Ft%<B
zWNDEx3T)vnIdo#O`#ghBPbMytsF<PWEB?_Ywohr!+Q63LAal3*ZN-yUemrzX?(K&;
zQGAMX%s((5<~zUaslcI~QcgG4DYkq*v-VQ@gDI`7LR`H{bJhp86q|MxsP`(}30%z4
zo*|O>+e6;|&4)j+)~9WFqWRS4EN5&}Hhoec&T9}ef!|=x^>>X&-1+vboW;y}d{w*k
z*D01;_c62IVTk5aDF|qE<|~gpGP$#STZPfbxl)1c#nRsA&YyReE$jPv^2q!;cjIpx
zN~`U^ZFs(^+xCu)c=e9TIZ0`Z0wIzIpB#=f_*o!!=JDPL2GQQ;*DHR0+t?ocWLI7H
zj@LRf)@@GMb*|~96?d8@1GhwXVaeQC`SKGjcKY6U`s7DmzL?Lgd8|Cl<&#=B9@Sj&
z^IKt8^s`4I+uvNauHUCK<NS2i&p$UM*u{%|`K){Fa=g)`j^I05;>&l0-q(C5!L1f|
zf~o41Z1O>tgopzVbaeb2d393fD5<hvYzZ#9*b@9GgNak@-NyU}a=+DPHPrU1E%`Ge
z>Dm3w$^V|7`Liy$PP62Uz1}nT#GeNHr3F7P-VpH2-fL$4Y@`2SssD6O{h58+a6dEu
zWf86qC!f@BoAm!1+t2HdVoSqH&7c0?t^NPL^UweDPW}HbW%@sU#h?28r~iLz{J-!0
z^Z&Y2|G&$c{+~bj`TxyR|G!`U=l}mH|NmQ`|DXPvskzulk-@HZg@(gI#fINoD|7{a
zTZa8xFZHMXINSel@8kc^|4V85e^xsr+)w)d_w>En=bc^SxwmwW+Ef<n*GKn7PWJl!
za8`&+V`aWT^p3L|D&OBeQkgGy?AYWhHNPtk<`#c!y8Z1ib5E=e!zwLhi79CZm$XM3
zEEE!xk}+GgF8K4?$E@3>zfIl~yJ5k7?&Q~eruXfP3Vt&_?7wyW_V>jSe?D$ZIK-$k
zqkw_?*IC_TmpzRpaj@S}6zALFEdOn1GFW0+vd)b6s@%Qja|_aQEE=RDP8B%R&+$9^
zmua5Vf2K(Z^^6<y>licb|F9krJlo2>{A}7i-<qgDc}cKl&A!<Z?k3aq=FEHj^kt#G
z=LWTn`97EGZg|TWzU>wdD{Jg|Dsw_Zs<9_&h65W{tiodMlmbQB69vNZCmeDX<ti|@
z2C^C5VPcZ}e%;|1v&n>y4)dHs_Oe^Db+7uKa9wXoV9RN7xdV<ocKaodRxCKjAb%i`
zXWNOyp5LEE_r9_{^09TA^g;)>tJN!{T~!vJxbsTCPG(hIk5NtFSGi|JAG=q5FF4NF
zv+6s?alxKd-#v~i_N@A@F}*WUMC!nfdbNhYf-g<%>wJGW)!ckpJM**Ln*)aC2d*7A
zToNyGI-y@&=FAOi`7=B0*^Pf(?p!3v-?2#Y&)$=*#^2<MEgoOJ@6lqs&F^qg+rOG`
zJ$Gup^;Fn-H_bhtbpK3xrv04rk2ubxS7-W%EqhwCML~5t;~Qgk;g>UO_pIqjVB*qg
z_$qNH;=-L8Lpdc?Wx3tI_gZSZFG)YjnI*=PwK<_<3hU+<4Xwx4$~IdkcTSN?Sl+zg
zfNb%@xz@)X%8K#0ZBBT1DDmK(*27Jang^YlSG#{b81UIHWWuj+y1YHhpD@gLKjnA!
z{@_2?{hIz}AK&*gd->lF>t)?d8h^f-b8>SsavN%y?2O%K{ui-4OL937W72T5^ngw)
z-;B*O>&+7n^c)glEWTR3<+QM|BfGG%qp+ZH^6N~C`^uZ0U)3BlKmK_OcV&{x`Lx7_
zXT_D04cQDOiFphfMepCqnQMz%HA*R}h|fs5y3YREQiY#|e#>KcPV|?5-urBKM#9F!
z>>C`6)Y!|C>iKTV-l$R%JD%TBtCmz$DB}{NDR_B$N9T`wUPr|FCf}Dg7nuKVvP8%B
zd7E;B|3_9a3S8G+lI9}mx9-xX6%7`u&lhgB-)*bDNb29h73tfbe_?D{*~Z$Oq$44c
zd@#i}(!euY?2PvXkBs?=_U6yu?A*9nM<PAa;M}H!IjILNPB#@!Yx!pR@w3v2ujl4n
zXAdcx##$_G!~KHK`gGI6axtF0o7+{?D}MhuA-YfJ%Go*h^V?z*4=&K=W{Zt9*mIVt
zCb>>c@!<LLbG^ssKK__+u!o)7;Go|IhW$4=#eC}K9&di);`T=`(Bx?U@z`I1LS?P2
z!V!rFS;8U$!v82t*fS;b?GzvJyDfX>Twhe)-#=SNDfj&RbsIn3c^W=Xd}T_`Wc%9}
z(vEC&ou?ZZ(bnJZoY)i~(7H{7BTq(^Yte=R5tl`!Q#3l9I+~V0`V{&k?DoS)$EWY8
z{km!Qd_AW9Q}mej`@G70QS+{6-swN(nXxSU=0Ee-pIX^;XP=bn{HsszPrtP1W!<hn
zzc20j^V_KAq~V`%9?trliAftb?1<A1`XVZ(`GTvveU+=c|MO*R%<;Si{sn6L;@;a|
zoGDSg_rJ>WnHSZqW8c=6`u5lzO%+;PBk<Ji<{`8DVulLQ3%}mIb0ly>xopmCmL1Hu
z=iXyiJ7k&DfACvG&P?W+|19iJJvn~He8xAfhFHc`+72bG1?w12i!$tF5(#H`$n_!G
zaGU#~yI=b`b8g=_zRh+T=eDI!%<hypn%sYSpW&s+uL%DX{f_i)Ix{@YxM#>3D{gGK
zX?T9SXNJE=x$J|myT4)|?=<ITV~#N3Iqt;V?ctYj@orH>$#%Pj4ca;q;SmPwHYBih
zv;H{d;^4&8{chgjMZ1stZAh4taM0plQ(+UUMdI=1CoE!jmCfb8zu10y-G+pi#DhBy
zHAQNiX_Dj@vT}-2a=NuxdC5xkC5x0*G)r2p2nvadxp{=XU)iT$9{N7BZ~dgu_b>e}
zr<$nCyLi_8>#?7oCRt;re(FVy+S1cYJXRV=8fA7XzPz++#}p|EQB~2;OHZ_=E=u1q
zp>y6#C$*|+mt7>(kLeY0xtuSvRCu&_M#qX;HKnZ1&W;=d!9Z!<&J{aSE?ls3e;|D6
zLfGfBCr_sz+4Vd}O~2&4h5nJIliV8<J~`<~EK4|Ov$B)*vz&_1E%lA>rWSvTLnQyS
zn>sU8#6T(C+o{@Rx54u_t+nAdBE@{3b+9(GfHKL2CB5QjPE2}mQ1@w0L(uoU|7|O)
zzvr8$#zzEf`^q<Aj>6L4S2k}|(~R^ko&41?tGRbm!lnwH1c8~I9y8?BUiBZZO*hU~
zc`v<wqRPQ_6OZhRRQCM+P|8!Lkm;?zVScIP%9qdAE;I_t%h~hv)1IeR_a+@;`6$LE
zd?Z?<r(ye@rpoR*{*9^U79DM#(^It|=^C@q4A=6IvkrUr2VE<k6LhV3uAY0%yvx$R
z=Dk1Eb7ilka_HB%2O`@ue5D>=Ywe2GFR9X(*DZXr-aqEdDVL3&;f`hw*X=D_#O)-O
zzn1-7tl_oAt2jcUdgAFj438u{EG=D~j~cigK5pQ4V`j6V)hYdeDbxPMRu|>1{@Q81
z;qJ@19-n5EBpdoX`_Ri7CjaR5(Qbb2*qR95oj(eEj+A}c$C+fj{=?nuTODidjrYXo
zrB&>&Nb_i#E17iv3{R&09LYx<XLy*U{?uEh{j4`k*qFf3%{rMm`Je$e_pHQEO^ISc
zw=O@Ze?I5$`x}vB2{Y$H3ny0RW}O9x-{^3@&HV6hGqZ5n_xI1A-*6V=S-&aa!K~I}
zyKE;3bM9t;5?A%R!S38fZJia`IrDqPPDPb?D9L|6aEJZVfgj9?2TP{2UXB$zlUt{g
zVRw!@tyhy%&LUX;gh}JWQ@@(#{`(!@EA^XsL)X-Q`VCzF)D!Z>-sCsP8NaRA5#HW@
zT9Ut;_2I(T9|E{eOY-0S@$rH#tK1@ow++JW2c6lq9%cV-<?3{CoztUSw&<taVaY@#
zWfkAmtL}Br-J~RI-Yiroe)y4h%;$Ssr|$~!^OH)5P<iv@Yxno?u$l$y;%gcfJvx1d
zBXFY5rBFe!msuRnK3)7FK{b}!b+@%E9aZwV8R$JtBvWLj2<L*CBJmHWh-4m_v?L<q
zRG-@%&xuiwrpVq*h+6WweVJ>KihK8yS<77wcNHY1eCL$>X>Bm`%#Q1hoN0G1q#rA~
zHN#MqfA2Fx)${&l+OOp&FlHQf4muzCQX`dN*2C(f!oA@(id9F0uWN<fdbTy}o7|&I
zKAWUWy(Cyv<35Hw60PT+9^P|#k;HP>kJ;AY8;!nZOq#S@Lgo~o%rZHdu49wUY%F%L
zS%~N!SQsH-AyQf3u#ma^Bon*HF>XE$9Z8D?1|k+Ad%I=h53IVUJ$KRJ)pHgdUOiWB
z#<%dIir&*UN<p&{q86yl5L>~yto5(4_K&VJ#(G_yk(<Mx1iP#+En6#BbGPHJ?+rI|
zU0IQ|))y)BMYVRNRz7U`%NFkGbA$iA|Bcn&Pg?fcpRUbVt!W`E>UYrf<LOm<lF~LW
z`jJ|&IwEuS&xo7V5!%mRthitq*i*bn;<=0AImgWN?EL3HF<xC!`HVTRM(U)tUH=o&
z`5vFT;#WNvo&P=RsMm4!$w%2kkF%d{=bzQt+Q+};!bivB?7BZ5l=}UA@YV0<175$M
z4|@HAx$}#-IP%LX9V)tm9V%7_J5<cRyfr<)T+(U9>w6C^CI)=H_p;>b&E0dB3Eg|U
ztX(3b=FMH(_l2|678v?0<9wpg&3C0MHo)z9*^h?TJ02XqZ+7&@hSbRWS2u6^&S5@#
zm+8FUf&Pvw<J6Z<4gF)Q9%r~PFYwP_o4A8hW-Q+naBJPesGHR(W&eClUnyDZc6FZb
zel(r8@~DMwS7DTh@&C3<^D^Y7%H7?Sxr%eH7*zQ27L8Y1%0;S@ORt~0w>bIOqIru{
zS8>`39lf9TMD+1QjZ<-~|4*$?+Pm>ncB-wj+<}VH>X7wu8+-%LeD@WpIJj7$Yu(RJ
ziy8J7W?wvYFZu49J>SLF9t%v&cQst1$@S;yJm;D7es>3b64@M4&VS*{<{7Uee7I!0
zc@HM-PMj#tl^e;UeQ4U0qc6S-u;1}rzq$PS?uK^@J!e&?y<=MRJ1K2}UBG<L?+mPl
zQ5LS(gLUS3>AY@ojJnF&yl2I0xm_z>&)c=)b(~kymNz*nDr$M_uRr4ti|6}T#^?HS
zZ=Q$Q<YK<VmV4&BuGLF1iF$MF^v-+lO*R+DAKk*gLuT1Sg}4i@Vl%F+(2cv`8aCtF
ziq2_U)a+t}I^O!bt9RsD-T?)=MR>f=Ta{A&*lYf#4>#3mlttQe*G2B<N(;2-j<_N(
z@bK~Dog3#aJiXyX&5S<Y^hb+ksI+OMrHW1Y_T+nu<B0=&SJPf-n4US;nWXl5(Tpt<
zG}LrimfAkFHSAs*vY5~Cc1IG2zlgKMq93;-_dI%^{;_NS!c<Z1x5bhEMY-~iHb@=M
zGQXi1c!8HY`hxI=uTOq_{(QpI^r1j!l2Q7ijAv)66|MFpd}uPVeQ;HN!Sa;<7gnnM
zac)oge<9MK{)NsA`x3Da?RG~KuHW6edCkFf0UMYfo@Fk}b4++1)iC$<0Y5HbmNJbV
z@izyuau4#g1~$!=<|*U5{m)hM|4XfiuXZoK6z!U;e>n8Lv!8LUd>L0|dx}E;yMr?t
ze=)S~Vb^+cF;;R;?&9m=h1+`b8c(L){vybKCZVU|`!)4fu{RWK%>U?GyDHy(cENPl
znM4MOcNq+At-Jeo)O_lFSFN;xIjZpA+r@qd``lDG%UXkEYh8RwuS__*|Kd*fha%TM
z@yX^)j!^fj+%nmYx4WD#-gKX1yNo@f>My0aE8OQUX`P+@P%O$Kl6(7=LlHOMOPTv_
zxc|)iSJM6|ciK|sS+RV-xKUB0V^P3`aGzuRUe#WeQDSRXxb+&EcO+eMTO_eX=%~th
z7eh(=K%Zm$r>?Bu@w)%j<<0!u3uXzJEr__0aL`7F!Rf~a2D`Ldg^QyvoB!Pr$Wwg2
z|MX)vF4?@29S2(lAFy#LAK+~`d|^kUlbrdB>-@JnO!dl6N&VK&t~rxw_mUy@%J;(M
zYYZ3nbN^_`Uh`UeiqVQb&Bv4dG@I4td7jdUy7Tq1+d<Kz71KV}o@zb)!|pBHou7xV
zmi?8R`|jsLiAl0uHWnAs9~@j5Az<?6ZUwh)?Hukjw!A{08yjA3<IFM8H;UTmvtgnA
z^J=#$NvZFP=lu-adv3Y*>KQp*8fsUSyF7h5l7xf<ZY{5xH*@Z%;9jZZ<*to}Wl<uJ
zw`i!bs&siWb|x+R6L4#J!@Q}bv$C9)yBhj+C(VJE3MNy&6_f^ELn|qcWd`<K4p=6)
zF6fiU;`3d6waescW9%+pa+#lW<wf%$n-|Sa?RK)i3KBEEI3~_{5v=k3!etJocL)3J
zlFv8JO0@LIPyN-y9jr6IbXL;M_+TCO4_hVDKO6087OAy+e(3n(+IugZcKt0?yvw+2
z!aIkvn`D-7zufmg$|6LkqxIFceJ?Ct9JhTCbNb(|N$<S<ChNwREk3+>y+y3!_t51X
zUrd+JoVI?q&obA(O-o#KZ~Ac0y<PWKCenXX&_)YCEw!AtcP5|nY?x5F>t<2q;_Uis
zzu&xBGd-*1->J=Ufj(Z2BA+Md2cF5&4dfB&O!}k{aLf5(@g~1uUyD%jqdr$%4TDPq
z&xFK^7=yVBx3n*Mo;G)xRB6Xtv7--`YZ#pO>rVO*EMk0Vk;I>tOLATF%<H+<m^r(z
z-2G;b#xcvl63Hdy992oZcRnYdt~t0edXd!DV~16{>J0aaFIp|$x~{v~&i3`Apid%~
zL%s{$=v!trYm$?Du(xM$-pfp`>&&~h8Q!|!y75)*VNJic>m!%s?>f`9wR^5z!7RBJ
zH=EF}|Bh#xb;_9>zq#apX;+BJ?Cl<3b<KH#YH#aGt(1vMU$w|@y?dvXY@lfAtd(6g
za$T!ZMEDL{`fggZL`FZ+I^bXzTgSmy)_VM5C0D}k+Z+}(O?%jNE}uKR;K8H=VcYi^
z91MHrSaMqb<QJy!_!@Tqc}KnH&ik}x?zzh^b^IqS-12;}cv@g{mWW`*JDskF%7Hxc
zok<J)L^kj66=5z7xb^vBHz+7yY|#LxAW-`OoZQ+j&FhbwQ#vc_ifdVvh{X}V?xe6M
zoglpE&Xw>Byk$~e(@kdSoOwCPP4-#I4CPk7;%P#~0TEGWJawjh_Ee5D6;J8?Ae^uH
ze7D|olT}ssi)Wcy1<3C!2=K7DUv7D5WtDxx)bnab4&LlmnUnN@$x5<!Va2i&o6qpi
zP3x?CB9d13MkKB7(I*3E_nSI`TT>j{&gF6&<@}xGVD$g}L&K9fi*y2Ks?6lsv|~%m
z(x*$+W==nH`st7Ul^0g(UVW{ybyL?#p3bC6I*VqgbZgA`_v!xog*SffpTbnH#`@2V
z>rbNy^M5O@*tk=|sax*PTO22K{@u>oTNj5c?)xOrku<?Yq*-Or3`K#XJdGL)S_*j>
zZ{_=^>YwIgyxQWd&?U(fct)Tjsm(#em}OB<F2{ws>SNWFWgIKiWRPWMC<>(JI5&K=
zPMQ;vv}c8~n_aC_$48IWb&G$!u+VGE*%UunarT^>ZCdM%cZYGe7ccbe$+aj>45_?(
zlQ-(didNs49rxa(>E>K)k}3Xsb8Xa(Wvy>xGTK+VXCzMCqxH7rLDwN8rt91yk(ExT
zPk4PUV7)$nm&}f3Vb=fF+_U6OkFHs2Zh1CC`t!QVKb3ont<G%|_{}}N`9{dBchmh8
zzwzXVcWx@}-d{9p<?pSJePXZv?!6pxH-GM;x9?wFnrYFWuAQD(?Vj_#<?YQI+t}l+
zr(5zwx81pK?fXb}fzBWH<#&F&Pk$a~b+Jf)ZYke4-M>NH%`c~CPUkFNZe`SdSN4X{
zH=_?me~d(o|IF*wRsK+U;~e*)=gHOSIq#d^9=h;@DQC9G8^)WDH>9VZKPtD{|EUx6
z1o{74SQO+p&SCs1`ce3<j>6{KU)Rj*<BD_;In1<ZhHK5D2EJnlnKJg<ip0-3s<?`?
z&_krz85~VdUb+}oS_K|6V*$zTH?n345$0>uNaJuZgvXcBHbsZuZx{Xuy{WihRsljr
zzDa|v%T-cZWbt3VE^Ccvo2@k57B)ZP>)Lt2v5@^J*U|c-!ww(k$8vSWzbp!f`TFls
zkmX%9k;}f7nHuY=>QkrQ?l9V&p0L|PLr<jR|1&A?@c-|owEurtCeHu=a;DOZX@89b
z{-4$q_<#Fp<BW4#9DLGE8)rmG@O9jrZ29K>fp3#MkLcHUHg)jFpL6;1D$K>ly;VV>
zi;*#qhoi%2n~CAAeE%csPi_*py5jX2r$sZYe}nyW&@s>_z(K^|szn>a+wJO)uBWv(
zWI4%*9DT3==558Kz+-MlGWOdF?$^T^AhLqxE@#q9U3#3{kH`uZFI0Zi*D<x@|M{mK
zJ6`=gt|=tH;`MBPFTsz^r)&i6cjUMqx#H$<q(<v`Cx6GMBN;g|E`KHj1s~zp744J{
z(-N}Zb0^m0Pe;(i8I!K{9O>5)?UWCFHgU$eEgnAUrW0pGNr-ixg!;Pki26QFw@1Cp
zbBy_A-}=7!yWp?o|JSj${u_$_*?zhBF+|wTO0KK6#D@FX#g783X3y=I{KDU@-?FjA
z?84u+in(V0`96AFjSJi><dP~{%)LnCnV3tUgZ3HOMKi2J7H)BWW`1nLk-lrb!M$c@
zk*m)K!q&Q7l@feYzD@YO?8iitvXZ^UB~x~tKG)f#x3PFphR0!Rmb=R7_dm^ke159F
zNvU_pV%?7golOf9`dtj=I+JXKSeu=%uL)hVL7(yYKGkokzxo1ee-w5m+35u)^uFz8
z>i_Xri1qJ-i;YIMCb#4_ZBMILTcP%6W<yfFT7-eUu)v}DoOW#X!X=^K+wM=R-O75k
zrEOuvVI7IX0*At*KNuR=9Cf+-I`8V-fJJdU_Ts(fFBb~zxy8i#@Sd9e_JvP74#&>x
zjV)ijPUKkkraaHUYrYbvcB!437j^9NG^fUC&kofvuB+<T`*|q(zt%LaP5ruGD_#1v
zO?UqOVbl^~RmHYceabHfrL1nlY3GuA?k<pc?bNXJGiSn0Dd9K5-oCGbyh{q7UVE7p
z{&il+qfd_uLO-#*c9_lG5Ro~1UDF%pW}V1otL45oI!^obuKDeq8+%q%No>1*=%>Hl
z;pocwL9e==@p^6W*PR-6GGbB~xAUsjed=>}9q93TF57yTKl6JUk6FD*+4Bvy$EwTM
zly_~M+W6INpE=Lkp4H8cSC__3ay(qS==*<_DH#v)*R|hu;#SXoI4x?&)NPi_e%Gbn
zpRRCC`PY#sKb?)sp4j*=dt!5C=@T=Puiq*ynqE!i&{{3gC&-#|P)4!q<dm+Y4g6le
zkKD7~w8qO+v8FTWfjguMd*Ci|c-10_18qjOA0|(l9<R0T&4I*M2Ny~_n_J<kwbD$e
zK;zSc1}ApDWOjCuX*@CkGZYFO5+w>&q-XhSi^|Q5)3UKy98yt{w(`S=n&lrpn4~{g
zc;U_UsIzrzFV0q3zvfDT>e4oCsVt2jhpzsbT5+^#$AS|!=axsXFpE6=^FMN_-hqWD
z?SE|(+&y~(Z&1jJt&&<+H=i%-`uQoydc)n$w-;}mORVPEc05Vi_hWbBvhPQoHv1*d
z+I#<9aOch4mH%F-e!hF-Z{|De$E>qYzG0JHDjYoTTvw7<PFK>i2a6IGow*#T@itih
z{VaB|6?QGGfj*ZUMJ6i?9o1POeDna*ly4h8ywlMMfHXL}-)zxf6LT@#pwQLG8pxyL
z@G>EBQ^LaP#&1SVtn*V}Bsg-*>Xd9S;L(YBlKAkZoY=g_iH!kGi4S)!J#^^vDK(ud
z27y`A9<nY?lZ=aamCYX)@+vqqE+(yG;ks(il|Natnm=>v>zeNWu&F4DgK6(8W|q&Q
z>HI5xpM29Ob5)(wBuRYd#g(!?54`jYKF(clo|yFd+tWXbc6?7!-xjj?^7%_zX-V2$
zGjDVyscl;{qjRms45QCS#Jql|roBIF<!id|@}e0R_G+*xx)^?c(vcM5F48OzaBK6q
z-<$k`dv~4i>rQfu0yX$%OcXoXvqghValw}7^S&=<T$?v5>kvdNZHcSl2DPqrPG9<*
zzOT9b{ROk(`5^y`LRT|xznQ3!CgEzhQ!TLMY|O&fPZn(c%eQLQrx^=ZRnNTh#d+%M
z1zudTA-;woJ3Sj#xm)kO5WC{~gKF)dy%#it_8v%$Q~NHkTyw_nY_4aFvzjUwC|zxy
zqjc3fW=Bc)t*1+)_4P&9a=hbMdqHLLRc)Kdz2|jjt=aLg^wp!P(q9ShUn^<^Ug!H7
z$KM+L=u@JFy4$){<%KK1O9Zxc@9fSoC>PxFY)5zBtyj07>+o$&d)R$0pELf^!HYNM
zA1>R;bfdR^4#S4r(~<}5W*lW&#rb^mq8ZP*JDN1@&!@W@esAbVx}>;h#va}&-x$6v
zTyr^O@v$43foD`=L=GD*l9(oVbVH9uTk3)>>>K#g=PsLd0o0{{HweX!TJSElZKyqR
zcAb~S*}hH1ZzhyCoeP-!rbVM|`$C^urIq$x@}*x}uJ&D$t8(VK+G2jhVWatx4G;1=
z0uSEdJA7!%eZI?vS2v}ta+=9iU@Eq(NO#7mQXkdUzLlGNS`TT=JjI)))xLIr*rm|;
z#kF?NB0kjkM!uT5bkh|@MQ_0$XBM~^9Ff#IRaw~fDs<_iE33Vau4K3F4n82cNb`XY
zNAMlB%U6`=T)L8dip_WD!~CYgIc<x(6@+DD|IUz`xiaopZRwmP$;+y8EmeX=E9X_k
zzLZan(DL8AFJ)fSQx!kahe;M$OZo#Xdfzt|>b`GGeC?iG&li0@=+XJ4ic=4}#qR!b
zidWtBll78k)Q+8EZ1;Z!_4IC?_pg1%R<k*qa)ak@{Wiz!+N<;I_S5z{&dNIUQ$fU9
zKIPyY1zyEHj<fm?_7uF>TB*5{cYlq|Y5kDJ!T&C4sfjChojlr+RAjhlhPqP+)1t(Z
zhD-C}?JiD>&q^*?V|A9VbCJ&DxefC?_-c*nrZ68rvu5kAP%E#u8&U&#OuCyMK57w|
z!s)~Dwfg_cH{0iB9m=;A`Moy%V9jgAmitw9Z1;aL?6;e1>SMYvQRA8c_c4Q(3kLGW
zZyC0wvnK@eC%8V|wrCM!f(FZZWeq8YXJRY~8YSnIUoiC8J>@tsVfm~O2JJIb_hl@Y
z&a8AHRjkE<fz83=$)*NnV~sV}L#{oXb;`==y_!|Td$n5=BBdiz-l-KSS6y>3TqN3P
zYP)CQ8Re_erwbw<%s%y}*Om48l7d%eZ`{m93-8}{2)W3h@v&90YtIJ(Roe&#kGE?T
zN-dg2c1aap35_ZiF}F~gvTOcg_kHdfsd81@i>d-&8Q<I~d$pz5TB9uQc+<?=jvUX+
zYI+uh-2afid55#9;=7Kd0(X&S>qQcG1dl%G(_kxKu*JWpR*!80;|}NJZ5I@~PG0Fu
zGAa$YrM}m0e(V8v(}x;eNp686#$AhM1d1%(*ucMw{pddT_!D{$7E9&MwiP;Bp~AK2
z+x1EN@+x?_Zu571>2?;-l$EY?S@gpFNj0~v<C6GO-=<|<Nq)Ch<AweAFcH<z_pL8J
zbXRkyYQEfZ|EYd@z2OSAKSvvq>J1|d_DcvHis!Lo+b@wjsX6}SFK5$*_5o|`btLK)
zTK;`7yzIAJ+uCYwmDSgm2bJc_xh!0KIn{M}USY{AH{azNKE`vc>TD!q*Ze$LS~B&y
z`jL-|PMc3xei~vvAz0R4v;T8bzUEiS_tI`HYcAQuGCmZF{rNy8_TvMy*yju6yAFl6
zEx)mS+C$+_FK*UNOIaB{M`np(+N|2>mEF4c<M__-ok~9<>6DeZd;3O)U83@R$NtUP
zUeEKb|LeTSLrd@J&MCNAeNOb!_pEs_iuF;u<%|07n=|f|US<(LV^aIMXJ4Psj6chy
zeYItUY8Rt)AWv3jQh>Jz^O{8x39Xmr@$a7o>pgDP2ogcXLSDa@Ji5NwFW48e<7w2`
zt?~Qum)<wbr&g+|$ZJfii7<G=tXSh<DZg0dn)#ozIz@rL84_KlXPOLo6kYV}md)(^
zGk;CuDo$SKjct(zZHg^zKWAj7@6{8w{JSRHBw~Gp!0UwuCa-tqX|51i*7G$$LiP1d
zw$}>{c-OcWvmLdKYC5^Yz1vdqdxoL<V>#VtPf8bVahP1O{?*>aJmPJa42}QosYukA
z>we+*w2ogpTK^piSl9V}^I|ax#+9LN4x5g6J7>B+e>A;KO!;i2*w31$)~5~Pf36GS
ze1G?;!y)ZYmfqL<UUf})apIogJ@sW)pN<8`Zj@Nme8|ClehNd$A47K+_xX?Csqf-m
zH$lPb^OMg{i?+vl<vEx9pZ%0)6{n@M$YIGv66XYt7PM(ROVbVHx#*bbUvmFc+Mg9}
zy&EqtlHd_Nny^BH&Dk|G<<A0jqq_TjlNVhHp5q=bx7EjerG8GN$d5zQTn#JrH9B?f
zm)}bJS#!I@?MGk-Q~!?k05yT1K}EB#+$i6?!^Kol&vE09BTWZYITZCi8eZ=6zB{-0
zG{^KikAjjlczV4xN>*-EJ)kNg*5|!j(X{Q5$pfLkLP@Xnf4Nqizf<jXwly}pFr(%F
zw4Qs>T9OxiW}fVrm1MN&+0337=S<ZWf1j#+s!uezS^487rc2JT93JoWMJK#C`TH}|
zq%8+t>SxW)oAkK2-K$ZwmvvsNlTf8ek;fwab2gFsB4zeUd!I`Ax@_WCnfYky-212f
z{;g1(^T%=HWP7nQPxzfIem+h%_{kksR%hQG8X}xDRU^%Jj>ZE1XDoqd9!t9#7FPzI
zaq`l58(#KL0jhv)wToedYS%%nK&c4UyPxClFP2Z6E*9i#(X;4)`!^4f#}hSP1upDh
zo%{BD#Bcjkbv+@%eH|KUE-r@OTn*(HYkcUicMCidY_V{QzwAE?Ig`_^TNzjJvwMj&
ze_XV{_{|E97d?MpEKm<?{ipKJ=x2Xl)|Tcs6E)N}D0C^N2A;7B4SZl)-xDycs5Qfj
zZ&RE7*?$UwzCW5-j{Z~Fm{2!Cp~c>##>Y7O$7YOFef&XQ>x(bR8?qA$HY)IxaUV*!
zp31w_fS+wQ=ivkqx6Xs>8V}}08O+eTQZqA^ZE3c-uvYAsnQoTZKN^Eh&RC(d(QAtI
zjE}qqlEKVA$BvxvId(-vop+^X_pvKXiw$MZX87bD&G6Cvuq)8KYtF*W{gV}&makU)
z?J(Ujt#a3|kF(|YTsuG1XvC~L|1*IzrR<NvtTexm&P&(H)Xode`B$*=hL7eo#v5-c
z{w%t)XwLLA`d#v#Ib!mC$NtG|J6<6#Isc?_|M^S1Ta`_!&-3`sFPW0Dgg;qG;a8!%
zszOz^{GxDw9hdLVJM7P&6I`h_N7-p(v5VLl89^rt_b15)?q|}Ce*gQU<Q*TfxFR6x
zs6vYdTb7IAPS3zIQXU|z@mBHI^v@T>ueN_t?Mjpl^a%?PIqLFW<_n9(f30s#FHcuH
zeqAWOXvRaKqZNu=HmQ%ACNVsZKmKiT;DV~$&bQr336Ubgb^@-+a&;mL)HiL~f6_?f
z>WlD3TcM*aiQvxPiU^U(Yg;Fsxc1NNoWO0tk7h4deAunf^;5(pvxn&mOXq*RZExHg
zv#v<>H);Gzf3jB~_T}yGV!!ef-__OE&Hp=d(X0>YTwQ->CLR3a<H%9J?1#i3pRI|%
z>{I?OoOMB7<C?r!kG+6X{f`-Hm;W1P&&YB*9Iz%)tS6CUVWM5yt(kSD$G-%h|8lfx
zhFq)Ql5P5yKJV4$y}a9EXv>v5Q;xBLGvQbNlv7{ib*H}kt#9xr!czZoFthQ$gtV%x
zhMDuEQkN}fn|1S@Q{g<mlsU^MOFm!ec&yUMC~D4fv6odR!d^I?m?ruBr1Y*2g$0d;
z^Q;VX&INNkFqmQ&mnHT`IJM);d>zr3_Muvr>UV`KS?+KwVTro5+{>luJzq=m_+Fo!
zuX0V?q3UBA-|wEUPo8hQXWjpmbwb>)tpaP>4~6*4vj*ChH*K6}*HyV;p8e9o;=lXz
zg^K?^{PM0;=;H3ZI@hoNTk=dnPd7ZXG9+gHq}u`C=RZna`ek|7;T6?y%&J#9IHgM{
zywqmk{<qp*B>MmKkO${i9Jn9QXun|5#<C>_^@gqMBonVV{(d|2&-p@y#@!*-UZ#qS
zok>ibizJN1j<N{37&fv58fZM0VA}Hjq`fJNaT&+INwQ3<0^KV)TpZIYI9v=Pw7L!k
z1^TE(i|||q4XaeY@#l4Pcu?PKx#Q>2b#s?3F0t6LdXdB{an`x%O!DsE6I2g=uJ2CP
zF8O)2?#jKu+8?}PM{Am04R4kNO4V;_{(Ug6ScgIP&9n#yuRP~h_2>6Fw`Msdv?Vpp
zWaU4jB@vUh@Z`(?CuS|3bwNiYdm3vp2(@M||FYL+Y5bKytNC_{Q|gv9y$h_3$v^#e
z-NCo(J~sJ?w5*%$eRB4lduOh1SN!?<%(|<G9>!z@RY`A)mpB<;Ue+vTmX#<hzRrAi
zBzN={h2t^xWvj)uWhPpS-)d#+yKqP;ndQ>C3~`192KN#exs*A?7=E|&i7hagmcY27
z{zU>KSK@{TO!G`$Y`f5D>;I|K(j>s($FxKzLwOAg-sKm0_PnZHc4dO&|D{HMQyJ##
znx;6UoKj?tx_tIDgI)M^KlkY03-4yXs$w{Gw3wk$s+XDLiVeHKiS#%vf8U==Kcz1?
zd$dKU>r7Lnq%fcC1&g_zQu74owZ4_zxL0^vdh%_%4GxD>pZByUo<7JN)p&rB^_4ce
zq+NWL!$ZkbwFL_4MFEYso~wQ<d1je$cb&w7uv<Et?4_dlq%VjkEdQR(XKKCSX~Lo2
z4NoU9waQ!w@6(vmt$SSb+~Kl&FPw58)x0P__D%1G+s8KjDZQaA@jB0W%@-7CZz*To
zc6LP*zr~LaP5rJvESmX)=bUPFJCOLy>}<o~Yj6KHIDg<+b?fbwl2c2cYU%3x9#B+$
z9%-k#{ouX&W0DD{Yre9~c{R0JYqi7`(W492X|R>LN=m<4^!M9}-|KbW2KScDXm=2q
z+$DZgWuL3z%8I}<#k*V_uO!GgH+-|@Ti%v%=E_a^d-ds!eOXQmnv)v)SeZ+7Bo-t)
zem-Bj`TulNZ_|a58rO8VkAababin8OO=&CDOt_EEN<BCOgcf?9<<}}k6-&Iea_9dk
ztNUh6=QkDaeI8eOWlihL1!>zwuDr3znsz8CxVTp&UTf-{{V`gW^-DvF{$;Iv@@H$1
z{I;)Oja;7RPq8^3nzKw<a`wxdV`*6vH_lvRU^e;b<wCi{;;X;YW>!8cnpkOmLGnhd
zg#7hy|CY;~IMM3IV=I0A`Jeoq8FqS~a{jChT0ZH_(ffLz-wRAS{Pyp&k_wi?uGs?9
z&dGKQ)h@9PG=AQm#s2NG!5R5`5t%dg=?d~*7B^h*aMky@n<m!&&)XSYQSt2$3xEFW
z&jtB2KNQqI`u)I&JwHVF&}<F1doG3_)VdnY19|pz8kto%|9&g{jsGOhvH9OEf1OX?
zG&nu`OxWc>E15f?fj--!M9zkN7v(u_v8(IbZFg-svlXki?haW$_eoxNQj^J|84A4`
z&!%-2mWYN4dH!mj9{8Yh-JK8JS%>mBXgrhF>H5jmowV=ZA`>US=HDs8-!c+4g}?oM
zSmk0lyRygpYRd-xqlfIc(=@GHJ{aDs@iE@<@dSE3o>uWV@M%ukM?0j^zoWlv&TL_~
zsETb!mSnStQ9cl8!X(ogdG0=|MZk;&4D4LHtq(LFy1>ZS;(AQzfkWa82KGZr$(#iX
zE|?u?%s6p?!Ts;&)0a0`>}vEBWH;}~u-x%+Z&0)JeSOm%ADfM~>MSrQ>~%_(DzQ3l
z@}i_K^TJD!%ME)k7aE)|sgPv2`7D*8#7Lic!Q@IwhPh`>GjuHcY1fq9t8psu1utvM
zx02qpDa*T!XD?oDWbGlIT=p#B{eu1)k?y{#E4u$SoN>3S3wgDwL4LZ_-yfbUS2NW`
zJqu^OFw>4BNJohwDC0x(pF1z1%U5?Ya8&Moz;NQ8E|bC+MOQ|FUt1P2aMZ3i`IR9j
zb&cYL`2XcfESt8<GjYmY2>(5Eme)?u1W#bA!>`MC-P51n3=#G#UwGrC9(UR<ZpAt2
zpABccyR?3S`JWkYY-S@W%!f9!`x_rlec6~H{IMeEOt;-5M^i=pt|YOFZZJN?uxLj5
z``+gE#G~$(zy4OoocS@YeWhFN^M9R56%iuB&jeg6_eW?jFP8mQe(cxpA2;r&{Algt
z`YUwwh@y*QzQrG<Q#TIjXY8;2@su<5v~*q8^_LNE4a)sR-o_cu(>v&;bmr5MBC|cq
zoA-yg{xG~4_-kQ-y^CSJ+#-{A*CpTV{;RdHbt%vCB~KJ?d|dLT-{5M?{?>pu`HI}n
zwhK7@P(E|qq*>Pg+&_+BL`Ub3;Irgqwl^-;|4I;l6Jd9-Z&4w?i{XcxtUD9fxn3r;
zziyHZnz1RNUAlAwbF0FQhiW=E9!^ub(b)9EIA+7*3z@v`mK^sjPdOU0cNHbPx@2_1
zQRJi}AD4K+gl31`l22A>*M(&*Xy$w7z;1e=k*~|9U_rCgg3~rq8_s(dD0dZ$tPL*`
zS=^ESXHU)%<E*dZOOKh<MYgTBtP9PuX?D|*Jg@PEkNww=MHOv5s`no{=&C%HdgQ3L
z&C%}dk$*DVtT%i$<jwrqwy$31w!(RL`P&cTiVB+dEfKl%z^<sId7rOi33KaGuDqIG
zhJgjiufIKb%Y6Fj)Z2egeh5ywvOHewt-G!2vR?xAu7-b<x;)vtnd%bHh#a-?kn{Q-
zD&ar(c;Kq=$!}I@919HWS-fb*RPjX%4!_Zu@-16+o>~9m5cxj1l%VL*9*rsAR{Z@^
z5zB2IW$tU5)dOE}^I3r_@XT7xMKiX)b&Nb^tr=7#U03TSro2+^h=+!la-@L-r{WP0
zyJaWWpFh)NjL5o91<#U~&5hq(p69Q%f7|j<bCtDbm*1VeQX_4a8<a0Cl>6!Rp&MId
zz4Rt2xO4Vt^oYv@&QK_DNt|@x<CRVJr!^{et^DC3p1kk-Oua)kztb92nvxrqR9Y-Q
z+4*3G!uqQT?wM^rKVDb$*J$wS>7U}AT4Qr2<v2I1o6(^I9&M5mCneAI1fQ;Hw%Pe$
zV&TUP8o8HQC+uCOEx%{kYuV~r4KJ5aJMROzylO|3CL6A}{mb{{&AcmyI{&t%SRC<W
zzOf<0PEmfjO5%nSHm?1=^1XL0b7p=%q5sUKsD0&!wi`wJW=l?Zxt{mCt7MMUOO0k<
zXFHL{m42T&p1)kjSvT*y&9k|uTG{U2{TW&Ks*2gx?)>GuU8zpxnwI?$nwIA+HFxsn
z*l7DDx`nuWRovIf^d#Ycz|kefu7;;qNop-nH!2Z78h3$z(Z{A&TW!BNi8Na-nvpYC
z<HOv|`2jWG*5?1enQHrZ<G1h0r(%0&RlTZ{nR_Yz;wJvr)iT9*a{2blhF&~BMX<l2
zVrsx0hKY4bJv#)Ij`pQR#1u|4sod-ESZuv~#+?U!4v)W;NhuebPi5=pbImK%6WQtc
z%h5<_yXSu2Ece-a92XRJoAa3DO;{9N+j~1&%FpK{<564nYIn=4Eh6kkgJig$^%aTn
z*laR*%d>q^@{X11JCyeC+2wK9-j0*!xfB?E;(2b>Hu(^Xp$u29<T>8M1q(JVl;mr*
zIc%Ae8JB6WE}&(xd#~Z4i<cKkJbV!t)Kl=q!)QLslAo;mKU#V*ip^LgDpUT{Ks)_+
zLgk9tTliag?@tw69=cb2+UG{8=;-cm*AjQj-EQ7!%W~U!<I+q%zPyuZTYui4ZfWQ2
z+>^fa(M@@;qnnEq=6UW{a?x&kvUw3>dTWzpZG@-6WWBo)bN6(L^<6)tCnFUYb#sBq
zu2B00CL&QzQHEwt*8d)<EzG@ZcQpUM-$}=RZ+99_bcu2Kswm!>w8KN>u<Ig|9UQyP
z@BF&ABJPdr5!Vp;qKO)9-7bbPDqRniG;-`c-r3r3lka7^+P+w!OOiG4j7G;L3Dy^#
zsR#8t_I9{6zB(KzaI~jMBTdA`a501G#xvhrH~!5KSz#vwR-5GJIOUjz!}ptq_KE9v
zVQOf7%RaG59Nb0wRRA&qY>Cuj23tYR3ePvLCR`!w#cps1p4n6mvO39)(Mu`l!zCk0
zO$`gF9r~~M?-akA^7!BD9kVZ=l+kUFa_8QYv6wY(%S9&rTN#W;G@=&F>J?j&wwQI*
z%?w8A&P6b(M)BM&4R2d6GF{Et;$SMR8<5Mim{qf~D|27<{GVGc?uiySyVxLZmcEn;
ze}_7V>TfEjoHCL12)ktD^^1E%rtzO$d|}$NKnc^c;x^s~{;Qq6tbAq0vzK3keLlNn
z_<a6iQ0V>Hb;g9H-$M$Wrp?=RjJxXP%bYnAmzIYYx?NjpwCPJj!MPKcinm!Pd-Feh
z)T3j&=gzu^x;|#xW|)hfW@OwY)3P(7O5j)3lou;wMfN{)uaa#NvRyIfneLBz(K*-V
zeg7ZvitDcZ)P#S#zC0JY6F>c5P*&cz^-J${{@EV%^8fp#>+bw@w-or_&GSoqhMOqc
zuT+kVx|aXe0UL#HFWxx!@U_kL6Q9?;k}9+>?>I2u+Vjcz*xRj(b$^LJ_{Vf1p5X`g
zg*wJ7`UifpD(q)?D4u-m_w)U_$A0@Be0*ee=iYJ))#o4WRP{@lzNLOV+xdmL;c&(R
z!v@m@7XrC7cnlXwbO^G(IIMAWzjk}l>;Ea;e|o#x6Qx_9bbV0sj__=_dHQkPh5Y!%
z@+Bc1OBT(j>)6yWTj!z^)4jMrwTElBd77>?(f=ZGXHUk#v)y7KiZ_WRZ&hSL@Y$x>
zSz>o?c(CNfZF%s_l^aAID|3QLguFhGd*?w|>Gmc+jUByD!Y(Y5J!LAmrnAWRPBwG-
z&S=M6DYNN&ToreSmfQ%*E!WoIlC@9!S-2v{fNSxC<J=`)hc8PXbDQ;CszOIZ#{P|n
zO#BNGnfM2{?s7*h5jwa$**eE+hr#xWYjS@!Z&?#j9r7$eu+=mxSNB|G``fA<{$t++
zaw=z)sckdSJfxYP_`UDOJ<i*kH|Fy9|JRb<UM0rW{$I~`+l}Y_(Q4f*uV1X4chn(I
zN2<J3jQRZwoio9!xLDm@o!fci`^!h4H{4dsj7fR-T&FGlB=?5gq{Zr9=lkW%Y(36Z
zAAYvqexiccXNPwuYo$WH&IzZ^*VXI$seZDsB;-WlB8h*3sb^%^wL7PL)_z>4V%gWn
z^;@ya^KEC-Jl&qh7o3!I|E{`m{7J;+z=i#bW^5EoJ(J9<-Ff8O>c@2|$7g{ROJ)a(
z8D7g!ocO2C_f2xqnwKjsxCfqz=r($`v2Ci$uRmK0?I$Jsy0`unIQqp|<V$j5a<7<>
z=C|udPv%-*+nTy6{QZsiK%cHyk<WJpjxM=z-0<CoNgdpK?cPn6{eH`O)pfao`7Va@
z8#R{sFEW|eXSPHBo3R6Tr^H3+Qz<<wF0j~NH5dL9@YrIac<!7N-DZAIT&2~UB#w55
zY%Wx~nls14R9ZbrIS?-OX;H86#<>Q5OJ?;7uS|RFvZ}OjQQ`5<UG8nZ^>Z>lYW}|~
zukPfy$TD{tt4@xfgSfiW!fxTz$1WN^K66ey+|MuSIiVvhF!g<-lx|h(N`Ag-husN*
zvDNjlX&)4ppXOPSy8q0B5}DJNmulpxw10AHZnucd_>gfuBBOw9o3pO@f{e>jGP}TM
z4Sf&eKlV`klUMVm^8C#g4zN$|3UrzDZ~c{{?Ef`OKOSt>ik<c0f!AU${*@(?hn%%z
zXMNb<HC@qWkCa4&RvVAjNrs<CIouZ~C2mxGm0-W^$lW*W(|2@>#6HS<?{wCrZF=69
zUYClKHg9ZpB+vQBA^cfs`n1(s_Lw|eI%SWR?&W?br)BY-Ek3s+@|yO3nY}Q3+4o}%
zcE?&KxgL3&ul4$8NS}Q7ve~N(+de%$bVEQ_<;S11g**!_Q?}MxX*~%K^x<)wVtCvs
zLG|F1{7(62cV#Z$a<@8lM2zce>=)M_{V0zO=Cucf-o)NM60X0|j=Ad0-MB4x-nyO!
zQETIiPv3nQK7VJl>FK+xBHyJc3zk=3EZQ!v3!=<#bHXIT);@k$SX}<!>}TKZ<x_VD
z&M<l>cl8&Kh}FA!Qt!%S%g;aAxm)7-FP_X*yxHP!uVvUQ@?t8xT3u&ZnQbB$sTpa%
z%4_ZAiW1SammfUL+8nSr<HMGogAB{`+%^U@|4K=mRduaBbBC`^?;*Vxmpd+1|50kZ
z6g}<p>dW_Ubv=5qV!|UALlN~;Gc@_agtSfXiG5f0EG!AR!5`>j7COasjhq8_=Txcu
z{#u_tQ(4hp8eNjvfyZpFZI~3X@?+l)`I8%$E#@)&E^2&jkxtXRi%v;Pk69<L%I`D%
z{j_$W@s;VeYcKs!>&dFQxG#S0#9zU@OnUFT#Q9ygl~%-AACPa<c&03Hw1#C-Po*Qv
z8kHvf_kF)p_IqtD4_W`OXugY~zk$HfKb0MuI@XIud9bA33s!43YSrsl@atI<$BM#)
zW8G#3k6oo_d|bqP#-WGFc-74VJy~W3i)Znk*)UN9E>&@nE$xP%#EihRY-u5z8zryq
zI&fwOv+-T-$IEpMnxFCq%g=ChJfz+oDbUzdP#`|TF>w*Iu|`Rwr0|SF4fSMWA?XE?
zSCYhBM9i$3j|!TseRx!G`Qjw|(&P(C98pXMyA?Ack31504v0wS<%{ipYn5~T@q+5t
z$>JyeC{ECmxt-K;JS2@R`n~<OORWO^t4(q~|L_ubvRQa($5~<RSdNcNvQ8ZEH8jXj
zZa&Pdc<SiUycNZNZ}84~-JZ9jU1ayeI8ZWY%fI(~yG6xj(~6>hMV0zdUU5^Wz1*&#
zc)8zM=W;%;*5#T#tG?g+_ju3A?O!%^D)nyqZ1!*8j*ksB_vP7TemuXhEj(CWR+P=p
z#V|#qi*t^_d?)`8FWHk$pPhGQ?WMq5TG3)hBg9zm874b<8a!h^t&)5#H^5ixBfsr4
zZ~dr!Ulu(DQ7sZ5mu5}V58Yg|a@JFCGog=5#dYJWE`9=0o90e{Nn9=Cj{jyK^Gln{
z?vCx3&R;)%bIn_EzP|8T=dWk}6ZCqu=6Ep0Du!A#vh4D!-u&hJRI~2=dk*OQ*Ol7*
ztbg*^O$lc<F>E%`{E%ip1$`9A`skm#dztfsepYWet#IeZe2W_@FBetUH;A}#?#nxL
z;JPkH&0T{BuiaSpEuZ#Ra>`+|S$voLmA09fuCDT5_bhUXL$Pwf{e)+><~7F@X3K4m
zI>=b9^VsUMRO9lH-s^kc&VC#ta&5)Qtp*$TZhyTY*Yj5KM$w`swQP$Wwg>IDCMC6A
zzwY!fW^(_-XMa{PtKC{2`Zi3~qNMMqsZ#j%1>u|<rkiYTo^CSxu=)v^(=4Y=PP2H=
z{rtx3N*9x(HrMWg6{%s@@|T_B)VQ<tqgCT?m-WIA@^7Eix*Nppvwog~?VPH-T&1<@
zk^CE$7cD%vR(<U%sXJNs)eScL?AU6r`=?;e*UdKJ{(LL#&ZKlD8O>ZY!)KXB@ABo&
z{yLIBJl?dX?%rj)iodvj(TqEbH9kz)E5JI>=((e(4*QRc9D6C&Rs8ObBF0>cW&{c>
zHRQS=eDY8K(KwgI4qqQ?bR@Y!r5YxAT&cIg(yFz4dhh!q;f>-KH3Diaz=lAYwhK1=
zRXFtV<c&HLt`PY?Xk%BwD_LZL`Xbr+kY+8odn5c4+8AD-q9i|GZ}P-`r_HZJ?w?ub
z+`CvlZp%e}{aY{E#dE(fCyD$GIO}E~viTza)toQQy)JO6!`)`T4wfBy(Vk`YYvHWk
zx)o-(Ubz3C{KrS^&q_6xdJVBZkp>UWH!;?WG5m8ZdAd1rbBOS))+BIxN;tFWLeIJy
zz3gdOPMZVPM2hu*(X5M8&!?Xa^34$IGCkd72ttRpxQD0hdU0xsYxtcnF5v<pp6=lR
z0%qse#%P%AdNfUG*Q2uJ5?02^+b)WV9FvZ9Jg_9Ugtc|yVr>l{x00g|?E~j?Zn$#l
zt~59Iytt)eVg)U!t814sUlaI{bhi3a%(gdoo%8=K2xSdilj{A$i#^K2LAY&Gx&FSE
z>3erSohD|%yZgh^qcbOXPvzcO(2&NvWbvEXvK!VltZQhf-}OmR&mnwIK`mo@!aEBe
zj%Sin^`t7&mX<fztiGhmDjvEk<H60TdMXuuOBc>~9=2=3gUYK1B76)}6x`aH#D#Xa
z*|hz(Xg?GvHR<Le#hGh=PT(n>=45ooHO|xF?z5>ZS8m2yRLxt#Q~z|)hBJ4i^DFm6
zD{B?6H*`;xD{+vSdQ)hP+KuFyE~!DE9)$~w2tKV}xTb#RteR;5(3NhL6E%ZHJ|v}z
zI?r5`qtvPyJcaGGlfS@!@#Ov=>KpSqv$o`Z^AI__cacQDi{TyZu7`0NoWK4Dz3G;h
zUB&6{E@Eu8Xog3NMp}tW<NmUe1?ozS@qM<R7CW0}_1X#@J>urlSo_Tbtm<Opqz<l{
zr9N(~ugq4cZIxrHk`er{sF$PSq8rPe3}q&P#T^Y<W=acY^>VC8Q)bFh>4HneZ4qSL
zChW!%vRRPvYL1J;){AZ|L7!A>_x_cWo#-byagl+^#6<>iO1e@mQA@(kZ9o5g@rD$a
zu0wfBK_bVzm*hz(xh6Jsh_nU@XC9m!e|S+}@H(5lJNlpf(cCZp?9b_Y^~>W7G?t%#
z@zQ6>_aLRctJVI$pIv`2;o^xu;tz{CEFS*<qqTWe?f#`FzU^ON^gZ^HL8#gF_^)vx
zrj!2aue+uCo11yYoxO~wuXHzN{#$f6nt7#h&!j88W-tFHE#$s%oTXcScb2eNE|-19
zVduL}S!o8m8*a>>QonW0`sJP}3ug&Lx)`2N>T(nd<k{4*X{N}p$`4!)%^z92{NFC0
z!)Np^{<IZz2i8KVK*(-6L%;5(hY8CU{_v?e^-}Wnm+Q$QpFw*IE-eBr9&1>o@q*1-
zmupwzLxC!$x%&mHpFEt9btqAUHFvZ7q8W)IM^)Cj8g@TjsB+=w?7~yC+>hU04w+08
zdcH{F_ac)f&I`gj{@8C6w^6#sy(*mhO)ID`+!zn07pMsQtUs-yBtFYx`LDD`GFd$*
z<F?Fr<Jt|PcnlAvT-8xqon{zm+I=!d<xq-#l+U+CM?qAYwIWPnm9DDVcl+=8sm2FF
zgwq-p-rzCdR%1TXbdq871s|JwYqZS1%=Y7*`tuI$KPPwm%yXIX{#gIdb&Wsu=2yHk
zn9seN_j6!I_s_gT2KRf#KFg-rn7lkV?Lq3t&gZ#3zdS6@zxe6%>wCbZ{m&cjd`^p*
z_*22l{;|n9yZg4YYu8oD7j%2r2k%JW^?F}kQ|R(+=DJP}?+aT68o73{DU~>0x0~Fw
zZ~ZaWcji7Z4^%@X#49pIkFmyuWxo3PbSfKLTwvy_pO03RrYy*qUbQUo!Iff51GfhW
z{marfOzaj3_-+y8Ap3ZUaj$+m*SqOlq8nbm@N1|x2|D*ZXUUw^Zl`ui6^lIlJ^Qi7
zp|;>{d2ieWo7jI|*Il9}mm0P1(x*!<f<Z;nHUItoe5pQNb?M}@^=Gr@ESEVd!@ktE
zslL3i?d6^e1|t1eG!HZ0t;>gU{nu$8W(=s?@57XO*W~JwqIDONXM9=I>Zu<EraA<D
zR;48dnQ~8F6*(hCS<t8I;-Mwtx*$sBHYZFXBv&)hNL7FTob3M(Kg;&`D)lThV3Kf7
z++z@ClCUNs=G;}y9#O931xDw?gZY#54khsLm^oU88{Eh{vr|lMMeGNc-sh=4aiQ-&
z`(0cuQxRUeuwU@^n(T7_(uFx+v&7TF<0Dfyt-hMEd3EUf*?oqqUe(?-bPwHIze8Y2
z*BR&B*Us^wrb4UNubVPIE|~X;`o??b*KOiI`DImw=`0cLIkK<*y-YeJv_}2B>V=HM
zpQ3wj&u{#n&=_2Ar9bDFbLM=NzYm#sFIO&1)Of4;+MV0P=Xq<}!Y#|!$m?G)m@6*T
zc4^+Gx}awo-C03XSZ$Z-=trIUv}h@aYLW<?mNjit$mS_aXD!t+6AGLruA5qUF$hF$
zoI3#~an<USlzD2YcC_^=Dd|+j%ch-Eo?4xn<m4XNo9Q%h`I`Klikh#*^E^&fP36{n
zy*1SPRFXpU;%(O+%>f<WYIbPNua^0{*s}f})6DvNO*8B7UBj%<z4v$ijCfr-Rc&=#
zyk^ecg+Vu}l1=4vCf0ICKXK*Tc0%7TGVpvkbHPatAET1mS81FttdcC9ultL8FqOo4
zFa_LlzJ6XTW5zjdUgu2ySo`<|iB|e1JWFj${w6^Z#*}XtzMeK>j}4jJr1!$Gr1GNE
zba7n}C4PisSIFiIOJ}v}UDYYsIFV!5o{WX3yTw3M_uCej#L8=q;q0**B^O$q*kff&
z3Kur7TiUQrf7ikV+|#Eoobh_9UdlT2(6uwWKjie@is~)~5B;eX|2=6s<F&Wn%-7O>
zGhgTX&3ql6XZNhCc<Gt1)4XQBUOUz3`t4OeXLP@bex{^fbjG#LusHC1Ie+m*4j-f9
zyFb2hK8tGWpZoIs@%pU}0t-Gn2{c@qx3g}q!{L&+3<<C5XTQ$EVtVH5?T01T`CgLD
zy7Yg;wYG)Z)7Raf>iJCT%RiG<`S<3tnLg9gk9zlM(NhrBBvCj$YufIR&3Bg0da7q8
zR5)E+x4iOV5s2D2cLGe}s@1z!JGfi>b_a0mI34?G2RCa_%<<Tu7dx{5+zCCuLq5dK
zyd-q5{7$nsp<n-<=jDvQU(U9#>)Hd?o^5V#*BrHcy&<+gS9s69*HRC@UI;q)T5)O9
z^~2t*>)WT+w2S#!@aUS}eEoLnrt8*Psq4$bPQ|@n^(<Mx=-?)C%Sp-lMZGt>t^AAS
z{za5Mm@)T;T<=?%ZHpi2OLcfYTQ7XR`)BrN&FJmley)yE`!jXY=L=INeO_{T!t;YY
zGw;1`mfZ1Ib!ENOFNu%sGvYhC*-Z9GrML87XgKslXv0PM(>Fs8ZxozcxWHyZGo!Bv
zb4I|eVxeB=rpd3C89H^|5&1G>;+_o2likxml&3xKX^)5MM|zgbN>#b4qr7oq2S^wy
z+xfNyCb9CG;iVmtD_==uMoF%mQQ@W~cHBdQL$KG(Jk*CxS#<Zri80)6T53HLyG|x9
zDG#4Dzsmoro_(nNuX|Y+`M1`@Pfb~}>bs)(t>5bktR4z-xwvZx$Sf34U7E#zFTS<F
zWp8iZo?i}I8`PtU?oWyc>G@Nq{myMtYuCwV3+(TyA9ReKRxJ0*-<g?VZsH{6T2_w(
z3=B(a{a&4SwsuT!5mD+|D4_B7b8x-nElw+C8P32n9uArFSO0o_!S90PLywLmHzu!Y
zx!=(<9~-Xn{3!8d#_>HFm1nxAfheA&Bgv~G<$}+Cnvpf__zjOE$#Gk3p1Ga|QOC-h
zU=ks(D{}7GTzk64N={n3zt<-H>c<&kZO;rvtmL%WeI>hW`fE1?$^8zvI_tPyOy<2;
z%AvdWgx0=r*FGCtyN2uLo5!J(&IWXR3U4XQzH%>W&Sp?ArpjzJ|6iA-mTUaOx|6pk
zJ=yFZI&06blBEsbW_nEypT9OrILdIsxh30`l}$vJ30`>j`vl8^+;_~k;!g8j_;+s_
z$E%l?va5~jmoW!U;kz+)7RQ2Whu6`+-EYSP-!+rXUQ<~AYTLr%+l){DZqD`J^S#9F
zgxMmAZH<@aefoFxTIVeZS!urI8odu&-f6DSe|vx9R>s4g$J?&CG@8mqX>^+0`=1*B
z(ZTeVTc+rZm1~mjO;hNqjBe8SwXJ1e({#HJ8V;HLGyiK&o)P)tlgFxjz5W)d#mmHX
zv#KsG0#Qyq0l}u-vsOi32|2q+O}aDSa<`c2-i$yHb<?i{DzPj}HmgtC^!uxT;zfa%
zb%U?CG#+4Rnd#;$@?-{|Y2b^1Vv!Xu4YqptKU#I~sNZti-=AF;w%HvQmWpAVVQc@c
zWbc!nb<_WBIlUo0_WMq+pPxTpHTZepSBrkgsd@2h#J1#35-8<eVsv=!SI78OQ*8Ig
zygd9?Hcjnl(~iw~FSMub3;DZx>Zj+|wVs||AAV~7{S{N}_eb{j*{{5A!tJ!ad_j`>
zHuq%f_P2jHPRVPhbFOq|Y$-Zl_V9t{vJ1`g#e!cP3*MIZDmY`OkA{ir^Usx<mi;N3
zmh%;}Pgv$Wc3k;<5C5t+bMCe6c~R~>EBa=2!BwG(r4O%KIRETi$-`Cb&tUPyiK*a~
z*@GCRem2`SY5k}j9~ZTPC{FgKhi<0a=Bpxi1fD&_E8Y3vShrZ-o{Wbe>V_W&RH8Ra
z_D+v<-YLF3HL1LS8DTp#KNW1=U?auVWG#DV!3D9rJ0gdArG@WYcrUl@qR)a8PcIfY
zZrENYD%qoXCO6)7_m#+rQRjZxhYH26y7xb9aqPK>ulqvJ9@qKmcz<2dPoqEl(~K8|
z=Y|<~74BX%ahG{RWY^K>r+4TF&o$Wn*;{Bu(!F(C|J=LycfzWDT4u$Hm-u(Yo<HzX
z<7{`qIcJg2b}4~U{f!OtJm%SMnPq-Ec)OQ->onI)l}iiMg);fGuR2?4ZBg!exlp55
z&gr}7)_qUgx4%-96^#;GdeQksPWrK1l`gC0E(I=>4fNr6&HN%zrIh^rM40W8#ZIRe
znN)GuPTW`>f}&v8`D0&o?RSOpzg%%)6UbEm3-6Ox$@~rS?W$XN{L5=s@BMLGz?6XU
zuh6rX)@Mzt3*G$Xw42heuZxz(>qmj9ZNe~#)lu&IA1}NA?(8+$zkR)R?Otz1z4kZU
zE6G|fA^3OK$p!J-rC*A-R=&7i`sMcg><`HwEEjIyulD7I;rnLI8y~f=XGFDpwwUk!
zo=bYp`Qks%ww``jIp^Bq@~*%r{{Omi^~=2;8FB@t^?Ovo#-$eb-Md@1VU`Vx(B4%&
zYMMXlMP*LU_+z}>-}PtH<>iZN&b<r{w0k^d%KqraDX%Ym*E;*DcK;=v`}|sGKmFZs
zN#}k)h_U06&VBz?KTpj3v+;>foa)KQKTmq(dyhThob~I(OS@N+iYDKueXhN7JXYi4
z3Lhhn{cn9aCz!oYimp@mdn2sF$-Q-fLYJdRpi~EwE#syB>{gAEkc`MFY>OQH8@@b_
z{yL$L>upC;m4?^i_6x!ae_N0ugz=Jp4oa;0H+-2K1=6A0ed&eb7Zwluo#4pn)5u!B
ze!=-|U=yZ*Oz26?_br+1);5XZ<?=Ag3Cm`QcsTbv-s%=xvNr=vDY_}FoyD4YRmWp>
zn&Yfq7lpU3+?V3EfT<jH7N|tjR=y?H6WkUuXNp)&c;_&STh^mre#y(bY$nnV+`n!2
zQMayJ9mjI%i`<S%$6w;>7%zR<w?oC_|CTJ?OGoZx7rcnd(e>DW%G6<2obz;+m-g1I
zFY~QgU&dRpzMOB(`f`3K*CqS)B1`H6H9h`s3YzdQbg9DM*Itgl%%?Ve$zT1`Vb-6G
z3chhq9cKMWnXv3)gpEhAyyTLV={%RNM6+eSdaQTtPD=Ba{dO}LGC$Yteae2x9~`<J
z8jB=c1eZ2yfWq?&C<>MexRx|)1PCeo?EwYOA-OI@fS&=01lfw*{UYGSv}FF(RqZ7q
zH=JQvglUO>7AOj?I$!kVU#Q~n>*m@j5BFAEk)vM%rnvDhRCoAg2@+XarLpU!;uIDS
z`|YP1O|C9+kgDQ=1!vqA1ztlYScsZ(U&>Ko%G8gV@NE$*h)T0o1jRv=DfgvSr3!Z&
zO}Jz|7GG#AVZRjf!lCMqg75oP2@{r;>u+JttO{1TpXBxa%i>I~bF<l(6zVGVJq!OY
z=#f18$&34;Yb|F@s0JndwNEpuo+=fZ><^saAf+~0=CAE?nZM!7xwq@Dm-s8bT;lI<
zFT-E_Q<J{PYae}KAKG=Xex1nT|3R8=|F;J9{EIe~cbjZ2w)o^q6Su>@%QB?8j|Q8R
zPSl)bbxg!}Zfe&uy?2lLcAi}JLc7M$@#3@I&b_Jb7td!ORWAuSp}t7MPH^c#^$Wrx
zfB%HlIJqzV;Zkx~JwQn0Zxbk-kwRYN?;(%~G~}BW#a}@U`KCqjcR(Vm+8521bNVQ9
z_s1u*->S7UU+z_Tu>ZxWP?wB9mww)z))xLaqIBL}v7<Y9RU3~z31RBxt`!rWlV{V-
znbmVVZcD`**KQCc!^i)4W0qKd=;n$wvyLX42|e5>t}9o4@ezpHJXZiFan;I3&Rp)h
zmCd{in=)Cs@`^hTKW2Qqk(g$nuXy=<vge%QjnZv>FV{}<IsWC^(QPGX)^AC%S+?Dn
z@4(|lrc%PL>klr>-Wc2dw(817ZbQl5m#zKH7iY|KJ(}_7K~V6S|AnWH*%zETR=<8q
z@Bcte>HnL9%>IQh&H3}*>*kODTB*rWDQS=YhkV{>_%r$Dj6GhP4S%03@LBBmS*hlW
zb-@Y0oc?2Fe7DVNeD0>$Ejwo@AKX5(f934m0gD=Err7=aQ=V*h&xM~$Z)$(DdDNx(
z-bs89FBMIlHodJs_|vK_KJ`~PXZ|}SY?Pb))5u0`=KbkaMZY&CEIPN}?9c21jcxz<
z*B_9NZM5IPRG;Jecw%~MWr;(h{VJ#W-Ar4mEB|n)uR5BTX&(NV=c&@`dkM#H@IKSn
zGQnffKBZ?{E8B8R&jn;D@A&L>c=tq}xVP4~zGvPz)*j=M;W0mxi8tH*W2XA1Wfzil
zzAQTGq#p&Q{-#Ch@a)g+X<Zem6LL05QMz-(g>EtKy%`%p)J?w*sKml7S+PE8?%(;(
z_pCVVWLN97;e*G~or{0Hyj=J+aCXCWmZNGu+Z>O-hOUvdJG$!Z=JiGXyPOmq+(n+u
zczrJK!XY=^oJWVgrkQ6ac8fik@%nt;)k9{wInSM}#cZ9pGc|N?Ij!7coM)w5raX6-
zSV@$R#9T4u<(Iij*p6&goX7YvN27CD>pgdweJWo}u3Y%S{MNN4il-v<#mf~QOj#4_
z4_%YIQFQN{qqb4OuG)+JH?4%DX9+)?vUvk+W4+MEMTJaZA{JAlP3K9UsrmIl)Qa1N
zCwke%_B96l50f&MPE>MeHEHnYPQ6^bLDlJ~8RrVu9};?Mfy>U%y>TvaH*@r}K()YS
zLCZoH1yz*ll}Y-pjDMaupF#BMk>wh1n&cL~{CVS^<n7%FyA2}tGTc_1UX}8-;Z^3f
z$*b7!2JgJ!aLxU{S>u}7zfMT)shRR`hR|ne$gJUsTkhT6?aNfHoNsnydWw9wY>~nF
z_V<#4Ro;$#-={j4cb?;544AT!QQ_A2$Oj?Q({iTAnU+j{(eoi#C^cuk(5>&8_d}*L
z`P6^C5WC3ukiX}YjkR7G`)!x)Uv?_d>go~$*{YtGaa(4*bL|FEe1?ZguIemXon{zo
z+Wj&|<xq)!l+X7?M?qA&wIWPnRp|`7JfHXbw`@K9h3~RD)8)GcGv0|@?&iC^)ZpTb
zKBxAV+(*BBxVv%r!ey$<+Vdya%9{Ruxso~H?$Z0p)oj~(-teDQtiF0eUHI<$z}4A>
z=d5zBC%>L~_h|lPS@zxHntAmz3aYPG|KMW%?!M;2nNP~$Jf824I^;|jlr-FxT>f+7
z?|VnP)OO~-nf!a+>ApMhx5XA@{acVMIz?icOW+KxW6S{?CPke4yX(gHn0-6GP3hyU
z6<_MOsrm2Loyl7!MEv{v>&E&!GpgcW^ayylN=i>z^!MAsW^<mqs#eN8vK^NsJ_MF>
zzTM6*yJzDp-uSJjV^_&8oyDB$S(PceBQBCv|Ju|185$KE_4pg1Wb*Hji*>&P4$oed
zvSQ(dqmM%F$LR2x@|jm$Y?I!TahNx5%R{z~z#WdJ(s==A+oVG_KV&l#YK2Sb-zs3f
z&3Tx2)y;zDEVDZcqQvtg%6BB5*Slko`jBnA&LLh6fvG$7?kKeC-6`ngF}IjfsdwVD
z(=0yS7k>{fe^|n2|He4}M`N7onTPCEQ$M_1kttc{vDfPdPfmN(DZjuj)2u@H!UxAM
zQi*)_7go1serx%k{zzaRN1wr$fZ3H-x(xn&cp)lPS8;}m*WRYAwM|}bd(xGM>{6op
zm;B8L(a~Yr=s%l-<$Jlf)-pqukNfsM7C7?k-P9wVmgm=M?&KBPw{unf3myK8>qYpI
zd6xVVV0LBHGGgmuGDzVOVNEz8p}}P^;UKS9bwRcFRF>_#GFN#%?O1sH!ZTOy+_)`Z
zN<cXy_^i|HEU{Z5n=c%5Q_A?fsMSnA3QTPihDj`oV$Xftw(VW(k;z=Sk56-$e_Y*}
zk=wAQvnp3C)x7u!H}j^lKU+L<qU^RjSvFzXofDZauWYhkt5Fe`*7V%9#q-C(bT6xb
z_aALNCU5!jF6P<dA_aNT?mFv_kHb|gJFd&<7u_^DYg1q#$fqwbU%Zg-uvu5T^&j<J
zj>SAS{sKE1O&W5K7=7l|?R>V6cfstWkN%sQg5@%z)Plv2>CUsYnO2dMd8j8{qF--$
z(LzU^csmV8oo8o{I9}S4r)bJPgDvT$|Ni^4p0!l{@-?;kot`GZ+7b}Sy171$E$|F;
zy-Q$PLV31d!#t1HeY)Q|>K$KATj6#)4Sd=GQ}&yQ8f{4~hW!dnlRWD8+4`;dd2ylC
z)-(G$k~+LZRL<TI5A4xjB(X^7sDS8{Z=C1KQ?TzFuI2hXH*)z(wG!|tM@T2lIA@+;
z_kLB;8!uDMvR1jxtHpJ9S6$o$qMUkegqogSw<@wM^z0^W>CPKhyQjtO&A17oZu*^o
zO03S3-Q71k_BZG8zF6xrS;O6G!R=>T9=}TuH<x_#s!VzJA_M;2ySy!STh)mc^u_ZB
z)!7F8oO&<8JN*Bu@1@7j8$F%QbUuT7&$9Onwc3{)e{EUCY<koE{Z7>tYpdc5cPz22
zJFc(){8s$@*EJ3M<X<ZI{aVO+?&n46Y~7c3hQ7NrTqNzA9+_&?o@Fe(`NYa}XPmFx
z8a4id7w@m~dG%gv!_gPsr{{ClR(cw3)YlJI`|-4T#pEy1*KIRf)jrSL<0M?;AGwg{
zPe|f}Ro}OWes(nYnV>ks#?xuTk7Jp3Qe8(68Ps!kwEeuSBJ*tF(<^dc3#ZF>dbT}c
zkF{ky`b_umLE8hR+$&EWEphy~d$WO)sbWt@Qi6*Jv+^Pd3BjWWIyBha7Hrvn`nxSk
z(4R3%4!poy79~>BJh$!AywLlzOR=9awdU8pHJ3w#t-xp0Jw_Z5v~WxM)$ie!VM}L;
ztaj>;yxKi2bZ-WjQgmChYF29KRh`wV(juq!x~zHSdU{pd7BH2go&uE!-P#v={Oqc~
z)rHn!ZzD>-Z(J39$tL^ws;CzcrYWISxASI&{+znOag(V1$1|($7oJ+RzrZr=srYfD
zHF8VNueS<_{Mzpq`88DU_^Ll#5p7qSCBiN?rCwdWKI81FmaW+VznYW7)>he<a;^TY
zy+&@qhN=m6g+CqkdVfprQ`ZlD|J|=~>N}ydgr&3VBqLtBC9s9<T5ry|ruEP(<1mdi
zcEzoSUTqH3SQED;$u2_mw^-Q8ZkaWc;(1n^h;_Gz{*p3SbLsfW4PMEoH+Us)Io*Fq
z<?ySk+td@T?m1ch^WZD?O(ssJioG3487?Bu%8MkV1dm?m&}eg8u%-Pfe;W3@VY{YI
z<F(1v7UWY(z@e@2Hu39Wl&FKvSUG3%ua;j~w86_%Gon@Q@G5cLHB}c6fheb*giurN
z)vF>SLeC!3lI~2n+AS8gHzN^5-Sp#tO03F~T~oH9%ZYupM#+X-2erhlB4qj3T$0W$
z-r%JlclO|`{j!I()@c~ua+ngnw}vnD<LmQFy!2O{ov5`pt<+$p-EP~JLRZ!~zKr+t
z{OYggzAE(ndB4D~3cjo5RxJ7DzkG?;_A;ZDmvm?NtSaGFo2+H~J<DLF-SNq<ifvcg
zouB;b^K;eEP5GWv_nerh_4DWwujGvtK}+8==o{^8YSQA>ZPwzwsAtbHnP*l0{zRsb
zv!{!~b*uyaW=wNgp{61j$kW-8<l!RXth7i%Nbu+cjw#<3{Mv{}sGIG;DI0QJnv_>{
zz~2h&XQe%3zS?$X-KOd{Lc0~0rB}`8Skbd@*`KvOlU3tWXPv!j)7tjyghxI5uRjME
z++OZr=@I*tp}6I8#g>38R?I8KbthC^WCBrbvFQq-XPH)JiFt%>b~xpxr0{hSYmj~v
znA#=`lUNnSzT`36B~jT4e%cdudCN^$<k0oHA%shILLZ+;cY)MFiC-sz<R5=tVN}DN
ztNZsx=A|k3l&qVj7z68=wI;t{v=mxf)vqDy`ts-o#;#A@K9#NS8JPpOWb`g{S(6>`
zt23DA@4C~;FTTgfIoH4V^3m4VYvGqYO3MPO;sk>=Y&%}e(zqBP$7VlU<rkCxBK<Ea
zUd-oIel4o>5YdYeP<1a-@LFfsaVg`1PpAC}S&KCFZHEtOl=fa;_NCFN_Y#M3?<J47
zPMvJYlQO@^svkGm^>Rw?Gh2Jc-b?a<Nm0<wgj;Zt9CL8dy!}B%ay7qdKAv3l@8+hO
zgZtT9g`}=(_lJftZZ=%l$+RxnN!XQh?Sr3RR?NS|rERzV`uoevAK4Z5th-<rxVP$f
ztq`m21G~<qxT5(k2R&}w<9Wwk?YsZg>K&}#%oFzbhkG7dog3t<^^n8%nYDh@zR!!E
zf~aPRk8`u8y${)3vwYT5YcrvbbH#P<S6=)CqBhQ*0F$_?HsSrR_}23wU!UBm6aDsp
z`&rhNEAg$#Co6XqtV=q2cH)M69LFa(hzE)KsWh%zt~JNu(545+-(B1?`Dp)6_sfeW
zsGUlZSXA=U{qio6K(m#bd6S>X`DXt#{GOxo;Q59AWv-4Ao2yN9xjrZ47$!<Yzj5m+
z)iu}_(8GGZk11LI!OO{cFUuvOXC+BbQaz+u*%tOSZjV#vH&yK^8S#0Y-%REli}~HM
z>F(s|e#fU3uS$LWT;DQ=`TPMr^`Q7&OxI1@e+oP1yiJ|CI6~ywinCiY(qy;2-e@av
z+j+y&%7-&=T+-oLKBx6A^wzIwiQ8tqcl6y_tRFqGUi94Q@EOZZ1@A0!km)|8(DO9T
z`1ri$w`DoMKl^^^PV0Mdt8`&Jf3)8UxnA9~7k)V3>HKS%Q=V{|<@B3VGN)@!%XrIL
zfB(PzyXu8$BB`@xet*{L?RYS_WY_VT*TP*3Z{_jZZe<89Id2ib-5tafs$*2V%yntO
zuB}%yr{9YyObiwKUK<|3m>SCXy*9bfnYHk~Ya#pglka~&?*Hwc@?>x2{o3hO?<YRr
zGg<I`cgG)PrT;xW@4HXz`4c}a%=#q%C8JGKcc^Y|vTyrg|M5qJT>mwj;}_U$3THdr
zHZ5A?)_3{DM=483Wv5#C<H=K>Rx!PKx$gO~dEcvU)l72HVyQU0SeWI;pO|x>RGk{w
zKi@fjL_~MdTo3-t<<2~BOF9<L<(p~rc8Tcz4(p7|>5t7^w+O#fgoqn%xvVIB!Ec`9
zE9o_Q@#5Fbcd>c!uWxidV_ML$lZ|iYC({L@`_*hSE`KeYy(qik%LgfoBQebFGqN8{
z;9SReUg2wO-s@`TnENlJ6m0jf@flt}p!iJ6BIVTehOj?EzZdwLAIt4p$9U;*_5*=p
zUYVqIjm~O2*le1wm`^xhht;;JEiB)D?(n^6>agot_^l@bV4|2;#^|8w14$vF8{)e>
zIdv}Q@_DS!D^`#?oglZxXa@UQo@b8Q&4N8C21nQMESoX)pvY#U84{f-2K-TyXPU#<
zJ};Ph@Q9yAvu0W<SjCM$rxKdAe;$ou<<?x-<a$Iaf=Pnan_+PRrvoc@cgVdr)3eSh
zshW5nnI(E)1_(8zZB%)7_FhP~nC8MrrE6;#j)9Sh^of@Eb*9}@F6anmhcP6BP{UC@
zVZHp+L$3P5&$<KDQjT<1md*}dWu&OQZpw+4nWtKQUYf-Dd9I@HGj1QXGt2dbjW$h4
zIW(u<_TO&q$D6f8>b5MNzis#YS1s#bTzSzly|l+K;@|&=eSZ1xS69b}y~vNOU9xy~
z{HFkmnB<njM)z-9{Zc#Tb@%Tl@lPw>e_Q|d#kc7;OI6Y)cAZ$Z%+=+hOgiUUGp(eV
zA2#*b%-j8UyT~s7XH8D_#ow>>oyq%IZq%I^cl+)9n=|=SKOSnbVQ%C4%X9BGm(c{H
zZ{M6kk9Bk1)h{kwSe>D#S+f6(b=N|tWHa@N7r#nAyYuI^2gliCArW&P3Z&gT^5v?t
z>hU>W#jgtX%B|?T^ri8Q#@V)a8nGLvY9@2{?|C=zokjFT*>IO#i%&c)Z~RbkH8|;E
z;o(kpITHc7@>r!q$y{;M+L$}vXx}Z03RdUc;r5Cl+-%{T`o%3lPhMWEi&|N)dU(>`
zGgmh0{Ji?k=b_qvBZ2dc$I~ZvlzzUkw?*jkd)26w_3SAg`ge`&_llhGiI?6zJs|1V
zUKj1J@$M3rytkk8*JpLQaDBI@;)*xCOO||d66|+X;ExhK(HzF|X@O`Xhnv!bq%fAC
zGhGf{k%AsQE=m*F*K#~@)Ml!@(B+V%F48En8KNSm&UJx^Ooxd?&81DO?MofDO=vuI
zLM-h`R47w5$A!BkO9b^){6m)={5!|&jJ2(S&i8$WIc&^^J_;r}m8+b1^CoUdyl2yx
zxN~k#VsU+EBGbaelbdfWofR9sGVP+bX-|*tRf9(@Gk3?WI{CW1gm+@rCpPO{D{fk(
zcm?^|)vj!txZ7jZLMP*IeR|Vob%i*$roEAk)iD)a*~WGDhQ8XyD*-E)$<(DbsR#Sd
zd~mYHPi<~O^r~|*OJAL_{v=h(#u+#F<Qctnb2dv(Ow~I%c~g&yih0S?Io@3g#f5Q#
zDgUhQ-1>ShNha{wC8zuThh~O<u+gr((|$bE=H2PVK6(Dn1UGw?J>>gW&DFn=>GIq7
zunv~XZ{y=S*e<_~4|GxD*)1l;Q|<Ze)$fn@_XmA_ddlg0#Z#?)F`+Add|H>Z;>V*^
zf}95Hyuw<3z7A+93>8&m`z!MPN77#Yhx=z7*tCoN^L^*aHCzU>LmL{GM=-KozsF^;
zLqZ_o#?k0nUM1eNem1Ey&011trggCuSDd}4Z)g}Qn)do8r)b(?hgMEI=}v1i!_HHe
z3(R@NGbPGvnT{DuXgD60$aeWre$VmNrF>79NIngc7I@ap{rS(?{pUZPwKQXXy6h&;
zy!~-<r<DFai!RP#JLS7s(x!fI-DFp$)7zil;F&Tz&A8%URikRR$b|PLuSEowED;fS
zw2|qN<LZWr3t9?tTevt5dN2l_(NgG&WOXrI-Ow@7gYn~nPzRd~Q33uaR+l#|TpdYa
z5EXY-xjeYm)l2KJ>L=yd|M-(~`tAE=ckEUd{LV=%_?@$`sM_t>xwoHH55AR*bhtBb
zBI}X2k~7uw=AX1$`ESmH>Q57{KK`$=`uv&Qk*WL2pDWHk{@>GX{!H;L4)Mi*H=R(f
z6p{M>ZqY|`w*x=Y|E>(%a6y2tU#N1&RHpy@>Yv_gPB{@Dt1)r^G6ALgZ{wq1EV<yv
z{{3+v$DeBMyLKP<IZ6Kc&7Jx|Uc=3>;>LQTiYrIYvu*ycT6x9Xg7Po^NA7O^c>hY1
zbi}E?)7hHVzvQOGRu!*)FMj&wW~oE-djH!_TDj@d;rOWuPhYdIshO^N{M3GHcc#{&
z%PIjj^~GWT_QJ#3Qv3hEinAKh4MLai>pN(FT~l*@R@5}rdV`4bQ|h)~m5wvq9j~D9
z*IovmgO}`IH{EFE(|Ld8Tj$i}*^0j4vPgCcKk-FWI%>_HB;l*f{eH|*xd)rWqCYIS
zD#qb<t0^fgI^awecUNStV~@+NCib=49yqSnt+<fIouqz6Ok^`eMNWDack}DM-Fkaj
z8^0%SV0C;Ku$lGXolUE)ZZtV4+-Xv%EP3y|%C0+N?~SFQ`qTQVCZ6})dqVq_>pp`k
z+-}Fh^d$<t53j8{c;c?p-h`E^YvZP*eEzg2E&7mXWpBg8;G!9c%T|AymCNaop_Cjm
zbGeyH%HtfjhG&Ma{kJtOZReH@f4*=+<NtPUNqL=+6F&kLIvs207B#X9Ix&sq<=Op-
zU*%?AGPa9aG4JC>r>{Nz;;YhY7D+5kUmz7~%N#1TPnj`PG?aO6>&`_n_boM~FPR9M
zUx?Dqd$1_Fkomgv5#BE!!j4@C54&?>cCN>ZFf)~$H8~zH-tFP#+#W09E&qG|j>NZ@
zZcmud6wGy)W81bh{~sUJzFM4|)!6WHa-OBbwZdCP_xegwH^g?&;19BBnO`h$@3p``
zw_|!@58V}(9c--p_pIr7?nnN1kIkpMlw75CVjl>YMeI7D`0TeRUt;r8Zka>#g(m71
zoVc>9;rV)T?@b<Of4uC_?*H=Pl=YFA%Jv!B?*)pV${KA=`~2tYD|azZVf)u=OFK6$
z^6<>9RFFF@B)4T#3;SA~hmP9b0zE05N7v{qoH12ZWb>vLiOv*G{;0@9&0*6%ESRc#
z#81OpBP|uI;>Mp-Ld~l?91V0$3MzP7*dz^lFC@5K2xT)cYG(NLv_B;Gmagbpjg3EC
zI&)+KmDb-6+F0z`nPU{F6#pSwYm;TC&=jL%Vyd6m7P)LY;L@4nw}?eKSWtD7W~b0j
zxy@3sO4I%CBq^!PFL+_xcHXMPt+D*K>*5{tD*_kXkn4HKz3X(X;RSw6QMK+OCPAGK
zp}~SW@8r3}EE@mrW9@p7sJr~&Z;_&`%7skJCyHymoB!*O>&(ZKk9zPfzFi-jekT9v
zwA6DiPrT`^S2t$-Y&K0sE$ITA36GmXq5%_Yv*66tzam#{-Xi~B{OhCO)_r~#13x~x
z+}b5RU$d=CJkoW?iMG1ahf2>e&pe!exL~T3_l6T8Ya2F4Y-I|sSrE1%P(WMarkBkn
zO{?Ndn!A)sxe7P#PG~;%_x|hv&1*WgQ<Dr1@3Z~4D!Fvy?m4;2(<dD{r1b3d6h1@y
zg)aq!PG$x;&D;M|t#5w$Y0ax2mZjaYd2*G_`?Jg;5Mi|S*~dR;xAw*NT(o(@JNvo7
zWt(=NwUsvY#j=m5Zw=xL+F~f%Eunc_F=t*$qjS%)hXRW;Em|I(GuhSfJbzv0pH<Pz
zGZj>qW(xG1^72Rd9&Qf1{9(aW6ArgoZAoF51I}bgbw&C*_qfbzV_&=Mq2ubziVIm%
zN$OWjL^eZI<kXq=irmTKTl-95;_lhc4tPWrpD0`P%wc7s=Kf<7&w3Uoq~x4!oETOd
zF=3Xo<)2ee1Xx_u+h?$!Jg#Z@|Di*FCs%u!2cOItM|qEft_4530}31x&xEa>t@NaO
zhJd8UUBk^Uzs29;bY)BNeAjj2Y4=nmy$0T>LPhSWt>?QoO}KiJtLMZujt+s%|K4av
zWT^K47C35d5?(obk-ozdf!c@v9_n$X%yVy4<UZQ^n(MAqVeP_Khj?38ahr<?ui&0p
zc~tpwufU60C*$8Y*hly>idQVq^w{nkGU49sQzEOiQcNc~Z95S6*5b=%&Zh}qgTnag
zY?BftUwWP1C1%Kdn$J+H$+@TNfxx9rnG+1=?cdq;VYXWnXHn+kr7QUoL4@Iy+lo1V
zOlF<>Y#uIBXWh1_Vb86E%z4f?K*X|buAoTJxN~uC<h2!*GtD?vw@kdG((dD{^6=+u
zZI<$~$y|=h^ive}{&Hfw7@_)MK`5t9Mko`1)YOKAB|;i$p-e~DcrBO_%6Y_NiBQFb
zRV;E_v>MshdMT7SO?5C_4N(y_bwMbnL}qBynmE-H(Nh~dRtp(iTE)^Grh4Kh`y|CV
zP8u#hjvaZBZuU;Ri`ip^F#CsMStY(Ruh?3Cx87nXa=GQ8XMdcrw&A8C{|A;ud}~&$
zV2(^qWl%b_X8VSw*04|Ye=JVeRs9Ri61>H5y33^Cet?w2%$J_b^FLoY@O-by2UdOF
zn!<Za8Ncu@%l~uxM9a>V%mo~)`5p*GmomiazhbZ|&<++`Z1tgGc5-O~@0G@wtBr?N
zF+Y_I3Rb++$GKy6TX~<=gr?aOUfn+$#dL#z^VGF^nPQimbq^}Kc^7m<UMK}&4#^b)
zI!b3XKfZq)nz1sYGHXeO=+aAkhN~~|8S0Bz9N81_K;W}eqqEP_P80QA^%56%d+=9Z
zS6o&un^Xm%w7iyB*8WX4_l!RstgO2AfUnK6b#hy-Ty37VtLj2fc#~jh=$WAKqdH*9
zP$)2*KkC&hNAFnMjFqczaIRdPG;Nnw+RD{Qx}|f?N_A~YZ8tAn7gW<2aNTOrsS_?P
zTfLlCyKLpuT`P2N*V~Uh7D7==*A*{bdN$%o%ag))a}4t>7kBHZoT~^*H&}ZjB`xdA
zXP;%9W!k^5TkV?q_gdei<FBoM9Xv4G`f%CC#Yg7-(>bIVC?>P%_Wp&7wa-{|e>h&j
zY+c0Nenc{*S2BI7Y1$medk2n7wz!@8^l5t6LzPXp>toZ;#J-*xX+Gi1S<i=cHg2am
zQdRScmP}YKJnu*9dCvWpCJ6H-Hm{q@|K$IsfEt7T_76KI9{083dMezd(Gsv?!3C#<
zO*@V`F%`~r_#aWY!QO3~QNGK+XKr6@Vn6$wo4iNs|K}~|H}9RS5~Nsp{NDzzPuJv4
z6e<r26;!reS+a0)+wRPY=M(lEtoiHzF=~aXSkT*|cYQHl`Yk>dM|6H$fpCk6%L$f=
z&$zdq*GM~b^>o(Ln$`*7t2IQ6IwI$kcB~Yb`0UQdnaLp>m4~@3kA$31T;}95>+_-D
zZY!g15!3q{I}|tb8Ah*?O|n?z%;R3d@p5g*ERW*8A8Qu%DJ!WS73@4NbFq1va@B<*
z7yhU|4}(V?U6Fk&W;_K^8g5E`FC0$`+GbcTV%K#Su~u{sIaB0vRL4DJ&C`y{&pMi)
zo}H$=O{Q?3Wm3_?PkDy~B{MA_Eqc`PGvRyT_WwKkJ{>t<K1IE5;bNPQ-Lg+R&C8D6
ztcm%wy|3tSwAJIc)@=Sh#fR4SJ!t7UaY*UK<2Cjlxx}JQKDKjVkM2v%i$CVzZI~~3
zc*Yu!eJ3Lyo$XVdHaAei_s^{5o!kBVgpFfOTz0E3@7!_3Nx1N2%G3=9<sPxRiv0h0
zjwSGpfkM|`Rd<p3v1fQ<8=ZE2>Rso0hHHv5Pi9GnVlSWKeStE^C6<{reuga<r?E|t
z3%jDYY!RQKXlX~-mGHg_+eVk!Gv4oP{Xa=?-R_uY0a26xil5Gn_LDwqetMVU^lcum
z-V1CFzNvW1w)Su5_0PU5_utSio^O}o8vB>Is>)69?fJFW?q8Rz+$tKB-u~iSa(w!u
zuO*kSMdnONJtuQzPt@~<x${C*Ze}i%E|?g1x53*aZH3$xo~zB%7FJy_3TYB_4n1QO
za#ROQ844MO@JF@1ay%Vin<2UC2B+kzq-hJa(j-?Um2*1F#w6V7I>`F&rTEE;$!zf|
zlW$+EnRw>T@rpy*8+aMyzHrGfGX(SHi+%UIrSpE(fi-v1kFDAH@yHs`V1Y^Z&t7xx
z<NmXs>I%-7I{U~B)AC;E$bz_G^ZV^QbrTJL)cEn(&9`el|60;US+{w<JllMI0|+)x
z`C!>UL*?Unzdp9&b$S0^%RYU7<AdfO**S4$oX=0bJ^1wh{TDBU^uM)o^|mu8n8>M5
zs6AA<#d$|h?dHX+_uO#OTD?a@p+`<#(2ZZz@f+9kXU3^@iwn-3yy4-+|IPmO+O-KU
zr~Zlm&eg4I!*<kaUVV`A!mk&sTCOd2agLhreg55XiKsv3JqvH^9Q|LlP~^XKYtWy3
zF|UvNL(g<R@^(-BcTz24QUARUyPNsb4k_B?JrSJjvQjUtEhyH9eW_vT9{GKSH<a(>
zlo$BDw~3mVJVSKve&2;}TM9D!LSI-o?T|aJUDD*XY!%m?E!p}(tb82P`rps1ZV4{v
z(oSFW^Jsx=>Xfu;CXe1U2>o=_o;>mR+`R4oK6lOhV196wjiJTIcRCAuM3V0tIkr@?
z*?j-mTVYkyYI9eyZMpT5Lzg?N&slG%@jJ6&^;)g-T`_JCKU|Z^i}G-J!?H$V?wo|9
zPc3i!?KF*kWT^aYLFy5?Ej>4yrwP7Zx~<1z&!0mbqMLgn3{Q6)^*GXDn<2T8T^Fn>
zNj>FASESpT8L3CQ?yB}!u-?zTmUFvyZ+GuULnE`t$tk;f^j90~%h_Za?RH0I->=s(
z<<ZBEpSaPZKVRx`atfoNotu=wzBNYIPdcspzA$Fzz3k$rRqIbWubX~V=W^Pqw*^9{
z-)@?9_2!vBVPRtHPSox8Sa+iAcm5lfKfMzp-K?gv-psKpdpRv^fA9>`8=wBa7gO|f
z?p}O%*ZpFd-T>#WXSd8#RLy4hhem91yCHFFqlEcuyKm=(a!#)~_->oajcW-)b$QCO
z8e<gC7IZ9><Xd@x=TY6-(uALT+)S8v@|=}W*tJ6at<GBkaqEMR-u~>3>WE3?mQ9L!
zF~N(w^Ed15#;4*(rcKs$S+}8;&(QlQpW%|OjvFy~x%&!^1esp?>XUR|@z_4+p4#@1
zX{$PaFTLle8z*x8(6&7`@|UhIyK+cz*?-xjtcL>EoT?f<nr!x4Y?J@Wbm?$hLB~th
zc8TA7E59&(WSLm!8PmN&e1hDyLyF79_zZV1mpxSFsW0Fu@MgN0XVcu5n~X25K7Gz5
zdD2@)?@hKDp4-@UPpU~w%sHxaGVIJG5GAH8c=Fo<)tho#RBks<OX;3FAw@gQb6e81
z6zyx1-egXGb2n<z-UXgz`(}8Wg$G|&nJwr(y)`A>YtznIw<f)*RJ+-;MP+xhr1Lq+
z=J_URqVr<YDrN<}<+e7`fAMAhIv;71O?{cWQz8RhBYl=w{avp0vd+z}t7NzF38hz(
zJM|XLo7LXE;^vI`QB0x7ix)WUo4Ysk%s>5Ky-U?!n@=nHJlEIqzK7JmzfRY^>jN}I
z|0gco|NP5hr<Xtaxnqt0dM>Q_v(4$cPkoe$+LN0BM(?EbS1+A=(!z3<+8i0)Nuog}
zL17*jx2!$0cS7XPWuc*A`x4JKOf8?zq3wS#IGXwFrQOAwJ3RO5q`eINrt>${Ncc&p
zopQ`k#i?(%9TDz%`E=t}t>kalCWN2Yy(W_sVKkA&xXN{6irZ7m36r9#ROB`*@kfO{
zaXj5+lMyL+utQWcEplSgG%zL688MMvSNqd~R9CqzYdqextnn~B-6gVljmO4mqQ0|5
zOQXZKEQl0T?w%@|`gP5Ulb4iVPIQh6J96SuqU%q;6xYbqunD2l<cwp>jyz9p;dwaO
zs5C`mwT^Y#9LtnV)2FZ<k1hHWDHt?wrq!v#C)Ui0Jg7A9OUmahk1DDIzh6`L7tuBQ
zcW0uslk<*VlhulQPd-atEBKaSed1ZM$3c&mz7|??tmaSYOI_1_Msa+rgF1_t`eGhB
zO>jQra$j+3tHq<vrN_dLPFuZYk&Sjy>onOU9qS`H`vg37toK=Mo0+3q)M_T1lw-Bz
zQq-f))Z^EWOq;ycbzQ;AlZt5vPb;<s2!a%vK@_en?Z{l~thH8kUPM++Y>ws4s3%;y
z)<+`BI(BYz5jx$yzCTwjx;ti}Z@a{7zLi_1RWvE=SKKxSWCz6FEz>-jboOubnDo?V
zy5PjPST_|Z;WYlJLvI|tXV_+N8XxR1&`#sroHPwgNpx~-X4g&pwm|ia+?JNeH!UrZ
zhTbzoH@8G?oMzxZ+hF_Yj_zKA;>5y2foElsfy%mxeVoS1+zkfL|1hhrD0o)0p)Di+
z>=Eg*!<EH)+{K@~)Zz|L7IoRvW`2xIY2O27?T#O-&Z}s5B;4D4W>?eksW$H(zg?Te
zbD#6pbi*2Tj?W_h{;C?At6$r%QNsIidh0sHf2%H-9*Gy<)%Ihy({+LRXpOV`7GE$u
zV%@#a#yZ<)Q|#wdUc>o4*^4tbwRNzalv0w?%<jmz74|IdM9FWv*-5)<FLb`Xu9v#&
z@3IQrwO&Cnx>JP~v|M8Qz|}v&jKfgzk!IN{Ax7@H&o`zzbZ!vhGn}gMXlDK@q0_ml
z_l(L^1C-XZIQyt}R5;CA<#Iq$Ut+hAhG5ts#brV+HvT@LN(+j_#XPmb=SgX<EcJh6
z*!y|G(n7f{Cg+-`9TV)yn3FW^m}Xigi0Yi!ajf#fB@6y2sppQ~kB|6yJQmq(;%Dgn
zSY&p*l=+UXeBD!#oA-9v{{6=nbm;wifsOa?3m&MiPv>XcHM{Q)8wbDB*<v0Bo5_10
zZoew!=9Mv{!&IjMGTNIV5zLVP<L%bAi9%9pNxa+%Ji;8j-f~jMdJaqPTXD@G$my`l
znncDP@EEZ3Vcof6Y&R}tx-IiwE;-YB?z!b|%Rh6?JvZ&e@xD*2Zp%NzuwseT>W7zR
zp1C^JdB5@X^TP3yPoL?2F0tufOj@n`x_C9Wg=()aq+atb`m15c^*8;P-n$pGzP#^x
zCU2CK61H~Tg5Ie%?+!oKlKW_)t$RXpZs-~ANDiG{xBu5Fn(Vs$-&xUS*X{qwiY~iu
z{|`2NwfM;S7n;R&Y5p@mue41)cmCDcPRreS2XjpSqy&a-_IkUj>*taX`N<xcLTP=M
z&X`P2i|^T*G54~0U)U1~sRrTP;0$ZU9Va$&$+~m!73^_R7dUjaa>cw7FPq<6ayjSa
zetV^7JFoWRjU#-jPD#%NoZobqJij@;Czf*xhxS8(U{0m8mEWw7tz+?OnOe}Xl4asE
zo8NPj!<keMd089@Y4RwZSDP7leDlOfk8U1Gp7+-A^k&<P%5Chr=hY-W<{Z^IA9m&w
zh!Rs4JpXOM)0=WzY;HGCOYfdLAzeGIa$C~0bZs-;d9{(cKb^fi{&hrrQg2YKXL0(Z
zUb)KV_ot}C9-O?w8{1e7+BjR<RQ;!>#|LIhXf8}ty0(Ggm_g%(4Nq2ndZV4qtE_6`
zb3{t)fP|WW)HEC2^Ldrqy0_R^KfAf)I+)`kW_*61)qLjjb^dnj^Zvf8kvLyhw%+o*
zSeV`FwD1cjyyU(=EEMgpm{a=u{iI;4&4L%}{QNt=6<2$0@qev((QdDKSH}E{!Ha6s
z{5!uz+N$14|Nd-x#_qQ36LUkvCwpZwrA@lDMt9%JVAb0nmUQGC_E*}(tKV_LY~6(|
zC%;|n_n45oxxMD*B<0)Ty=UJAD5aG18NTWBDBkpU-A3(3p+r@gBrV4!mN)CZBl6m%
zIXU~6XR3N7pIH5DsT1GAO8ZIErz*_5@u#LVhj;CYjct1tnzmn<l~bWM>Bff|--#*j
zXXaJ7Rh1q#zBuDx^#!8}{wTKhj;9}Ry79yro_-*@8B87ZIP$<YLvjzhZu9pAsUMQm
zQ$BP>vaO$y`k~9W`(S*uxAsJ#OI}LT!nhJLR2wIRdCk*muFqeaxTK}6GvY9l#9<bv
z!;_YudT>7+JsymHe7}yC`^2o9<F6V0U_JZb^R$D)kpa@@quJI^JbLm$@wp3=K3`hn
z^vL^NiR(HSxi@7$mVMSy`8fS~vBJmjr(0F*q}vZPzdOI`q^QoGNylp|wy^y3vRrB`
zDfr|0*C_|=_b+GpH)o#EkK*cG&;RU+v+cCszg*=XtF7us{WqGO^?~{KJZ+@(Z=bc+
zl<SX5&eGHsl<U8io5-W<_qihT=@S<@J5j;PA6_m`9&!p!JU(@n*~I(53k@gQKRCks
zdwcwjRfl|6d}Mz#|LMx)rRxrQwFmlHE;a~MI{Wei`|}Kmr%s1mX011`U*TbN?b8(x
z&$Z^l{Wn*!>qdWFkh)rKOU~4UBVnSOb3zSIgQ*^uoU6^#)>d8E6v`j9O{>gl+o~C<
ztGgn%t-A4N(^Zk0@_O6tf9G92l;+uUM&0|=vSX7rae1G56@PxNwD&3TV^-<g4nzc9
zYfzo&dDm4(Gde*>b9TX8<IARgH5a-3t2=ogy3Z_9uHogr<B{ZiB5>NTMOVA4P1irT
zm%J{zee=$`i(0GI9rRy@+NFQJ6u0?&Wa_u=#W!^9OHXO#-%2yho_^C}{f$S5PHJ!4
zq2TM`x9vdOhPxlHu(U>+FEH4xBgkbuqom#<u20JDw!Zpf4Z(E>ERU=?AegyN?B_(w
zAigQwn(Pywz3!KvyEt(QH?MG}-zK|jTW)(NpO9Y1WVK||Gmky<3KqUw*t_Y>@$Q-H
zqPK2%JB88eLHc}+S3e|!g8uYWoH=ik@pC4-uKni)Pt#eH>z2)Un%)%&rjk@1rOR#k
zbEbLP{K^ZTj5i8@GCrzfAAIJM@li1ad+8labJX_wxs`KWJ9*^b8LOEecZ9MTtWIIl
z`4+k<HtJvb_6PMpzx<4kdszRoX8okCfBcn`Kij*#6Z@l|f8u|U+~m#6eblt?{*#oA
z)jX^|DP^h7zh3d_H(Gcfb$cIT6O=y5R{S$fXxhmiR|JE87%8?^Zk}osa3ZpPm8i{E
zP-$_|*m=&*#onnI%4)Vvrb{~3?f>>za<$3Fr?#=`)vo@PkF=|I`F&dzm%M1kO-`BD
z^J3JTPfLGt4gYL)uH&@!9Kq?la{{Y`mjqU2XcxPCC(k`~=|*%{MrO~%gw&pi35h)u
zR~ntVecs2w>+{KFNhb|Y`I{{@3tCxpu0u$1aY;ww6qi}Xr~G>nrS#$(sjPB&w`NA)
z`(NUaRKPeZ@Z|ZPyCG9JX1@}+9HR6!#Hf3RqSpKeZ8P7Qr9Rf$?b~_m&xPh`@>Lf;
zIrB%=t+<=<Gx5gOL;Rwf{{$GG=0ECjgx@yf=R$T}`!5TgwkN4SY43`xTQTEldzY?5
z-Hax2!+Pzgf7?#{h_+MzckD#T&*-r8_cu-3ztiLN{blFwOJp9nZ{#Ok^iTC?wAj8?
z9sF-j8Qp7@Rn0pvOTfHlQh0}Sl5<D2-u=g-pV-8nPXD}V*3vzBt(zJjoc$HNHen&C
zdR)9T=f{(LpPLP)OL8J@W1XE_*X_M^dF%CxUEgl)ytsAErWMa`Y5$h|%od}&`g!J#
zS%)qMR6fjn;q)-`#WYZ(Jp6lJz2}*uW^;Db{jaq?b+qX8(NkhepRra-y<7Hh?%|_B
z1-6-92a`9<&XMr;Jm~x4NP4%*T#cDrK|$WWN0PN3I(n<xWUOpq*PW^$5y*K|XKKKi
zAP^;>EI9SUf~7)oTS5*sPxI=SJHbmMZDmW+G%t;7L7kbwop*ver*92$>e3g``ncVT
z@n7Bn%}Y<3CU%~1ohlM?(sgQs$wKM>wP~$xVctu;RA=QV%#ptPL*6*sbd#U*Z|^6K
z#_SnS9C~-y{4Y-1>t>emM4{8<v3|CRk>bR{=b4hc%E5^yKm8I-`h)eFJ!cC^p1IO?
zX{L{w_uPMnE01hxelB@3_0(hK3YOW46BSd`xWB8|O>&QHsfyKKVq72Qo-Q#nG+8@B
z#WT(5?#v3K{u%5WPk<+r`yYM$|LMk@hY@+3<@*zi|9rkJcCb<+b5piqdUflAKg|8g
znSRT%1EniP<cc}^XII$Fa8EQll-Y8h<2~d4#I^q>{%?Bn;lBIl`)#`=<PSdvub_E;
ztVZs5^3*34{~q7$TkbaRTgA1KNiJG{p6`#D@xcBy+n?pzLw=s$yX0P}w$ka}*I750
zN{SiCn^{*G-xHI3-`QjCa%{JvwAVM|d+am$j4pV@JS}@2FQ0FBr?|qjJe@Ok$41ax
z<x01ku|JJ0n{{3<SrPxZPe{seZ{MxMWmkBU%SF6=t_9jOmx%nyIwz|tlT#(zy6%H)
z>Ej!+twNJaMb0b8PF4ETYwgAH=8IL<v9c@AkG<2FGGoo+inb%|RTmgD*EYvazF^gH
zJgS|2?X`!Ft4k{`WXV2E6<DkMCGn!wA;t#}7&b?>OLT7G<BwW<xH;_ghXq%yj`*!8
z)kxdQcXZ9Qg%PVueWG8#*c#=&y7Udp+GfFkLwgpLs)$EF|8{yQckt^I;xn#I)HhhG
zyq|k3pPO5T>`$hPR-f|zUpkbtv(`fR08iU1*>w`j4F6QK2&Y7uxO^@+x}o9p{#9Q-
z{_^<s;+m)YqDg0rUUSKXf7-#{zq;bi_2?r!o%QO*j?1PTKGL7}zj|lg-e<dF_Q!pm
z2_m+|lt10KaQXhpzmCoO=l5!M)i3Q;`R`tS(p#nIy|3e>kwMa?C*3y;0$pASuT)x>
z=b3usYM5B##n8!GDwi%bx+uMs%}}`%)}eCg-`bvq^Tieiefp3s<aP2^W024vhBHcG
zUTiu~K7Z7^Wc4WQlhBi^cNf`qzv#P^!zHpVC16X?e)b)w9-cCam#CR?@$$|bKM}p|
zZ4&i`J#x<XHXN5RdMdYg>M!2YC*^)$s`+-K=ytMs@5SU3(%)t{FT0bxCFs9g{-)#m
zZ06f;oBnp=`W|Gd{lPXjljG-}m*c)NWp=lfDXXTYX=}xrWfd1zY07QM>SA9z%gG=p
zSY&fnS5la7;F(pLNh(3XHW^n%_@hjZHi!8NS}&ZnXhtYR#pl(_c-ASoz5Vk0sLc81
z@7<{_=I_O4E7pgy-+!noP}d&*Da5|A=b(P>jKfI@5o}(`H+tqI&2Y$w0E-){<jz>^
zoe*(MI{5~V142C7Fn5M|W<o?>bn=Zm$06c6-=^<mw~xBADylp<RD1o3sGna#*Y2rf
z?+@Q95!qy2@oZVv#w$!gTO+a<S4Qb<Z3xkwHY?)lsi()2e)sHMy8P9(t*%~oi#R79
zntHnbbQ06OxA7N(m%iSlw6tVri^tnjPup`1m%jL>|7PW@(n`TqajIWV+Jx-8cT4*D
z+2R)|oBv(Wu9!E~=Fv_a`=#CNMce;xo5z(HE~2S;M)l2u|I0r_h#sz+xmu#EcwN#B
z{$kO?_hzk@Xwz0mlCTOoVi1yQf9!&>Qrq6W%ad-n+KL|5d$&@e%rk$AMBA^BqXt)U
z4K_~KJ0>&tte7v)R54#3+ZU#W;b(P|?96Y?SpDtbpZ@`J{Le4GPF}Zh(ZqwR*SN8X
zZ<LZ;H!UOO#h0}`3xBV1n|9f7veC>ZmTgBL#NHM;^!r`W+Wt@u{XM7W3heo)H}7wN
zsd1?2Ti@%dAzMtnBVBi=^6cx|qZnU)V#-gewLL2r)#e(UcNh0RcAZ)D?KQ(Hp31{=
z#V4jz_k<k_xwy}6zU{U<-)@*6dtvy5xB84?@H@jO$za#t&uYF!ELc>VjhrM6L$~Cg
zPw!{dx>ep~CCaO*DcW1HW>MvZRT*+yv}Uod?Q$~+x+t<)YgSU2Yw(#>8A&QZ7i}^^
zP57fk&o+m-3R^GiS~epTqT+JUMT4y6OJ)0>H*Qf@-ZQc6%!K``m^0fQG~Rm5Th-Nu
zHBp6{^eAQ?mWgFLY;;fJa2kUUqz%4m(Zetw>1V!D64P#9lQ8?f5z_Zr+jVVKhTf%B
z8Ed=LCWg6Yhi>^9eDOo{#oA-{E(VLfRd(&|YHL~5)pmcHnCRQNw*PlOfr~5>6McJW
z-l~jnapll0r>h>X$hdGP?e9wP3Zx^&k#&Ei_@Ctc|1@t^#&r=>Eh(Y05C4NJ)~w3d
z{%qBvyA!&*j+u3JlqIfHlG1DF$avu{crjq|UkT^q9UWz^{;pfJtF;!zUJVv}8@o49
zNorNF=*57o3zei^>qtrcI-ixX;;GoN3vJFbntpD%@+;wAy+(ig=L}PmEukhRKc-y0
z@IWEV`S4aDUf$S!Qw_h|lQA)=s93V_V6rkVubf0?#)tOZZqBdkv==U1E0>XRaQ))P
zdj39pKAGI{JuD$7RG`nB{KDgaa7M;`+2*y%`6sT)8l`UY(@xIHxG#O?)5W8cH-2?+
z{{2tFwrx*hzh%lPTQ`uL{fzT+(RtNx+LOOXd}_0OHbL{d#M+*f3;$-=RqI^1P-o-T
zueknO|CbrgH`*`1HjMfxb!)*p`^;b4;v<8fn3kS@cBL{kwqo9q+jZMTJyUfr^DkA}
z`)gsyqK&(rIj&w;d0|zy+?H2T2R&kg&#cPsiY)atT)nPyqDSoK1)<k%GOn8PN0s`%
zX?Zm(DGZ{**E{xb#k^@X7MIv3_4oXB`(()994hN!$KrI-{Zq(QQ=ab3!!icUhmBgK
z4yXBuLX*k5#1c>GXFG%?rcFE{Vdgv;l1xHN-!4ZR6`6N*-8$Xut6N>yuY0<7ms?8a
z{>qa<Yj<rkzG~_=YgKkn&&qXA|4g=Aa;DO;D>UY?{?6_l-`>Ub?r75qE#%$*UGLGY
z>ME^uwHsClUY`&kdi}%i4@&D|?*<pXbv{~9s4MLr(adB1+JAS`((q3m?wkHd+0Hw9
z_n)b)=ry~TD;(J#HxB;yf4EU=P3(l#8@~1Qab(~9Ctn<TZQsPzo62(ir<#^5(#T$M
zs;*~YxJzVpzW>y%-@k@LR@eJa-OB&PO*4Cie`uuh-8QZ4pv;NaE;P@m`^+Dlx$>ph
zu?G_EAADx`d!OZh_P_m8g++f@taG(%th0PXDX(18Rnr)Q!0Q*@M@u^MpL2|DPIiAK
z6=N23{Xx^jU2Mx|Y}&QW>&n)<_3y51-McOC?v~Qix7uYl{ru5xTXMED`}^Bf2c}gw
z>WY7RoW9gv`;_|Igs@w0_ghYno0oX0w(M@nlV<+A6-S&6R=cFXSN));T64tNqxIrb
z#$93>yZ*~Hee2A-U!+nH!*kSJepBUVGxzR-OsAL?g6l#$xNQ%mauqK0*pa2U&%Q9`
zuz-Dog<fWdyoZc<#m)yupKW41P_Xv9z?$8a+`C<7=q{Xbv+9CTG=EguYsX9`nUf7c
z^%heZmwx)7&+K*fp*~xTzd&B<0bZ7ewQM#W3UfmA)wT3}n~q0?++tb3b%hDnyzIct
ztDb^dXR9h&ymp_uSbyQ7`UG*?OIN<Wc>Attit?KM9`5<mwS)RL{cDoj`?sS#=hmK9
zn^z*qFJB06%e8CVDW9s7d)sfL6I-g}my`(;7cR95IT8D}LhiqO|Nk?Og)3^5`u!h$
zJZbZfyZ`^G$NNA2cyIHMz2E=g$CWmAy~meNKVEd=tfWnFQ?P2qG?w$z9#{(5gg0GQ
zv9L9_J-GYFipQte%6_LSJe)Ua!)&!2ms2x6c5Ywt=HQgK$K^`DT#PnT{<ubC<DRAL
zo$1OKc_g1ohBMd4g>XJF`T8fT(e%Lim0}TxnVyGnuVJl=+j<~M%wm63g6s$J5bia}
zjpAY4Z}|VXhW=rApug@BJ5xPpL4ADZyUTC)n>ZD+H$HCDc()+$|5L#(>lL@_U0WXU
zz4{g}xo}7GuD{`~8f|TP|E~&mZCrS}-nS*G;KjFi>4g%qyZ&Bh{A&It(8#9b_xk?C
z%)8P_5wpG@lbH5xuV&lQ#tH@24O>3wHvHw-oBO$wL4QMKzfIQV=A+gdH<|7Dxjo<R
zm0~nQ^5rVE`{f$V+r0}WvfSXCf70%kkJ+{KP2Kx=^rk*A;xy1bnPNBjB#Zjwn?7pY
zoio?Sq;0a9adKCZWQ_8`twMXko4$K~sN=L#ILNMgfZt-u!FJUj9W3%wA0!La#5DPP
zG@Oi-tnq5{_ioV7nsP8*^}u%XQxB>*H{?aJnR|V(<h0X2D6aBj5lj4}2Wp)AbPk5A
z{8+_OF?k_R-oyvlLJ51f@$A!Me3p{>!IyKN>cQ=*Kl)hiPi2Vb(`<h4{ehYDgOba!
z{dYRuR5@RM=bvdQbR}cE(Wk{RFU}pCIhpmA#ph)9+*vmjFD#om-y-Al8BM0#c{|TK
zMyJWOp2$mmvb>?__>3djrxwa@J}=i^f5y7HhULkeb+(Sm{wcTGt7{~ls6_dvsXSM9
zH2SM;T)X7Bdb7j-brb(zI1y~}DWuoNSJ{7hhmD>}n|j-k4;Lm#%#(f^?=B}RbojD!
zQbmIY&v}8)x$lx|@(hkWXGyNnFgT{pkSz0A^0C5siT2WC7JbIYCeAkGyV_G&kk+%<
zFj=Nv@^Qy$iQ^H+EY=wv+c?FrZ+=hVnUtQ#D!&_&m6K)oB_B(ikT`C8%;K8iv4_2e
zeHlH40?9p(#gb)KNj!dW<OZwVvA_;P9vh)%aZjGZ{YMO#o~|)wQ#@$E_t3vh$@6H2
ziXo4O(BT;&|K92yo|GUl^NEhc9G1gBR1A3ng$`?|rrvJM;$jZn{$H81PUoP%$`0SA
z`CcE4IrFAJcrNtEiD{2P7~B3y53URSacjEoRnX2-?)AZ%vrhk@yb6P@ezUzNgIzq^
z`6&-VIOnMz+^kyB$8vt^0~1ca=?{1~&rNxt!+CDngGwQr_@-hNi}0q;Di-lg;vOHI
zIO9|fcB@u&vgl7`uwNR*rtfa>;NWi#{(WJUALdE-TYuT$dpytjQzCzC?W0-D-*qRM
zNN^X<W4j-BMu%T4-Kt3UQ>xD{|2h^mo*g=J4>x6ecq4ftQ=;;eRgsH+yPS)6RK&`U
zkLxF{`S?rC^j~Fd??jPn5fjo(|4q0i;lIss>U;Ijx`XRurcReFyyAAP?0@NRrX*hD
z_`9*LyFJ%@Oh~-E{gL?5GxO@_%?>MZRf;(#a-HKI+ai6fbIW8VntfPc^5=m`O^VdL
zS#8btZm+#x-?1)6X6eMmrbRt#WZzu7Z}yq{oSMMzrQNyTZ<Muv{e9fav)=PvsNJd~
z_v3XBW~)@JWm!M@!B?R@j!fkb^)&RKOS4u^R*=_S|7tdCWjBksN5R7dEOnbKCf_&E
zgJe>1?*dMy_aZ+(rS5$^|3iL%?UOUj1%h+CV}8!=>RvPVfNNdkYb6iQogEL>cJq`@
ze66MTX``TClTdNd#KYQpMXzNWyVq#Oru^rOc@n-=uW8DZZjO7qP6UWs+V{skd8{16
zqI#@+;@;_R|2=WH{j;I|-p0op|5xAr&ndI?|L?BFG6s!{|LnRJIAKze$iZlL$yXZs
zD=ki`yIF8~`8?Cec6N{ae7tM1kCFaQ-?fVsRG(@zZSOO>5*Qa(IK{uOKxE2{GlADy
z`abR76r1FJ6J*vlWV4=!$vh2KmSI&@E1q(7;o>>BR$81=xqQPqOO{nr(_L^+>6C?i
zpB{BBuBmESyx_=>zM}J~r@h>7{+OZuwqv<QzHX9z<n}ry`<<Jw`A?YiN%&y2z2qx(
z{k1<%rn~*%^zwP4ev#+tvDhU0oAqZ|>OSp`vUl`4<<FA;H)X~AJ!>AR&zF6uu3<QF
zzKie_>))dGmIX}qKWo>{S5SSb-n6~W=!$<_#K+06VMhIVQn&kf-KIPt-lzOq>zbxa
zna^?W*GU2UmtWq??mqd|`ECpAvG0?rb#AZyGAY;EuTNcl_VnX^oBpdzo@{^3iZdw4
zPpRUyiu2r*_cuKABp#|%`#)2;c;?B)>Z5*}@`NV7_225(G-b+Uj(b)o1=J6Ub=~mJ
zlX;~Ylq6){siyY){^Cb!lRa|Izqq=SGbkuesp7So^W7(Vx@Onpwai{{L?ut?toOb>
z<qy<W`^Ri9>&P?u(4PJ+?Hkvhh2n2YPjuHkx0{($alxX@c1m62q%Y#7B8)FIDtZ+P
z4aIx@Kl%F4dHurm7bYwHKPmmg|6FP9jtNJkssh#IrX<hq`@ZOWd)yPDhn_`w7Qt$L
zDhDL?Z8^T_k)VIgo5Duvx4-8nnM-YRu_#y^{QQlZhUAWk3~Qx*U%1@~dbZ~U_e62S
z+`2g#?^AbA_uKOFqyMdKk2$U9Y3wVB|G4z<zdJuK<-I$(wXSrp_EIa`iUQl!-ww7;
zxzg<Y;oHH`_u8rXxt;T)<7Ix`NoxEkA6>0``>WxMTQU#iqRu)0duTVYU8dp!i`=I-
zQT&cxr<_^xZBtgX%Sct9nC@0_V&UY96aN;r&-og!%zx9MQ|tR2WK}s`wojG`%5BON
z;tyPVwDN@O_ulzNlP@fOeyxflC`eAJ;<ci)?UNffocGxra_*aZ#5p9RP_F21&YvkW
zQ+O=bUUQx>>65_0Xnx68iqgsaKTmP+-?Zp#Uvcb_&696aKL)1>slNMyVqd@Qlhw*L
zDZf?Z7JcgaJfZrXboB`p|Cz>Fwk(>O{DOPFPFN`Sso{omp4>x4{u$lvZ+7O0SDbKM
z*u3WV=?W<$&Z(B0uQ^VbR3vaPnqBghg8C7@qSGAgmWfR4HwCOtY^)cxJi$2GCi&c|
zQh_B)WL!R6b8NFZ@o{5wO>RrGjD2JC8h+U)p8OrMDU&i^-Q3F8G-XON$33eP0qmAW
zWfP*^Elx}nwm8wauz63#{vU$ICpkXtiDGy3I_1ccFPpNWIj2|l$!leql-DeLLMK;-
z|J{DWQBBfuUi@nX4^PXE2Wy*oN+&!{V*h!JgWWQZiM{j6mD$&h^w02&IAe)y*U#TK
z9QRosa{O1v#NK)2iuJXld`2n4j;s2a*)6vtd(S$F{pU3f_M3){%`Q=|YWaF(QzrHH
zKEG<k5fsFyRPkEDS@y}28;<*I4>|hHI^d|J`Srco5k8}nuf?8UM^>}phT}ftLymm2
z4>&IB%{u=l`nf`#{o`ATo3Au#?@2gk-4ifr-6A_BhT10uv)05MXMJqNUD+<UZ{0K7
z7rPg-?{uk{I7hl~N~budL7Vd1eQSQ7IBpZQCu90Q-SBwUlB0X4y)C>l(OtIeh}z8M
zXP$jOAD+&*;~@j%`@K0o8Dcj7|HXBxe`!d-zO(aOR#*OXoyT=*yR(p}^@9T<FJ6f@
zypBD$f+;&FlHaR=eTP@;g9Y(@5_3v&Z(V6S!g+1^>{)J;&w9npEal_Xo}Kl&tt2)!
z-aX^h8un#Y*@qV}-qOFrFw-XcmC(BQxeWX=SFX+}UHf_AxlNar=I?IR>#hH1AK=Z*
zBErDHz`@|r5firA_qD6vWCn(*Qy3U{85kHs@(X<PQ%ZAE^-@#Pa`q%oPz$`g?)J4U
zcQ0?ty$wNS-?!x6u345_eg1o0`h5B4dqgEmugaFLU)3QxQ*z?h#U?p6S7zLFZRPkU
zo7Tp|^WWJmO*py#toB{~r)&GJzL)zSP*gX&^Ul4fp#OsJO5Q#3{Sm&KBXLgf@-z3=
zu$+nB_E18EIsbe5|9wkhj(iAzXd=nA%lOKIs7HS$yG+07CO%u+e~P@SPe<9u#^l|f
z<)#S4Eq{DBUcHV-gO$a=?c6$DaqD~D4SV;#lV)-3^IIcpx4*`!^4s3kl0A<m&OLvp
zP5j9B7Xf8SPvY$tpOZLx^VWett`kZke={9)zCRG{+WEGPFQc$baq-lDe<BkK+P*D)
zwBX|3kG$N5lQ$l`dE;Ad$NtaxcIR7u`G339`bE~Qp0S@j@K4CxZ-J(kGY<Gqc~{?&
zs@uOqzUFE2p177LZ%Xej6#v|Q?Cq8=hVaMx&4b17%`Ggw^YLwqX!)o5-5dDc`5rlL
zZ}H*J289@YvrXOa^?j@N+x5NguZ?lgHqsW73b|Joy;Ni2#Vd3C(<VpVz5V(4wD)J(
z|G$`g<?GL~-`ZFC#3idXq*qJ1saj6-5@o!V>3i{l@5`foZ?5vn-q`G|ku__YVd=N0
zKc3{sCY}CiG=*oeH@mE4(S2XJ>=gl<^SYRx&ws%#8eeMtDbD|<+*^C+zxjM@D{=(p
z%*?5JH!s6T;mUWXYO}I5C&Nu;-ap%0Y__@fPY}p>yYoWPvlGSf`h50TCkss~*79zU
z&9wEfD{GdNyI|_&YJW9!)tQI8ZtW<&#Kt&Zx_SSLqrFc}3)t^+EHr59&`-60zVYbw
zn5T#KP2!z>-z%vovnT$>qKscIzb0(m_G+r$I(3m6-E8-QsH?t>E*n)uj&^BuObr$>
z);jd6_<XL;yR9!*cB$BOEC1p0d465;q230a%2Qlo8b^-AWMoV$2sE6rY)0&}nV*HZ
zn<|@TMC^!2J~LhW8JCdwhlKRc(|Xn=vCBI36+PR`&$UFNfQ#4CPwX}S^&?Y+Hw9Mf
zsXnx7n)B{(iui%&dY>yYU&Of>OuJA&{pq!XE1RyLwGQDkza3_0v|j&ILUD-8p^Yx?
zhqLy~`}?8B&S_O;+udt^d@&^}i;E18UUbR%XPa!dz4+t)50X!#l6K}>ncmOYHP60!
zq3^oaHx`B+J?U;W`J49QX3ME@A?=pG%NIp?=&uadT)aBOBYftoPwqcI#6N18vMYsi
ziWB2WhT0CzOA*OmwC&8l#uk5c+HRWvJuNY1$3N){Ex}I3i?$Z~YwSMCG&8ZJexb1T
zPA|r$-bM2xrff>$xqf8H(c&XZ{U0iC4c~R6a<j+A$8}TFSF~2eeoxMN5FYVx2mgFd
zr4nx*$w`tDUq3RqxtdQ&3e{KrAKa+GrM9I=|NgGojgR<EGx9(FZlADX{`5`TP2D%f
zyPY!b@jIfj!#jj~<H8=V^9x^Reu%%f|Hj=N`rE4(3;Q0lJh$R?Ajg$yUIrZZ^kS7O
zEpHp=-BfkG$j!Xh@cyqgnQFxctz~i~p3c>(zx^fYoWU8L2?rvNoiBg6Lt0l%hI_}3
z+#UVzQ{w~9{}FxseQn}7quDDGpI!Q=tiIXp*Yd^LyCy9*uiZ1txV$*;+p$B=Z>l1D
zqh4xXxX$v>YiCts8nZgL+iET4)F6!t?)SXKaiaT9G+&uxt8{Gb`Lfy*xqeEI8V*`1
zJ)7xJvx_mD<$2(#mgeLpKe3L2mwR&a?rl14y;51T`P&NT=3O(VGamIe{vLVBwz;gc
zu(Pg{zk9Vvw5evo))QVwR|NRasB`^YeoFtr+_w_HE4i2d_~;_j*{SQy(ad*z-`D-y
z`8Hlo=jhS3mr6U@U9tD>rKCr);;fsawCn>|T>{;D)$Do0Q|^R6>UqCn|0gT22Qn@4
zvhuPM4@`OMT(X=|EJi<aPV@T81pnSg>rU+Z@!2Y)TGi}A_v6l6ermIy=1yA@;d%O_
z!P4o%+c!i!OL})OC8$jx?#P^^JYg;76Vv0&7@ZE}DKdJr{ALOWc2TqmXgT8axRER5
zB3FBpNRNxAOvmKc6JnEYGMP+y<ry*aVZ_YNh?xf?mYO&%oY2}V$i>binz=~yJBwC?
zN2poA&ZB#^&qW`Ko}|QSKS!_L?9+$Y%r8}+E?(Ca=2O6S`+XR%jz{r%yG6yvrn~sQ
zQ&!FVl+-&R>GUbXg9_^<OA>vSJji-*|8`~J76)lDKF(Y2*QQ801?j!nb~47S^t#&0
z+CF2Yw>l;jwH2{C9||ultT<fpxUyM0NONYcz@Zbh^4_VJKWXmHc=%L3NdIw>?;ak$
ze+RBh%!_=Xu_*M*^@s-@`A4<QzX|>b`25=4BjU-A`kD20FON?%-P7^@e5rqdw3WVF
zgKUU%{0glT$8Yl4C+2^0jeT1&<3L2gqf^s<mIP^51gKX8saFKBmn>#{dx+=$V&3rP
z8Et*VGuG)WW<DvM-}q#^&*V#1UR;+WzfHb$?{(Vo&0qTy-%mbrwD?>1^K+Bl$*8R@
zo04#}V(XO^+J8+0>~swDy|1rp_E`P!>fwb8b1yDhw(#ABhgy!BW%jBTGuZn?`@+3v
zFM74OWXqwPn@<)8n{G7Q8h-lp&B@Wmmrb6x%(lN2q$Hx3@UUH-mod>+Xziw*S&Q?}
zCCyar_FA}tB{8)0kFt7X`r+0e&z3Gvckob&$)0O$x*>SFp72(WUe~PGC)TK%ZVgJg
zmA34Js!n9p3emMm7ps@teDXQna=pT3zt6lu&U|<GTDCvC)f9Z$B|a~_y(ow&caCys
z^J(psmGhPz=a{tB^lEg}w**f8SuQc(ZZ>>MQ}jxI!k@#>va&DZagSS;qqu*awzFSC
zMxeqx9hJcAvmR#|mHy#Ncu})j{S~v^3)4Se7u>seP_p{g!kCsNX~Fh;&2Rtya#Q<=
z{kk{Rs%`Dtmh-<__PfMlTD-&$+ZpL%-=kt?zJHgXSYOlM|Gd{fx_9gQnR3dLep<U7
ze^@=gt|a$?Hm{(5-Gicy{@nQ{h50OBA1&O|e{cQcjncP_8IxHap5OZWON@Nt<RjM`
zmDd;B`R<KBcFxaH^O%}S-7SVKH+7h99*>>U$gd=?t|p|^&z>kI;Z)o)b5Ut-I@^(n
zf7fw-GYWL?*FRs*nwxx^-CgAVN-?{fpy(7Cn<Gvamd5|R-YDrUzD*}c@uKohxwFfE
z&rDyurp)Wzw}O98-Qm8+Ef%D`>a)KzvrYBg^+iW7-K?JFe(gMCc$;|J@5AT0ckX}t
zNV__{#6EY<a$lz6XHVlwQkG6i`SCvU%UjQo*u`!~&McW0nKVmePw<HaN7fju-Bo2(
z<vUX}xkfR0cGN;?iG&Z#O`F`p1-|d7>J<7UayHDneH~M0<s`u)Oi>!As<*_uP1KvL
zq`2{nT(iXWdB;UsuAI5Fr0(xsySkwHYO_PHhJKe9&$`EdYPavCNY|+g^WUT=FzN|7
z?0CTWQ=yq@+GD0nmB7QY8y>Ul@Dc4%ll%~|dWNs&hgt7iSYnHw34SPF829Mf#Cd#k
znY?3_!yj|3-Enrw)3Zxzdsi;bbb9T0%WR6`7TF`U+{{0=p1nW)^*5yzLg_1n7Oi+Z
ze}#j8{Mz$QybRMz)m3H({4v{L`#0r^fT`{F#5BdPN1a}&-?pBv$oqGrkIkx7K2=VZ
z5ZjY7O{=%Oa%Xegue>TC>rzNo!)oT2zEY;yT3>^6OtWIUy<$(_a>$xoHaBdk-|M{g
zD~(fZ99Hf7*YRiJl_jSx@9tDRpDo6-e7)H;>wLGW1hZoyA%Z51QyiUSzRB=;mt^j_
zF!y)n8i{Yhw@vg-o&_HLr+VuPr!;TiqOKa}_9HU#>y}O5?6@y%0*B`Iw7#pc^Wq;J
z+n6v#e4md+k7>`c5R0>1VZnNH(s(y(c5uo*ek88GZn1vBPqDdemGv(eH~5>>#64N=
zy?aq%?IY9ftE!(Dt&7mfj}Yr|)7{Ic8`V6ku70go{afo3tBgLhYPayIomy$D{9(Ph
zkng;cM>5Z6A2=dtn!5S+%qM{v0S!BX3zw&velY22n3=L<*`&x>UTiDXEE1<PO1+)J
zBmDV>Nmi-vh4)#_8KJDjJwm6SW>;VO<92hV&BbT8=5U8{M4h`LzhW2X>}Q$Uv$v#t
zl}tJOq+%0G<dm)%Mza<ksbHDvz_NMqgDcGmGsBs;To;m-SG^oAWF)V;<$AKTy!z#E
zVIz6<E!UkVONz=LcQkghd-M2|kMgCfD(buH`o3(rpyLy|<>|-Xr-fHiyI!VtS)PoL
zEeO*z3zH}aQ}?~5sUXUiu=&S#PmX&_d#aiXi{DT3lt~e`$Yd%PT^jIoW%}tWeqx&{
zwgx<0ng02TUz$<%)_}CsWo}-f&Z)g@UM9?`&yJm{zdnn1Y6hFPPw%u<ZQfG6(=ynu
zEpxlJYT;I8$E~Xl<m}P7S(TBqQ~Tud+m%XR8C!L4g>O}weA?u!Os~()x$a@tw@<oI
zr?~J_rn>E8vA;G;Z<!dZSDRX|tf&{bD`2)z%T+$%AWPp14@~ch9PiwkvUILsI{OX9
z@)f(Z++LdX8id)nYSb6=m0F~Ed&o3O^;}@otzv8}WthL2!Pb>=zCN?07uyoS4QKjZ
z^j}$@)jPvfufHzB>gki-7`qEQmd;)+cmLv!*4gHD1@7<PWW>EYC$)3;Li6k9=`WtG
zIyTKb{lT-on0XgwY@BUeRqU4Uli~OItkh1+RTKaDMy&Uc+I#kZjFqdgl<`{20+Yt>
z|DoAG{_5>`>3m(-?wm;2-$gz1_n6I#^V0cxa=H7n(oOwyJpU>GDt~l5Vy)Fphn74(
zEjHr-b+rcfHBX*2?NDT1IjKD&(;}&Y<<$+BBPU#1PVwlRjZxt<-Y9JRQBJsGSB1$M
zw;!6xPaC!{^ITZz<kIfu&i-Jba*f6Pv^8qe(iMI^?>ib&vpDd;>d%2Zy8Y`pdM#NU
z^aVIKUf^7L<`Qod>lO~qOvVMeuFg?b3&e~vW*^gCZnD2-weXUx%Amb2Ug@sBi)X);
z{$KH3MPZqc&{2-pLMtSHEM8PEV!!6G_Jawg-9A2sDVI(=3QYB%xt&X_Kx3xkQjSLf
zP3N={EZ=-GQqg{R!GPai=)iKu*V_*W%{VQ6D3ZgX%wPEuYw-)m9}LFo1!peI@OUTV
zn#$1K=g&Rku|eavw1nR0H=dRpTikhGU~Q(Y?87s?dIq*1PL+SPFE=e#WA0wk>0p+2
zF=xq#j`vMpuP?Z4wBk{XvYCtZ+SNxZcc;EumZA1As7QOq(*q{ceJ+I<d2LUfU?-C`
z{dz)(^v>{eF$bT=US9Z8;zysB@{WI1b<-W}@9nlpI<0Zf-etpku@CxD9|UrQudiwD
z6;QHUbkWCob~V!hVYy@GT<%wVLRS80Rlm@n^SVLj`XR#<oB3IRPsNzetTDd*Omf{E
z&9h(jao&#5SZ;Ts<BG18pM+ay^^%GuoN-sWnQyYD`%il66DDoTdDThJ(W#ZW-CV=N
z{=J^yteL6qQY<fX^49Xao&QzOvPmF2bC#1^y!>5Pv&u#LW~NoI+y7Lp;TUh@H1#H*
z<VK^ieS8+m@7k_a$W5>5*eIuYTyG77s@0Vz+J`4Ey3xG!(Y>#xJN`|QVi!&K%*tP>
z-{m~9BVzNl37+MtkNLmOG!Hy}JF!$Gp!RUW1Br@A`B%ktTSM~ZU%5L~=*;hnU2+Y#
z($@XC+b>mF*s`a?((|3Hk-SITGvT0<t-X2WlP=v`xH*+=`R5rox9n;^p?$V@PHw5(
zn$?YeB~HJ|Ny_fO%(K(fkMH6Bzf4ba-Af;>|8;%VH@Wrywy=K{+syvM`A~Fxa`lEA
ztO}b>FM0mTZL4uvbNhYE1f@@Nw{7RO@jbffV}EW`-4%yP-Rm~B9om2Ed22${grDXY
z6<4hfTpe{FboGi*oBGg`4BN%t&RM=k?DEFqbvxW&=5Sn%eN@u-?bPG;_ZnN(Ll*ai
z=TAKxzsM+yqxtjnu;z^G2Q~hz>^k)+Q<d$6BJV{$>mB^1UpGX!Jv?gvBx$25Lsf%U
z{3@-ig>#$sTv7fMyRK;dTK?Hec4mcFt&}dVd%3M$^^Wos^LEq2{wrf2?l;*j%wI5X
zThsjK8@c%Se$5pqdTnIAMe#NJV&6>5-I7O-8QF!i8~E?l<MG-kr*ig@^(IY0k?Egz
z9$u#2sb(V3wcS8gByB@Ji*5e@HSD$TxDQpE2;7(RVs9&cC;g7cqxjwQf1G~h@8%b^
zuCeC}uy4OE{PhS|TI(8imNjz^e_z)0ZPl*(MZSIuuL<sFS`#<-*r6o1ck&FS1<EHo
zw5Q7zyxZUZQls%eVZ)jUtG8(CDDj_t&+wS>f!cIFr&->*Mc=D~J6$q3UBY!Aa&pLd
z_!uMwmu*SPc~I&d;d;ofbvJjETZL80=l-(3JKqm&cUiEZe!7D0`Bi7buKta&SG{ki
z`t|PSWU=!mFJ>k%*zNBx+?`>ufA_)*OMYBP$hdgHB0KGVZ*@@8RXGjOzmr+I8N6A;
z<v2~`4`1Zsp8V9+-|3*@9Q&yuL2Ms>@I7-rddxQAK)JhedcxtG5z|uw(nY@{*2pJ4
zdTLudb+U}0ub}<4FG&kEoeyg9cXHOJ1>1gM*(RQr{@wF5)1_xi`hRA;Z+nwdGSRM5
zE>=-+&hPerZ)XSFv)w&B*={+zddl{5-m`WE+izXHS6w@0`?TL{#rC+r{kqTW`Mz)a
z*V=MM>}GgqJ?YO(zW*i9e=vyU+Dx~x`d9i=y@ExgCq^gkck|Q#i|qoAfBJKMx2kTj
z>}v1A*x7aI!FfkTG_OXMoa^wcoVlc1)l~M!#FHY0hFY<m-BFXjE>~a3vt8Ymh4a?b
zX(f^s$283*Mrivzweiwo$V^snG8I_4S^hX1+yBB}7d_|sCTE!mHt@VGo}sY5xMR{k
zvz}wmXK1Z2Zb_;a@4Ps9SFrWJyN%l#Z}_zoomldhe?hyiG{^fH2`X+KTq_Q$-MLou
zXlBXoYE7Fp8=^S5ukP|=+&=5l!Q!a>wPE7lXJ1zM6df6t?Ztj3^Wl~?_kT?7nZsiG
z@3gr+lX04I|Jl`dxPPxT`|@w!?5eiv!x0{`Gd~FJ?cc(y`8s!&Rr2@JBgqo}U8laK
zTFoiSJ?8!2ZvDe!r&+FWCT3qV4T*lda#DC+OZA*3i`L0jue1DD@Mm%cL*VtR%HcmQ
z9lNl1a<rb5?SGwrk8XGUJtllvyx4!c-28iam0wh2-zde-Z0~LAPftG{GHa!Bla(Ly
zfkKvDeaS3m_dK4k{+x1y)O!Q3J&yxc%=&)z-|Otp%R=Q3)+|1`Gx?5=?EcJcf8TH>
zlpMEoem!&Q+CO{UKObSe`L^$adU?kC_mh_04!Azq*|_1K%z=MZ+J9;smcBLWiuR8U
z70~rq;w+@%#-e<&!PiJLD#bBKRN;}B%^gKXY3rU@1zFP{I8P6eKg8scGq0tusaBFf
zRP;yY^%Rk3eUoApjE^o~#<yl2-<sul$Czc(|E*Tp*dTi`=*g_r&l~zqm)ZMSFzCk|
z5M;lZYwYl%{{Cdec3HhknvGK0hi05+OPr}T#ru`NWZXf?kS$D4WwqbW%iE`T`fKI(
z#}*6LJ5NpGHGbj|@hMo~$U~m$ewjVz8zg3&<$Nfu`JzKI(V#hM&+G3B7KeIPKbrLR
z^zG}@&#M<-`t#!J9H-xjm7D%oS_r+W@%}G;X!Dz8d3sxf{R~r*vcwJxt0lkxJ9qsL
zPs8ble9OFh7kFjHT@3D>{i^!e^(#rtn))6~Rw|oL-mvg>SJR8Grls56HeJ=+^D1Co
z`Lv^5)k}951yAkXF?+5ad!(28;&1mic`WZ|oBQ(DGIwYFqUiZb+oVs)@QX^de5^J&
zYqLl*P1wK2*RIi|#f4$x$=g+)-=Azq571j))AWgR%VpF41u=3ze;i|$Se`F;@TANs
z!x`ZLeGB$9f681vU+S}6)^u^9<Lh_Xc=YI;W7z8Ll`YaCeX_-m$6Dz0oSkzLz8{(s
z7X9&!^LvHm4^L(#?YwlRwl!=^VzW`EY|d4dM`4=`RXY|Lzu2(#f!YzTAg7HX8wDmv
zSZ&>^ZY&<Q)++QP%j%i?`9lLvZJiR=8o{-8!y%D%ni*PgXWI_+o%^;TI@~Z|?-w_@
zGuyYXVq9`b;oK$Hf=#S)FWux`e!qEjd-<y0wjsH5YF6!3I{2#V!ArMohQ^j9#+>p~
zygS%loqJey%pv>EoI@##LNgrp3Ya}exYa1qJMUiNWmC;7+j}z-UK==>J@Cj?=!#SE
zKe6efc)^{W2hW*LFn4`pb&u;+tJU=>I}h&Oe*0SF+b4pzr{3L|;`NV<h1+84pU+Lt
zIf7L0vwBQhQ!80L>0hYkuZuayc3;xm_P{^)#FcGJ1hy?%b!%eWE#{zG%&c2=!>+9Q
zcJ<lPt+Sh&MS1vT-tc!8uKXBu<VTy?{96&+d4ghlKlD65Jlkc8t(#(>!5qt{wJ+}O
z$Ul~FB7MJ8kOPbDCoWbchbLN(T9+0&&8e4XYd9f#gx~XFi)}ZP-qpEl|A)!Ma_pbD
z?1(+LQE&)juZ<X+VlZcoO43r7joQD$Oj-`;yqV=NMNX^xL2#g`(BDVQ=Yuphe9(4q
z@D9&lIiJoIlJsPMmFZQ<W$K;R<)7}F{i@@l{M<dWqr{`1$K}cw9qH=w6!pvL@hw=t
z@w!!#(4i$_?MBD!cD&=bcVXwM(5Smto+$;dT%V<RdrRBvsFu#W{p;Rz_rGSIf3eQH
z!u6q-Q&jM*DPL}0m^~$MuZX%+M2_n1C?2)BW(&^ACUS|HDjTV1N1H6lH0wVa6fI;s
z<@yd~pC1k?Kboh<viwtBAj0xG<<bG6)HxEGSxzc`)7Y4t4lhv*dX|#pdh?opNuYhp
zGt0>0u6TiiaZ}Gt)m{<x<WuE7HMhFrDPfXfZhMV(dMy0S$6I(){s5<Ug2g}U9NP&W
z^qD2Le2_Y9WTTT^v5+M#dd9-pj%?~{K0H`$`b@5-$M%ol#zcYDJ&Y=n&K4z1hY#j&
z5(}8JW}!eQ54XjX-UA*>-`c1d%zU@u#Oi;EUe^EOB?~3jmj5t$;J_&8@Yg0mZtlx@
zYa*QIs%A{-%bWDl?Oy)gwR3AzlUJ|b=BvA8PtjHBo04B*{f?coYkl+YNbF0Y$l^C)
zyM+(DzqqgYXv%T%Pu*^NXZ140O6tve$@X&H+gFoUy}0p6_DOJ7Var-!*=yX*SIwHz
z)@_<|_<XZsBP;Xe5QlFWAu$;*TW05aAJ01dcx#fZy2nb>65p_Os>06&Q?*;S32b<O
z!1eCMs8#l6FW*0z5&tmD|I^L>PhUD78T6bjUHpbWnCaHe7fBs~+{@Tjy)>Qla)W#D
z-kE0;CVzY(616!%|FW)UmEG02@|*qb*RF5Ky1n>F22Xu}oP^_<D+dZA7FbHGYx}LA
zxKnab&E_Y|I?tZ?uO(I=D44I$;JK3XN*3q3*$u*dhYoO^HqddEHga1z%UxrVdDz)7
zk7sK0A{{c$z75G@%%A#7@aT)L;V)$;edS4?s}Vl0|IN%#i=~@mv#Nd`DfZv`?bZjL
zEoP4beikYk$%hzD5x@J}f{&M1Kz#EnfBPj0)@+Zgn7CiE{18u-f7Cy5Z_v*l3!YA}
z-}20H=IWCQS5h9Sradr~surzuT4<?NZ?wPZW&5$~+>sj>|C-El^Y;hW>#>TF+dC(3
z>x^IfJn~If$E-z%U;lq?C{*x}eQl}N!<|JJqs~~Q^jM@N^UZL(+h6X!R7;%EyhQAp
z^@Fpi>wdYet1eu<PjU4Y%Uvpa<OHR*uXAIc@uYilgptSn*ZaROdBu3^Y&DZxZQ~C4
z0-mnAlkI!%wzuxM@nO5|&(j$Zv+Euu-6-!^ED>b*MfaFp%k1?5_Y)2U8ikt~&RntT
zk(jcd)3r>ENhVKxkIm@{G}JWHoAV;E%u3T*&F09_Ev^B^$tR4H^K^bZ73y5Dde)W=
z8p~(Q$xZbQ<2WMshmo)D&7$dTw?!Ge|J;gR-yXYGz5l7>F$wNl2i{NkRoi|`{n65}
zmN`pb_<D$oPRh&lWBK*&g8K7o0uxNG{ZyIgbW(}=p;FV8F1bR($buQ^1}jfm2r98E
zKV%ZrVqL6%?)k-pXAhkf-bVgBscv)ZS=gh;w_n;sZ9e;W&r^f2qh4W0bt}bR>lKBz
z@67%d){-2$YyXe4%HPkazdY&vtNSGLG|vi-qRARpUP|xUoyFF&|I@6`?|#4g+^(m$
zzk0T9QQAAfpqH0F{XAQAT}@~14Zq4-`~2w<c769++!wuXJ+0p7EAgq~miBIQzWMKW
z>eb9@Tv_?&{n>v#{b%R?T$A)Qt^D_<`FF*Coo%dZWA53pORvE0{hvRdN-KZbz1=H!
z?S$TUmt^@Li*I}gxccxv%iPbEY1Vfot@*C7%2d~8f0@2@eaeg9oUiX}+!6QIg59N_
zaam^kyV>#!JJ+@TbQ2M}_1L<h$lg!S<#O5*fsCEW^Ojs^xl$;8=9kI}m49ol2EP3l
zx96)z?)TU8p494hJc-`^&8VjGQ;FE-Jsdmg<1M!=dtbMrfGZ|kl}|yL&FeaELZpMI
z{brYkcR$wY3r;-QnR5T}^!F~;<u8BQw{cC7Z-|F-b?Th8mpsC9-$Y7pU0Rd=^3|Lq
z;l4G0zcy+wan7#WtkKc3>(}i0$?5^2<?q$=#q9S!WQ-OP^?IJQ;LV-fl}X1IOxdrr
zZlxT{!ZXj?=l%U`-d6F-H2Y6#*<ve|i1*8{s=D3d4UtVccIjB?&yG8nPMPVcGCi#*
z=4i8B{*b9`c}=#+k2^AkDtkAbD7EN&^!vrrb5~rpUzx_h$Jo$x)Olr+&)27prnWsI
zx9$W#_A21`G<%z7gHP~*iL96QW$h~y3vBhS`0M&1{dDW<Y&P3pn{=8FFu&K#4_hAh
z{qXs6wSWVA!WT)+$$Rj&XWqQ-pL@RL#k^_#b3W1IZ}Y~oLj||@Nw(XZ{9zzuR=4Wk
z1>3Cs>NXd+wlDqPw`AA+viqN}XS}|?nNK^Yf#b%$TYpyUX1%)i=90S8dZ#{znZI*3
z?ae=yr#<^k_V<szTBk}&cZKm^lvk0DdUnL*EdR4D3K}maxJ~i){`~H4vG~26D{q>#
zT#NerDx&$$;=4;T9|(L^4GxUGoug%bf2P{yU1686^{zU<?d_vyX|-o3N87Kz#wRPk
zD^|ZgEwXNx(VtB#H-9>PXzkS0KL>thl-V5LylPt-?~%Q4HXZ!(_3754_><30zEU$g
z-hKYu)+edHd#74G-6Nf`blyQd^QPZ_mUQMg*Ym5**MIH%uREx&Wy9iY-{h~oSD*fS
z|DP`PuYPCS-<^DOu>9RW`z?Cm?9r3nd-ML0sZfbux8<4e@#WLk`OJCUx%<X<^{t|c
zs~4QL<$PYKyuhja@2m3Tvm&`{j$f6VEcuG1;{CUbkHzM}@$t`t--SqOWp4SGQJnX5
zy8W#?4X+FtF2@MV)k_}ot=z3uBON|}&SBA|8mq2k9-p`6jG;06x-+k88+KL6N%O}X
zn=|3%YaU*&tAfGNmt-!-@<~5C;S!#*r{d<vF7v;CQ}(@^`JP!h?sB;>hk9P|!9V{_
z9shNB;q4zUUpO`kyZ;wZ(7qw>qL%-1R#i9a!R*P2XLkHs7Am&a_r?3`Kh$=bcF)-2
z{D89}{KYi3XWLW`+kE@}`18x9tit^!JOAcfiv8A@B0t-7iTR`xYj-8xU$SLKTuz};
zrsYG4d8t(<$Cqg69nd(pQn>PRU)$C1r&>&(oD5Ag^!>Z=aLccTYy0oZneS6Qarb!o
z@5GqGncU~UT|cYco^t8cT(<8TTPhx%oc*BHU7xS^zu=Fjr`%6kFTWP<{p48H{I-jW
zr!Ts6edcqIIw>);$$=piJUp9o(?s8$iq`)ZZ>nSR|Ha<50n)|un>v3y&FIwJ+<UXO
z)o-uvF4LW+ItdDo%qv4K*7>|HaqIrnef)Rffg}D`?oHENQ})>D=$?z)Yo_}y`sCbM
z8p|GaSK;2ZeG~UNPSyR_yQL&1$s^m~Pg=_P<moT+IhHIB`RY}>=W^Y<o6VYcmrUnr
zx!CqEsi6G$fe-PU+rNwLTDZhQsy)P{p-#2bS?9zSzZu-=%<-kp0Tr`rwPt^Gu(*36
zqOa}9D>gTeZL#0KeqX%r#xu?hmP=oL_g=L+cX6+DWPEO0ZAyguiw*V(-m>zaizGgu
z_|PYM=g71>w&yN|wtkqJSnpN;-*>~Q3&+mhk~ZBqb91{&af4cMqgrv3+U>a#bHd)7
zE{`xyj8-lbW?F8XdOy5~`ER{L_OW#tXC1$@J=ZwP%fI1X_>0yC^`|$&g_su^v;N+1
zASis`;wz;;+f#OYF<PUX%5GRAYUCvGW4m%IgQ4t>qXn7)IqX@CK~@~67kW0_@K?(?
zZSJ)CP{{v!*_FN#k^j?|{M&e6#{J#*_pg~5TcWLX^<p>v=B}z#Y}OZ?BF1N@7an?%
zBe%U`u~6^a(z(0-)bOZo;E1t}J#Tg*R>}UNYwD~|rp4yw<&yf558qAnNiFucm+|=g
zxli3Q*zE=8D4$_ld+(-7-zsZ8DP7^)zbx;1$KTm%7dz+mw)ry+j%Aq#r<_!k{hZpl
zZAaa$MgK3jf7`miy6EZqDOcqpKRucKySU=>?5lEnV}(*D?a6s?{o-u>6GpWKp0nS}
z=BdV?jl31LsrbS1jQ*|BMwzd-9ODg-{vR^unwvn!2~ODwG3z#L<Kl|s=8xj!kKz`N
z<W%n7cCT`k?>%e5yRN-H*Ca$=d*{AyV=E6(zJLE-{o8&0ju}Uso|rK3-(ty_vpDIw
ztgiEvEgHp7dVcJFx8u^6@*P%-wx0efll5%Yrz6*Y-DX)6vMgUo{pQTgdxB476nuSQ
z=+Zk)T=>I-XSPol%AIFZJEB@`u<vi)cJJ)?9rklRpAh;i?z`<v(s>c}i@O?+F}|qj
z_%L(*(UVUjogx=KF;*%IX5V$0&#CR6oXLmdCs#h+U1RSpw`=djWB*F+XB&D3N4zfA
znDo`x!lP<yQfX0>_w}oNM_1ZZybokaI{vX#bj`7pqrc88vjr@w{QL6!J-bWda*vj1
z_cQTn9sKlT+ovPVXC~TSxnui+$v@_>;RIWeyS7Ih^QIM+2mjt3b2#23?`s)f&BERF
zV*Gc0i>uDPa4L4z`<=&EzuH-A_iD!Z<U`g=e=c3rU#{3UjpwcHjT$+L^U42p9<WRA
zn<esv_vm~X-Jkofelt9r`TgiAXSo~yYplYzSec!Z@vnV8J8*OAb)o94g%86vKf1R0
zS=fa~b%|Gx`mBC<_37Tkp9wE-@vS^IFXf)J;S&vKuRvC*-MflB^e2WlpVV8Q`*e?Z
z>8g`6f6A#HuHL`eIs7SCbVsp3Vf&l9Wvf}{`)fAwuWBv(cr$s)yY075{otL>Y2J9N
z_rsnUDUWw~GBf;s`P5XXC$##>VexzM)9o{lt?+&wGBsk$w-sB(R%|`CFjIfpH~FU_
zQE$6+tB#7rFaF$}_Vk8sSGvG9t$LP?!Ec`(_4>bmQ`%#mrl@(c#}D86`O{`~;<8y;
zpYl1wdKam-Z1uW!;E9k<=l`qS`I9e9-SxulT(W?6Xusnck-hGpFX>y~niqT`r}V>=
zbTetQXFs;?J)hMP(kplRUE2P6*;5r)7uBBX^_Skee#Q4IyuKe;EV!@D$TdyqxMfh;
zyLsV<-DiJoX!R<uG249REK}I(gfCrY+Zt;Mv>$KbvRGSV#_g`V_Skku*7Ry2`-wKU
z?j?Gr)b955+Ecvl$H}|j`<35bD%1JqZy9x4ulU-f&qjaOzhC;j>uS;3t405ARhjIS
z4fqmKGgJ3rh<}Z$^IP#J+rm6pw#?Bpm}#he(=a=-NISEzc5PErSjClTR}U-*)oZQP
z^_cP8QQ+c@^<9BGkGsZos_oazpY>b$+C)R8f9*xfKK`xGbT=*7^0wk;SZqSyn@}~O
z_f3DoB_G~9;H3LyUGfd*^h3^0dqN7{tV??7XU}a>V&RaXbl_2oy6~<@%X}-fIlol|
zvo!>ZSA5jr+Hvbi<8!tjnf!V6mzTz@t9icW@V2>~GZck1o2T43a^(Sc$Xowc?;T#w
zsEg7$l=0$&)J~H%jZgX#KJV_oAJVtrmxB4N%acW>8dgqPK6B~3UgwxQUnd(fFIoIM
zv)=vI7Vbvpwnnz85grw*m?w56boBqdaBN!CS^Ln!rn<S?`R=T0c(H%=BZ*b#CjP%J
z_3PuyZl>0M{*reqPBq_I^JUJT<$Zg8T5Zkb^W2g#P3MP6soQ17<i%3*F-?D`*XdVA
z&DKA<C~HT&%>)^JtDjf@WnX@KRaiWKmqo#^TJx{(F24Hq`uO+gSF7(SbG$OyvP^K{
zp3NEUH&qHHZp3}xSy`I?vt;W>$%+!`*}so136_48`0MC$-M1z7@}I?%tl6cdSu8db
zA6<9yk>|yT$I5<lK0dj)x-as!^1|5#)f<n#b>7YTCm`-qRH0+vv;LGB(cvmpw<lTI
zp6oC;o__D+yDFi@S6d%!Ip0(DY-1L8PxjA>FU#dLR<jFdJ&B(#8eizTXvd<h5BFrP
zZrWsUulkOl#5&G+&bNF0cYou3vehhh>2bZeKL2vl_0#!l-_+VaIrY1kL0aYS`(^7-
zX$svf$=|W3e0_}9_LA?fEF%{c-+k#Cd1>d4gBO<A?Vfw--@d&|nSOD1d+z+g9-I=b
zrfj^bF!IBN9e>lmZ_M%x`FGW}caOt?!&~(h-_3co<o~wz^K4VrsdnT#h*mScPSRQ+
zYOJ;T!8D%D(zo(VS+>|-xuIjlzIlboiEbys*0;A0PFQgMit8l>PB+iU4Ly69#P^jJ
z@6&vJ@pnK%8{=`Y&7Hj4WVr9So%vxmfpyp2d<OIN4!+;x7n^Nt<&@qVUA<RgA=jR!
z%fDpQes~)$j5#1|Gm*Dd*U4aumttCORQTShQ?HdCSd`BGl1(Yq+o`&&N6wV_Yr?Z8
zY5qU+1&(x{e;ePTqc`~@)Bo31GZ(1uYf#=ZD}-l-qpY;y%)%3f+#gf^O;#8EF<;@#
z<Xw+Fbw4c<I=gl0np@FMk>3t#nW=i7n`LdqmVHBEo6O^#E?JoZ<q}7v8@{nA?{_hZ
zP=A*%z;DnaE^$P-CzLfg)H&*!K<n|KmQO+-bMD<bUw7g6KLPHe|3lJG>J<Ce2_H+E
zd?U{BJ@@z8{>L1>Dd!$=^#7T9k+F;E<uvBbPn(S1$k-O1X%7r}tTIO_OZ=i1)7=$r
zjuxCwT8n1NI~h*xInl##e#e%2>4G$&iCl8a6f*@TvPii({^UQ9$eUnt*eK5FvgMP*
z!9l05{8^sG;Bo7fzsB~d>-b)(GF+U$&SQ5~ajjXf!{wz5_sRY4PFiIx)v&eaqvy>O
zxz3j&T#rw*tkJ)=_w|MQOJAQ4>Q-Ln`9rxjEAxGU)|s6l6JHoln8UVV)zgoXHnUVi
zSXe4D91m)yxCex(z5aCQ^Qn8@cP*p#FTJ(O<?yK`{1=v<T5{*E)wTS0g386J+c#{y
z*;=YolJ~dOZQ*nE&~yD;e*deqYr216&z1d0W-)ooiS7BsF|Xo)nb6@K3NNQy>T;#C
zC&Z+G)#`E956nHj(wK+!j(c6~>i~sWA1D8?&560WWY_8Bh^`IFTQnA4_!l_s@{?bI
z$!>*Plus02?|WSup>W<Up|?l>$q|u~qyXE#vYn5A#}<38y2HJax89b0S^Tn{9fp(E
zz2m5P8`td>`R#+U+105^PkFBdx@8LqEL?FW(>2l0%*yu8#F+;h9@*HgIef-K-S})@
zQmkxd=6$9wi!ufD7Vq|3(eu!3pG?>3Cs{xKPqyw}*jUahJ@2rFkR|isIYw505ATqa
z-5(Ig!?(EYNYB)GiOT1x4tHnf&o|oQ!`~Gn-|qBu`u$zD+g)Y$OMgCizAxV4Qcs<y
z%SAh__(}Y8Gqg8^<-gsu`ZbTmH{o@^6(cT*I$u?Bo~q(3s^a{>ByyqSzc|5<_1@9Z
z*?j9mIbt=!guUk{FFIIm>Y&3c=F}i*qHuwc_n<4Uq!34A!=vRL92_;KsuLzvnaURb
zI&4;NbKdw!h@}0#hx!s*<d@Ao^tI(`O5~BvEB+`b=AV#lKJ4^<&plh;9|u(SO}4L1
zwhfl#;4)mVu<MP`mOM!guPqtsa}-o!p08dSA$X6!X^w*+Z|NK+9)@P$ScOM!F&SMe
zgpTwsFuCop{j`dUXv50X08brO>F^UumMbq7-z!mRah2uwuq>1_>Xh8|z4NrSq|lKT
z*2VL<`O6OUaJapxvh2EOv$$7M$hN?tE6V7|!Ddc={{yd{e{gI)uCBsUkP{iewCz;0
z<I||`&g)Ju`<4B82G_&e&FRWEy$e1*nX=d`pMm=>S8PhsojOVJDW5~^xF?x(%xkzM
z5->?%HTS~Gw|^KOJiaf#mfPV2U&=n7t1Y*yb!=*??F}BhUu0^x@%;TW>=V4X`lH|c
ztLTW^8ogUoQQxs`su63{wNnxq7Z)U~@@;HooWaCfox)=x@$Y2j27{ny4u@yfPhwSd
zE@cyYo5Z;FcW#ct^zT<6FRMFTaU<wbc|Eh2^8Q@?1)18SQ70oWbsd|O6~ne?^QH#I
zv#xA0;gJP?sq<uepY5B!Jl4?sQr^iU!k&Bf&a?^-Uew(z=CM|0&;Na_9?J5vFRmMP
zIIa*A=zX-FAx!&$6z9$6=T{1<ORlbb%dY+E#6_R8d){Ro`okaTxw?D9;a?(A?b$^v
zSC4O&{lwoIkpJp~T4BJ^g!e0N)U10nW8$LfIBl;liu(2fT3-s3-3z3QV=@^}II*6Y
zkh{(#KzVuF9*x6Y^WwXAE5}6{ww|vLy2l}&Wp^liALsemH%~vF{dV0OL*0rOFVCJ*
zxhJ*LbM=atWn9Wr4&IWRAzYgELGZ$sfQT@2N8LyJTMn7+<y<G$B*ZoUr^=pa4j-)w
z&IJ;@0^Mg=l$mx1^X^?Sb9&i9-N*m0{ae4W+V!CH{ucAqfA8$t$D4E{c~_F)BTaw)
z10R`hE<CF7HNIWf*iX3s?7W!B>Kwl(8)oyr67aimc<C|?;quoO*~MS(&0^}F&bDH~
z24yZ^mK49%DHCtn{q+kzdn<p@tIr|n%Tt@y?J1dj?0W0t(~_I#<=lI^e7)>;{?GcX
z>9J4eotk@E@=ry}o8_POW$t}HZ~OZ`+1p!dihtj`Wfw17UHq-=*}XM#=f9r+Dtr62
z>CYc=|K4-e{Cj`w-d@rFSJ$mu{bl)%?hi93t8ZVIKXt3szI&gGwYw$@`di;wck}49
z{N3w&Ct3@9k=K>7O-NB~TKp^C`TP#yS7#<1D0Ub8l&&rEY3fp)+FT?39B==;r-rGo
zOdOlF5*8(Sd|n#J%JX`sPvo!OfS^+znh}fsz6^2fW_veT%sy}t&!;}C>Ow{x^PQj0
zT78MD6OF%ab+6hi`)2Gn@!SsktLE3={W0Hred}v=z2#T`MabRXy-%jbo?-P0cH^n-
zC8f7b|33e<^7H=1TNd$1T&Q<kR^n$|uV~BBG3|NCj92Fkau+`ix_nSJ_rIZId939_
z9o_QE?GtXkzIQmTOI$GP{KU!Ob!Pg8=5_LCUf5c^th;mS{Jf8swjD37k9s{z^Zm+W
zU-PHFzdhyrk!Tz4cS~}PrndiEVg8ZZqSjxr$4knivDIjY_rb}Q%xNm8lDQVJs5Xd(
zwHc;~sHVH0x^lL&{8!KBKxN*g>l`=>SFsmA_O$%;;@YBPtS>@m@A!5kZ^O}Jt_#^O
z_DFwgUb*SZLB{(+JB~a#)S_e1c3}PDnYL{Hdh2+bCcpU7ZlqY|(&AF?;MlN2SmwZs
z7tE|)r(1V*Z5EGaE)440>#Y4sd;R+rPU_b@Jv*=Gx2{sWCNo{4w<^JPqmvYaw6*iG
zGY4kAXqdIWb>{R4`xz_#_fGtlCA50d)^!b5XXn*&EE8nqG&T-*HlD$fd5cGXv80Eh
zsbI_Gt2|4V$enlcj^5*U;L#->CIRy|g4^B*E}F$Oy>-vyq*+e?^$+}K?^yELoaeMT
z)A||H-^~brH)GK(#wo3J6Ov{*{_j5UzmemMviZH8){Xpe#;y5sb;4TtGbS5tzHGBT
z?;xLU?%IXwg}W8Me)%xp=lDdPU1otxR0EBp;{7;TPJO(ncFQ2mT)i{RS<-Az%1yT7
zM4#vtNfw924oPgA;h-E5@{q|zP(vmnQ?z>S$}{Igj8mJ{ypHisO`rY$HCH4@a>$SL
zRwv#jE*`TpYs&P`&Yn=UO;NjwPt~#X)PoN8T^ZM89E^Du$*~0UH?C`Ty6C9%T47S5
zz}Xx9Nk8iI6Ks{F&TzB;*=+rYQT|iK+kzvf-xbQ0TX-HbUa-^Ygif-d#;ot%PnZ_}
z31|G`udvIBLnk>_Yg+J`rgL))tUlfhK5La;a7I$@Q;WOZg9(4b8977Soi98T*<jBp
z<6_ITOpbYOe{0Yg4~EZ061$gQuRXr^+`II=W^-{>Kc6=7*$)pUmFy4@{29-B;vrK=
zi-jR$T#l`ujj-gs;Qj90)@D;5$2!;^UdFa8`_jDwN33N#xOt~&cSPtN74O>;v~e}(
zB!MGkwN;DN_S$P`Ma(^skma7olElul<rkxL<As0moqT?~H{N&nAnX45z&nfmkDo1F
zCoreFC0cQcee~qsd&XIrMXq0-tN3nYt}YU4x$r`hdv}r3p%Yh_1bS9JJ1luo>6LL}
z9&hS_`;sRrycDN)KImniswm;XFL@%ut2%B4_nU%cM|gj==>BTaT%s_gb>D+zvF87i
zqayxla@8(yO?bZ}@%@g3AG&kWbd~LD0xTaj&$B$*`1gOXeZGW)1+VcOwwnt!d|v$F
zaZSwx3(olOA=U?^cU<zgtjU&QawdJ|g~gXIq%HP2TRF4sat-tJ-pf_R)7vh$FkA3m
zZYs85yWGQU(R;b8*rM(7BFDLZeI(-kSDoE2T6E^w4~A+!iGNqOwg3IJW0~can8S<u
zCj{Fh=}(+v`{($F;G~J-D_S)ta_>5JYu(dt*-x(8Urji-Qur?Kjvebazj(a&tbdES
z*@Il)MXFbB@xAp`o^<8b<6d8XwVA?K_g}qM)BS3Xsog8N^}CwxMK#TRDsd?JkyFSQ
zmFf0RO1x)@&TE;ibol%f-dEquYD3=anQ`h&@7_15ACk{?N!IeFeyP{bO#i*Bwy2`y
zcI4W)NjtmlJP`EzsVn=1?fUY#>&tVR*E>jme*dvPKYpJ5)XVWIVSH0oO*#Cp=H12J
zzu#Y7Tj^hI>tCzT^Y_cH$Fn)=ygs{ruZ`U^qxI%rgFilJf8E<%%|5|!(xRTwUDe(9
zmhUe1mX}M<mj2si_c`g#p^pDEKEAzhy78yC>zdVfHi+d4X_%k=`}q6PLw^=YSxfd`
zD6PEWr<5zQSXs*H`q?KTuU#+2OcOd(_2c`_pf^{|w=Fg<wN$EJ?UPe|J4aXP!}NQm
zb@KD?x*BNo7*&V!HB89at(_5)xyhE*Vr^zXr+@M%UEZDXo}Q0o6yM%;m)aixk}rQl
ztj!-+-<8?@x?c}(JK1;7+eQ9<fBW%we-4W~yX}m;C#Udx%iHtMJHDv4*}tB@>_J=c
zdY`jDjW=c-S<>ga>&ec*yOMLm`%2%%s((JGciU@D+>V9Iou<zh@%5iyXPjEq-91ri
z?VBwO3<rLcv3(U=eM>+gXo=}`ql=F>xGby}>Gh~KNQrnL+q1AJz94_WuXiq<<p!xY
zj#@<Qc<b}+7NbXP-RTY7l^aTLu6(t9zVX>(asM1=y}46xwyyB(HTk#PC5*Mn=~m*K
zl`{%`_ZKTLa6CABE$6&A%LQ3kP9L^kPcllFrYYrAebjyF(JSpUQ@nJ`8Iiv^wV4+V
z|GcR0GrKs=ttPEOMt5Vtnv4?GxhCl=EneQ-eVC;<U3}^rt+$yG$){p3U6{M1wO5z*
z+PwasevBrQH*Vb6>#>XdjA4P_v5SjeE9|;;#?asISn%T4Cw8@+F<dscchxbog<sEV
z`eg0Cu%@TI`^1aTjTw*LUUlzw4(dKu8d-5}rS-AW>A@ea%**(-#+G5#y0W>wB{Exo
z+uMe{mtB?j<E`(PH>Sr|#%cF|yE^^;wq?J<q=c`Xi?v>VDz-2Af#7*}@2-R*FaP8!
zZe797`O25Jho4RH{Zwr8>2Rb{)kzVNFRp%(dZtEC>ob<7x@{KgP0^J;tQ490h0RNa
zThqk);FFD#7hWyg?BaDlH%{(+(Bz60%Yp?bOiE=|Kh@?th4*!hl2J}V$_<Z`n-b?R
zE@<<e!XvFIY349n?(?b_in0$~9gcS?d>3_?cbPwDTIGbEu9KplRYKNG44LNA&C{7U
zQO8KN&8<M=nxvHTVT07C7vElcQluqzVx{!N6z2<%xqZ(azHt0Q=cK>4D;LziQjFR2
zuy^)5qa25SZHaudcv5eCn2^d|64hduS8-wkqxFhIP517zeNechV7a0|=$`T|`$y?<
zf5Xa*i)N*Hl;vj^pO|4+HRHv%g2$`AJz8C_vCHqG^+Mj;8|DYz@>cxB>6>>x<)pqs
z^`peIr@SJbO`L1_eM@?#XjqKalA7Jx!Y4I^BPaDPneFe{<rkp$NkbxJU9ZT>-X-oc
zrWTxNHpoAa#^d66ZyNu%JcV?go^x|0PQSlpsr9?=_0N5$`RC78oG&4r$t&W%FVW}4
zzTdpJ!uM@6`KMJe_W;ML{poy9znxwEh2dSe@cb_=*Q5XGO!r$`Et@U8M)FL5SMcl9
z9Jd>XVt<Rz%lPEayLf|z)al7uB3l$LCV97Tx+%@%=<HhInHACzl(ch|XSj&JVqih&
z#5o-iPcpyBwC;GZSo%`9#}RXn#XAGp^d(oUd$_pwqR!%J{`IMy+Go-frk0B_Ei;eh
zt>2)|_w~=d4GMP_a&Pt#Rp<5iXm~zr-a@YnOM{9PjdHSfGKF0}<uhZdsOS4hEq;}Y
z+8I91Eqb%zYyQba8^u%gEqgb#9<lh-BN2BZm@{>qO22p7)NdC*UDIzpyzvMB-`D$g
zE$u%3g!6vn(n&jy-BbDW{M@(B8(aM6q<%Rie0b-{5>bb3`#Lyf1BJDO!a`ibg-fqK
zJ5sxrF~LjH!1TstdzQzVdmk>WJ?Le2eea{W7ne5WvHPw)y#7|>@+*N+RZkUnnmVq(
z+~(F^n|JHU2k*xUYl;N)5-)^Qaa~xutNuWr;!{tZ&8Ho+>ih0qKWo}kmpo;PUSOtt
z!7|<AWx4^b>woIYB`>w9Of8$cq-<j6n`o;)vn;;kuJ<wC6&|$fBFh)GGHWq8^Zdy?
zJJL?YE~$y#JmcsjJ@d)ui>IkaFnD}$=g*g3ZzDFL!Kvsv+kDY?Gi1W&{O&rbp&QQE
zy_o&@W|NAiv!iN*mxra;Rn8T&KN)E{cYc5RpKtP4Y&6Zb=Ze4f`Tl#Bduohywxijt
znvd$YucxxwIqwtI`Q)}b=uPWC-f4erIA$+x=$1&^d+h$@Z70@OChSgW{CeiYN97<c
zX_bII7aTV^ay_#Qy10^6cT&f_6G}G|Jlr>U#xC5vO2jN=#hwg<n+6XS?+92Wn3FNN
z(qQSPg(uuS6hjhj8m#)XaB`~C!af71jJul_&ik}*?xuxvCV$~ndXSSC6wlN%?*&_k
zl%Gg8%ZcXwGanvI3fC4AEo1d`npJ<-wDUty@6u0eSdIKXc=|v5*LO*cXSL(Jn1#P)
z=hZB_t9~+R>$Jds`@Y?K{&C3*olh%|6wS=oDWbeVQ-Hb5-}M07AGY}le3v+k=gBIt
zcy5#ra0{Cr<{~l8;pH^PDT*uW!bKu0Pc@`DEZgm@tDGzq$9ZgyMCi#wE4rfDjP;8C
zt(i3Qqxkk7W9K5>_xh2NH#Oh4n&!1#iMsg1tyx?#B4M$2&XnHA8y<eo?oPhk%)9S^
z%4{7QhwFE<BC1LrU0Z7OTeLs0!|7*=P36fwOW1`hFK7$hzPj4~wdF_i*dMILCYC3v
z8MvpoR4t#w@Mgzs2Duv_L(W;-vT&9&+TBRDO7FANVU63g-@Zri_8INVU#}f@jGr{4
z|A^D+Zvl0p75}pqzHsJEcvz_r`D#ng#C-2%oxf5p%Owi7`ucos{H7uqaCx6@Ei=oK
zLf!e#?lN0U5o7Y>_Gja`RqS<Zazplmlgm4&Gacf7eC6u=6IEgR`F;QJ&i)jBq0IM>
z?d)F>W>3zqeKY&l4zn-D`ghN(zn%T(@2o$sqdq6k`;<6;>gu_kXFDaL8Uk2$uks9J
z;(lnfU`xqMDdpeK6H^Xky}lG(lh0T4CH%JIZGq^25(g$U>TGLX#1R%eJyO7O&u-BP
zB6C>SBKw^tKbUwv`WZ)oT&qv`>t8Z$SGwww?`9ZT*6g*~|3N}T@yy>P!Fm%a9{t?-
zL;U()ohW&m%#TO94+@J^l-mk@IB@5;@s(}&{%>D6cVgp1dwZ#f4Y#FSzwHfd|FqeH
z<=~C^%e>8RmTppYzjc4jUZ>XXi;^YLVk=eI`n{4CR_qVdUvx6>p-q`pAjjQG-4fM>
zvW5Sw_io(G_#r9c|F-NO-<}+t)!1XMKkvqmh#TVbYO7-&CDt47u&58L`>^TTzS>&L
z&k3K3;x_H9l`Tp<-T(FQvyYFze|mnpshFF8+Yad+)^@+P6eeAHRr~4b>E9`*X9;fJ
zFRv*3`Ac!i>2G&$u6;erEXTH5zAG$vV@SpO#^3fO)yV~_4%>5cZht!>e0!VPoEzIJ
zlWuRzoqwZDZQER*KhJi5-zUHR)xK4sSF69iTX*;F>+|no?<(@JevLP|cw=Hs;oJp}
zT_0Kfm^h`UO>jOhU*W7GlZgFn-|VHPJTlp7kP^x!^tnDr>4eo6vEWOWxn4}4oUAKz
zDvL+uoo#^ApVy&5%)+js24XXJZ!Ilh7Bv>-3OnN@sKq90B-%Akbx#{-`g;M}UFVzy
zK8VfaHVgl9MDWn5$Ld=-s*W#rZd)L!8QgGk&$IcJCSni%e=AL#`FGx|RGV|N6I<A>
ztf*S}^-5KogY1spr8YbqcfS0}l@aHkc6hI3W#My!D`r(PZ>?uuahg8;nOUA+{Ec|U
zpG9%K_hS}_7Zh)rA0rd(WvjErm2uK%v+rrDZ#EiEv-rC9wn*IphJKA>O;@CJSA?fr
zy?N65Q+><%`-!{6Z^is>+C9mN)yC-Z&a$Q*UI%tuTQ%YM(r=G+_P@XXzr}sN%6h?d
zZzq?|+L5p+Yo<`>^vnC)WLDJ}MDSfH*}C@G!IhKx=azqZW+cU)(X{Z?Exv^(uXxSQ
zKHztu^1A<ojFKZO+RZNPR5aSsI&po)f(UNj)FO$aN7k)n@$&ojCUcuWX!hcet;bfl
z?_9HpCp&rDOaFh9)_z`<J8whn-^jF=hOetGr9OXCE5sVM?8=fECV3Ct*6qyXdVb~U
zj*FKQGJX4duCOm%P;Pr@6Km+s|2aiD;XKV@3m^0>zfr+hd%vweZ>8^C%SBi17`98E
z<8#manRaMe;;YNM8Kr|4XT+E|?oU|6Xy%)5?kS%&X_b#=<eZM=m1ni<6}FvV?SGs1
z$NKZ@OsVtJx9<tJTW#)^($29qCHhVe@5!!Sp0CfBxITJdKS!N!)jJQ7yAMKc<xjhH
z`2NlHF7EA{ubSNT)7>*U!ug<9z^1T;X)P|7ZZFKvJ@w>?qM23T2_KuwfwPyXL_X|&
zbkwEn!7YAI>Ek_$t7Na8l2>{we%LVfThv;HiCSm0Z=5w*z4U^J_l{(-0<GEIPjwT2
zi++fopZhjANnJ~MlUvf#oqJCvX`TBS@hmgwQ|c4*$;JoIh$N>ZO-j9y>b0e~?sMm}
zs7`^<4i1jAuP;jf|9ZOae)G!g<>&7$PhNF@^V+q$H?N6nJfAor$Na8(RPtJn6Aw3B
zNy>W_o4Pn(`N$*pCEIt^{s>Je|9<ab?V8hZ?62qlD+@loBzxwW9(PHli%sVFOWX2g
zOvUSrLVabMwbFd@1<y96%NR8oD4aa1F4%lrQ@DAy@uA~(4MNSzK764qQ6}Q+{>>43
zoH@DadQ&|+m)ymM%#_22F5Nn)6<E9Dnau9Rd2>!*lIS<q5z18&kBymmZJXEivnwvH
z`XR7uUE$7u*1A2dNiK?e5(_fUesat_zqQF!@AM0y=`vPMGjlrFEL;}<;oo>|mHXR>
zkCQft2#IRAO1yB*THz|RaoQ7>RD+G_X_t8O&ixBlKe;YaSodfOm;0jBQ}vU%ZiL@`
z;-6vr&tUnkyW-DTOw<+QWl!z5eiyQs-Ttk0lg|?^LA`MC<x^KE?9T7b@o;oZPuv=F
z*p<(`^W@wFPwV`>=R7yPnw0+K{K>AxvE|BUEb12TE26?x8k`MoPh4Jj>Y7^5M&Wgj
z>iediky)hrD(^yh#)P$PY7d*MO>TYaOqad5tjdM&-;?G=E2N&8$pjxOKU(G%>>d^F
z&if!bhJEq;gW+Wh-EL3HOaFT&c$2+m=v{~VN1KiEHI8crcE$yGUEZzKC2tdQB}Rn9
z?WIt;&(w2fx-Yg^FJLc@ll;~sdqDJlx^n2kxpq7en{FmJ+MiR8w3Io%Cc~^)=#h=o
z(amR5%VQ$GL|x2?I;fdC{lyXP^ztVHQ#BI#xAu6xkUbbFxvAx{S7c)(;{x3^T%qnN
ziLR@%Cua+t_ITNB9&q+Wzhl&Ki7#Fef7&%WE>#HV_GCEExaxBCp;E;A$x9OFh^+mg
z>(AUQwC{<?kuRp4axPhabJ#N;^s8=R6l>bNO#SqBHo1(fU7hu71H}s1yl1iUh$L8B
zE4p&JPMy_c-1z!|(zYc}4G&5mtaO#W687NGwkh5+@^?=&ow8SqdC8r0|M;5nS<61Y
zb`Z0k^lCSY)JJEI?s-SqisXg)GV}_UoxU({_TwiiyzcV+MomBUSClVFF5-z3{dPH9
zE&l#4S^3M0SK213*z^Bf@MERl5>B<4oz^VoJN7*D`^q5}v-3R5Zpn?!MY6UtC#<uw
z_i@~|bI+7*i(Ph0{$0v!k<>XU{+s8c5?0&CEsu}gyRCiTLfs#Q%mC)z8*^IiW?ihz
z+JE6e|LdFkFEvgsKOv!4WxcaFo<Tb0$QCmxqu>pX`Ik5+#e7+@txD$b<h5y@IR{^y
z-}7qC9QEzf3}&faoW#2F+={h_9_O1Zj#=oR(r(s~_>wm^-*I~Fs+@WOiG15^(SScs
zcI=*NQSA2Fb<$;itr?~#+=Q;H&-UlGC_Yws*zntu%HYlyM<-nF*Xszr)pl&|wA`0j
z^&PT#x-9SO+busIt(<&nU3k-iB(_BdEVvi3+$(4Q>ij{0#i+h{@g<E7EzF8;1qXO0
z9xFJ|c7374=?_O87nU(EmTwJU`rgj)<>g<2MJmA$4hc+rp)v8m|5@!kAM@vZh)~dJ
zQi$Cc%yup?VMqA-(x;OBEB~xA*?sTT>b(wJAB$By?l7hD6>{!5eqZwavaplqc`m4b
zUS;L@{gnF3me0>7Oxs=5aee26w;s`~yEf>BPW`}Zo-_H!J7><8Pfv9iUoXE?D{(}A
zQ`;++iB8c~3>O=V)0`^<4o_q7?5c1s6Fn=j>6QH*rRc(M4|Q}tDd@amyb$wIM^~%J
zG-YYk6K^?{xMQvo8dA;`_WV|7pDqbssvoiLYMkPwMCFjFT^j$=F5D7SHky65-@a_V
z-dD{O@x{}154Ev=p1SzmZUNUt5)<9mFLYh6c%znO!OID*24~zAtb$HH6#e$(x{+6e
z0Z)XFv|0ZaYo${W;Ue2tOx=3L%6(eWHd*frLA)CScv*#I76kC5CcHUqD{HlHgF>r|
zwbx4V``O9GAFdzVFCe|};Pb>etiBWP9h`c3lVRG%S$p$r%6@-gDe~RMq05ur@ur*I
z>ewcWU94YpCTU1@9Q@R&pvcX-FkF@YBSY-Q35Ns%3hKBf`ZThfYjo~$$Oz58sQB{j
z^t{R4Cq7Q#7d6)`4i;T3b%p<dgtV=cLr{TP%IS=N;;st;eUinZ>O7YMm@*YA|216d
zx_thU=A3u$^Md<3rM@;-x-W9$JJhn^SSn}#rwLnJI`WOrWwpmmjpEAkXL%C#N-eX7
zXYr)lJefbezf71n=kuHX6nn?yAMx+kpSRJQ#gQVXntpsPM@iwM%#9vL`My6`zpejq
z@jjPS(Jkc)MOE@sepz-|oqkdGt@lQmwO8G{<7``&AB>X@@;}BZH06qV^2)5Ajj{g>
zUP-dtVGnGW{nbbMfZ|nQQCapg!dIM~v%hItb4Mz;{@<K8Wv*k4=a!v;w{`@4<2dw_
z<Ir9ij|XRppIuY!oN(mF&PT!rUFZDn<oLw7^qAwxd(yoYe@pkPZjbQg_7#?nT<-37
zWDd8j?tZg4Z6)y^z6s|vm-`yqvy0A|YqRn0t+#g<wI}s{c*{0%%K_iR_s+Pb>X}Z@
zp4hG;cJ~ff^>t;9yD^(w0xG+YExacxGqJE~)6qw7Ei`);^*EUx_U5^FP-yc~4ZS-K
z?g@V^8$AL<Of(IQUfGLfHhWC4i127J{?}`Fz)bQfU-fauLn_-&&Ca{AO6lxktF4Ed
zFR3}bFBS6IBvQ2MuWP4z@sbs>%JOIAZ4-hUG^Qza{CzmjPq4v5R8CFW@Pp*r6Jn(g
zN==x}+xDIPm~O_P%=Dmkid0MT4=#0%_=;Z}ZkAj!$)D))=WEfGkQx6R9xi=;Q=vO*
zTR^<r;*YmCsZ~V(-g|xf;pbQ1$gZ5+=j9ryYPo-s3s;STg@KGuheBF(xY5(;o8s;Z
zdB1GE>00sDT=CQ!r<3k3yN@u)-1BlOU#}iBUp=P%`62mzbN&36*!h<%Z`a;nAHTJ~
z@$lia?h~%B<;8cep3m^N{k-ji_xiKH?c4Tl*7eJ){}v0E)ch^~x_e*kK?}9cEhYzU
zzW!$@y!grMyOk$iYZVE8Sjc`Mc#HYsc_y>WqmE2zI<vxG`9a|Odm1OyFI>F6O+WkI
z^u^-5TNm&9eS7EeNaH)dpM<4dtXrb#VzN$$bBFoNDc`NHr-^>Zv+;j7+17f}6}A<L
zyEJ{Zs{&O1J^NDqqxI(w<Dbq8{rOh!(fxYtn3&z$xekA?PMr7IKfmXsP0hCavqyhl
z|G(q~|Lf)9ZbmOBX~vhzUw7MoS@ra_$xR28*RPyd@A%@CQA$ZU>sJeg@ZhlQl?^)$
zBGsm6H}%9eADH_3m+7pw{drchjnxkETYIgWyT1i9uaUi-eJW<pJ~^?>_tQ9K&HuKa
zJDz<gkblxIu8=<6xu##2-!Jk>^|gx*eEI$SL7w%ti6?7QjKbI$T{0eM1$_PTuP4MP
z@SgkooB8XS8Leh~_7^{K+P%nivgCzyzxuMdcCTc1yp`GUcZOtmX3g6G7MA5Xer{YG
zWqe#ESM^yYM*rP#MkfA6a(UUd^)+|T##gmP*Ei;rTs1T{s_UQnR93z!{>{SqWlZW?
zvUR6pFP6R1*-~9qexyA2PX4-Ed8<<mrnxC<%L$*hE8V^5*4;XZ9jl*bXRiqq-7ELk
z_Cw(P3-3Z-eS0Q$;`GdSsek_MnfL5h+OhY_^JLFXR>{kr&GPo0McSjMt}#pR@B1|K
z+R2RBnag?ZJm2%^@$-*HXJ4&8w^yky@P}`KZ;7wYgZV|yC8amo8)VY^KW?oGXZ1Mr
zZ(7^8<0<Sy+JD?SKL7unx87!P(ad=s!J)B#!#h0Be*L^iU-N*;!n@0iPt9ju`|$TX
zC7TldifWFd7ZocnM;xn=-8;iiKf8Hx!0!4J6Lkf@2d}@+Dt~Fyq!cNJ#7NhqZv9ZU
z+Oo(eb>()a)_>1zviJGWoobS8F?;>%+`WI&+2`KO()iwGc>MTExweYUMW(7HEB9+I
zzp*KHhrjyDpjtUqJF)gE@tc1mmt6R9{ISdPeZHrR{`o&UmA>=v(fN(PW1a6h$8Nd0
zY{@sS!;`Ozy?(RJV@jsi_k{~Dez^D}NzBN=e16Q-wF%Ojx_r;o^NWZ+E-L2i=vy%}
zX@2ro&UN`gXRpQ1*ps2fW0w@c;=as+S@HS2C%oGhUw?b>!DszVzbfmB&gl55^NU@I
zsZgGH^HI_c#{?aF-S_PtU(ItxKQ=cUI~(%h-_q$PBkrwbc)GduV`T`BP2;Y=GnmsH
z@5+fY+!82X@3OGdlKD|brA?UQwx6+iOAr2+6Jrqh`1|y}o&V~Zg-r#2|9fxBba=j;
zw0l+5x7k4%b(>2y<j<_VoqeIyVex?s%V3WF)rQLzs#w$x%j+H8@o0^S^p0b^_xH_P
zel&USRFO$69cOrxW}LR(uTuY4>0d!n&C)k^SDTG;irsVPNk%TII4qTBaa~bsXVtr?
zo%uVB>sNm)5mK45BJ<IyJKy>*hvj^F^68&<pZnJ3`@f%jb91)%n;$1WSnN=;y}Rgh
zZS0<-k9)N>chuiHAUE0K-Nf5A!dCf{URWQ$zkI__;qZbNPtX05tf)HpRM>KtvDLrn
zMw@$!ji)~DF`FKL=VRUDnD;mRZvSa)^4PfEHSrD4gH@k4oq57L>3e#~@(GJ74>T$W
zzJ34Ctv7p?TvfpRc=3yS?_S@$QOo1tx6f}M>@#wletp+8#re;_JXkXMu(3VURWDa2
z_qII;3W_#8F0cvj%aP-BJ-POuTa~hIwP(SZO2uF^J@!3smOeOlcGun2!OTYUwjQ`#
zrK6_Qvum4@!<CnM+WgZynzdgaefzCr!;FV@3@_^cSyvr?Kb^fGv`diTf`3s`t=_du
zy$Aa)U!1d)C2reo2fo1llE?TCy!$_?%6{*iKQ-OG!j}Y3trt`dZ2Wio^+zko>eB|#
z7!nVuYIJTo`O;N=Nyi+gTj?6bT<X`)$Md{q`n7Y~R5|xtgTvX16>GlkFbjIN{DZW6
z_0PvwZL^Gc_G=V!8Rbcx3YU1sC|RCx`|rc**-AG=CVzD|WzCG;6Q(>ztYl`#k+Ovz
zSqi2%A1}O=%d6htnR5JT7_Z9o<_Y|^2V&%^#EPyL3+~`7d%cb03G43A2$r(OyVsOz
zdL}vFKk%*k^!hU6#ybUF_6Bcbu8UrMt9$!-Y1On1U;oSt+ICTPwoYGD`!VBdYM;0k
zZr<Beoph_%gLU?f_}gzj9sltEA7_Q!)`#6M-`@QbaqW~@;G>s`-{b1*k5m=@c@ZOb
zGr`Hs|I(>r!IjeG4#8z%X329nH2<WP1ahUQ9XTTJTzGauVb-@`e&z6T5vF{57o+={
zyBycOI9Yo!&+U$I<<tYo3Y(wyHwt$%w%z8xdRvrP**9Iux$Qr*$Ku5Yuif5ovi-BP
zZS{Yv-LqKEEKrQt`KD1ZX6KuOinssU=$Dw^QJ?g?*(OI#fhC+d&gPi1h$MI1p3|x#
zlFW4m-`97t>A!oP)YoMdzdTC*amRxDYv!%x>^P9JZpDFLdFd5zBT{Z|Ww^z;;Qt)6
z%|*fv#bv?%uT5{uU);diGyn49101||^~vte*}YtB$BgrPU0K=hPk3t>{GyF5-qHPw
z3-8iN^B4Z|TI8p*boP<8JCs=7F6dicuW>}h#Uz?3=9~Wcc8Bxr4h#?edWF@V4S%D#
zVp>A6tn?)BDY5e}H2NP&QoZlRA|sx{8XIK7vEj|exo1SoZfsx(IxLv_Mq%<9sRz5R
z^LJJqUVUzoh<fv?uBT@dZk69qe7SP|7k=$-E%7Zs{g*~*&J&Lk_2(##>n;*L>mSK8
z$K2&h_w_@%ubUrNR!_gPgjxH5m#Nd)DPAA6M8lcCdM(MC`PAVn*SspL(v03opa1<Y
zIu-C`n~y?(#*_QT)`u3(Hnvt;xLxv{^2xuPogdQnJzjZ9Ixu$M)r+%c);M?Flv}av
z(wuVnZlgH?QP%f(moNTwJV1M2N@URLdTG&hCRf&&xJ2%o8lNT>pBA?0joJR7wC|pl
zA5v;Bt>u`oOY_lIFCXrlnfwkNhR2RRp2EwOZMD%w`rhV@iB`4Sc4tW4Q#YKt{=m#f
zjb5KGXYb;kv|r$QLR9wpP^ml{l{vTc*%Gg>zawat_~v$l_7#iO_c}vV=N~;I<hAk(
z6L;p!@|mVDO`g9xaxb~2@J5F2BxMPe{<%EizSl#NJlFH=Ww1Z^Qhmz0@&IE#&Qp)m
z%ARiBaC^FFl|Q@d;hUUnUhKOheoe8eJfymt{SJQ(=j1*^X^S}zo-lm+E8TzV74KiZ
zuDIpg52x^3sM+_5J^0ps^L4#=Rb%tlMti5kibm(J4Q;vK+w;D&=RLpPbm#s@mINu4
z+uDDHT)%c{$m%MdFWYJQXUnakwXup(mpbOxtSnxA^irUEt5m+sgx3}`j#pdkiL$eK
z=T|HfvT^puXFn(Zee`Ah+#kVr!gVr&Zc86Ed~`Tv>%zbtYXx^MNHkr(@a~+To6{!U
zpVM@CPE%%fRo?AITLNzu`>v9dQZTV%{9()%C-|6e+wT>elYDvP8}gW533%}@n!s@L
z{9TSis=cg9c6{4TFJqnL%PTLikl*MpU)qL7-b+`wC;j8NIsYt6&@1LsH4Jq*v6cQy
zu2>5*{Id+5r2bAxqWINp;eEE=?_B5HVA?!unwq8XE{^{*qqbZA_~H=QX7kR%#LYhU
zRNa!@llYd;V4uE{ODnV?VB&eNc<qL%Sv*l|e(EoF@6hVvw!HWxs@m7=cxmj(>Wi78
z3Xi9nFg`h>ut06%yZx={!YU2+!v92q9nGq^|2t1$`0w+npF?b4Yq_vW%UiFmg^RV!
zKZqRbJ-cY%p&v6@JR^7JHJs;I{_NbwkB{ex1vCHnQJ8sZ#lgjuZLMVo7T8KhP7$$K
zP;f(;x%#WX`=>mgHYW<sIDe5bLM^sa_iC1z-O*!8k-5UA)7WhnR!v)Gt7`4NKQr{p
z35iq3*G!sM_Ke4Szr;?_`Ip|U*>tt-uR_f$%MZ7#7CfBUJuQ{%Qs&7sFWeTH&T;Np
zap<yfL0wjSqU*YDo>QXMOrP2XP9}X+40scvrE!>-@nLho=VXKB`#nRC9=jr7bV8$b
z>M@0Y$cwILm&6$wM9e%pLpQt8%daEE_)YfyxdPtlk}k_{T;3VRyXW}L&;Ql^R&5pF
z-gRS2jEUaitcHsoBKsud)O*gzPx|}qfXVBBpZ;@x-YsV0!Z$T!>d_@p;xc;8E=SH}
z_-tn8T6{70RC3ay{E2Z2`>evZR!fR$xxC?1ed4@PNi)BIHAH;+!RW<XE;Ku77*tGe
z`4Yj!#uV1Sr@?#G9BE#^iUe0KcFwapW)T+urpfAx3CwZb*Y5POM_iCu;?V>Xq2A}4
zmN_^%E#})+nkaaGy>G_zNta5OCA4&8OulhndFO1e4-N}|9O~|1=24W+<7#I%E>TaH
z>Hf50DaTJodHMENtINLZy0!Va_U-QA30GDt&(`j2+$hsnGJET<=#ZFYAC7$y)~b8+
zF7T6goZ^<x-%j(&<}cY|x=x)x{fLpttkNeZc{|it{z&G}_$G1ox9QzHuelPTC#=@(
z?O(vUe*LzjW4HH(%zFJ-t=aMWf4y%L1siv0{M-@bKg-9-|I4H0Z;Gt1<$v9_!6@K3
zYlVg84vW({XI?65RCT3>{czKiFxFqnS8(cW;8eblRWY#-Shh^=V1Br5_rHxh3t0ao
zG+d5+nEGhTW{bckQ9gDT&*+PNC+4^xK6~hi>fuGF4qo93?6nZldb^S#_v|CLORDOo
z+D><-MVwAD(s<$W<vf33e{^2iy8SoPOOwN!W%9R(TCz@!))b9ad>SosNF?C-8O}D9
z8FMveXl2caTQehW={|{5O%EPj3b*_Ae7nkXm8Z|QFMIy+bb?~eipxv|E?4agbR)Re
z+Xu&Z&-dZKFe!|!eEIp}2VN6`4sah?P&qHD@2cl%hozmx6Z@1MZ*l)-pPewJ=-|ZP
zT9qHB=q(Vi*%Kr<`L64%SD~!26K9ylv9E7bHd>&3Yu(h!mAZ#aEf<*12n&}{K6P$4
zze$_6(dVn08?I_r&$2&YTF>BQdMeuN_rjJeg}pO8ouVUdtl>YfhJQzxn?tzUl-0>D
zdwSnwz5Spok@zE1b@ql59tn%2=xYvZq?yiUe~;DpSHq*}wq8;sGH+2-4%74KgXx<x
zrfe&FS<E!M|C6q|p{`o{bJH)&Qh#1N;A-_?@@0<WDa>ah>z^^7{{N-D)iK%s{U=r?
z+no#inAi_8&Yh#el>B9*!>_2{&-SnQ$@l9g-;qhxbq0ET1$sT%g3%U#91EA0x~+5J
zt(&5|u3O`)%{TF$KzCN%)$W^wPVZR}pm(XD<*q~1k;^8BS4FP9baH~$ACuo-*M2@0
zVVrFrwCu!HdzbmyoVQkoeq-?{6koJ0?C0}&m-d~TVCKkGd%)=nhpOABl;kO$6B3rM
zocG!O;i-*}O*nOo&R9%Q5-QItpP6B_-Qcq8l@%IBItHprN?Y>#3b@rpPj?@Zo)vt&
zyJ7j4Hj7Y!=4(~Y=1bmN!*R_`>6%-1<aFI=&n<7Cy^mJD!EL4Nn`*+fzx0cw<nN}9
z)oPx4^CjQQ8%_2rH8WxRvTk)~l>H~WKWEu>BaUwkwF}zCdL(;7<1)Tz@h^MtonN!D
zPQp4lUbwbzM}3L>LW|X5e5@B)w@>U2+a+-+d)6f8`{BiY3aovr14N^?Zx?=<+&z8L
zvbyg_<u=THaDLjg5X~YX?mW}*yS1rDq~>{iemZT}{>>?;#M|cX`PKiTzTB6;Zgbn2
zA17B%(lq(EVBe`bZ!RA3PdoXz@U`KKrV6FA1*gxh)&69{-8E-j@c+{7KUG#7ob+m8
zxQ*53g3N~}jf&28UVZgEc~Y6@al7s}9g6RB>>j@U`}0lO3d5|G`_}Au_{l&kRXbzn
ziuC4Vvuo0%qn@jzNU#2S@}cDJMHe4UoyI=(iqtvIEH#Z8kNRE(JG(A=Yijheb%*J`
zB)Ow<Hd2=h`A->2m3{bB^>ES8^0(X*%$9v%@4No-;bj$-<?~j>KAL>!g|Wx;C%58Q
zEQ~vDtC=2~==oyLx4(_&dcz+c*yiw=`Th}`iIc<Ty=E&myCAjn$11DfyD2+weL5$<
zKTv*4^4g5$(H5OM#5^a<d03vx4p1zU3v$gnIP*d2#uNSNHbUk(&YSL4B%F#5y!iXx
zz6UM0pZwF1?K~7EZZNg=#NW$dM@t?T`1}Y@JneF*HM#k7s?|^Z;3atnk~vq1EZvgO
zd*yay(~_gvRZ2d0<d>hRu$Qh3lV<y8Z`rW*-)r4(E4j+2uH5o?(ii!oXDhaB>wJ^B
zPg2-UQieyau%txBb=~2mvZobN-NdHnyjjiiO7FAl!gZf#&oX_k<LA2O@~bzDS^Ezd
zo5o!`H%V2%f3oI|o2!p$T`Tvoc22zC8&<}i74qD(a{2nlx07n78}z)^D_RnhKke7n
zo7wA%b>#M}Fx^%m-6uG4%e=D3H*IB)`7kcJUGs-O?9ZGF>BrX9)YZhx)!dZdVZ8H2
z@9Xoo-Cg!CN@kz_+`VSU{TDUSuHL6xS-GdJHtjgG+2U<p(A$l>Z%k~HQ#m1h(M{!q
zdL7Gt9i@nUfB!w{n!TW9n$F*Of!m|ct<*}gFKIfpcT>j+?bSQJPO{k({>^&U*7t&(
zAC3F=Z_NIwXz}Plbkec6H?~N#9)8NUJ-^uab4J37*#E(sZhw4oCF9cSjI)ZRPKqx}
zRkl9oSQWf@ZRQ%aKXW4&imvSZXnEb_^^TC4qUB~MS9t%Hw1}DZwIcsh<0j*%?R>Ez
z<pti}PyBsqC!}qR<=%IpbZ6+?zyP;(LRS}Vm~m&`t>;qOi<*0Ky$|nLwB)wz4L#Lg
zl1H~)QUCgRvzB9$FW0?gf2Llz`|S3?$rlgZ_pg1Kd}#N#ryYsYwD#?l`IC~fe$9!z
zultHuZ+n{`Eq=M@_S};4Qx9Zcb)H@rwn}^Y9aYa=T~`)5Te-eJl2`Rz=+HHVjK>8G
zyp5NB>L<KkdwwhTk*hg#MN96jv7P4sc>Ry{@psQFOO|ctt*$SW$g|$l9`)j_oz!#b
zqSgD;)dcR{j`3sidoNrbZsyEeV<RGT{btRd2Yy}e_}*Q&v_4UMwM4z2-K{NN_wcgr
zeG}|o{Mhtw`z6*+&eb>T?HXR+$ltb@_066?hdw@;bpM;j!kc$lI}<XRv!?hPFd8Hs
zob09VU$Odwm4LyXyGIi9+-|s)Db3OJ|7@I;o0qcWy}}%kNkMkiUvuuu-q^GBybO2M
z)3aQ^G|zYl$<HYGc%-sluIF>@vU3#|C%c-dsJ^U@UDNj>ncHsT*Qb9pUsu{omv~Oy
zeel=2Z__UoeR_WO*Ayr39=H1s=1SV$&aB$9&3}%fTwu+OeV=Q0hrTNNb1P-PqDap^
z!|ZG&xnuGE#e1F~`mR1t_G?XXX3g7^T1sD3FI0D)wa(jReP!pwS2LG9O#8dWuY+&t
zjpqiA3@+VUXL~qKRDR&T*NtcMm2Q@!0byn=pOY2yW_Kw#6r|Yv+tT#GnCsxho;&6{
z4oCGz`FAy3Ib_!Ht8Olb>u#atW|<csOnt2NZP8+@t6yFp3DrDcX`uEr?y&5VRZeO>
zb0ySF?Y44<ww|nRs^va5!O6d6YvS6;KOL4VXK<LOl~9?|(->Hx-jH(g?M{cs8FLmH
zJeYdy-MWP#f3qBUyjMQDYrd?|fz_=}G;gU5<NJ91J9Ybom+<ZCk}+Sq{rgOgl#~Cb
z{!JI#p80amOEsq&)qS_NoO`+Xmw#c!^HaJhKRz!zv88<dR8xO>%~LsY2S3Ul{Q8Ff
z&$;OT^CEoKOj3`Z@c*c~q1L8<;VnxQ<5EL2!&_P{ww~I%z*bMx*TLmheN$Hc)a0o3
zHGY$hmHK9GIeGNuUiAaN&CmEG#a@~J{m7>q_pR4A@UP8F7Z<JEvsGoq!|Hoq`2!{R
z*8MEZJalf+XSRa7w|-X3`JNNV3Doe}^C`N-boC$Bqn3&VNBB8hzFCUAcy>N9<V;Qm
z#||&Er4vG?hy68N6S3{~#*-<Zj%+!Z=;Hgd?%HgA-fq6H`z5UuS6V4n%D(#V8`RgW
zCenG;`0)#t+N(OOTJ~~Nz2i>57gs%d{??K2uN=4To}Iz*qUA6{-SnSga_=sfSSU@2
z5(tRi5M+PN?9+6X>Rt96S638ISn$qguHE;D>iaS>tk+Jx&D2iTdgF6WJ1ArNRP9rz
zDz!d+dV0Y3Z_^xs$@ddq-k9>OZjRQ1-wv;G4sq0pOy2zXG}kSmh$rmNo{Bp>+<Wlf
z?IRcYxpn!czJCzS<J}iAU+wrMsRcJ@l>SvQ%X^!DHhyhX---GOJ8~4)>59Gnb<+1^
z#@eIjPQMrNo{{dqYSFit_q^|YI{(&A-edH)XvM^Ic{lU-i=1tgz8iDfo;bR6?@?LP
zj1-BhM<yQG;t~@4Tad#^ia(X-vcAq18OF~ZZ=Ln7ta<v@>T2CW0lA3<Igcj1yq=W&
z`lCi@cEhJE)zID##;pPsM>g2D8}IZGI^cgK=Mvx5f?V-gFF7sBxZVC%PhZaX!D3sq
zg#Y^XLwUbyj;no4`Z@d4Pj_=2jvJrf3R=oe>pUYR6R-YUx#OFsQP&#-#igeXtk-lg
zuULA?A<Xe1--=HEj{mODEM1pQa<FntEOOaa?XoTOt<-tP-c>r4fyKUF&9}sO(_QDT
z>JxpN`Q((?F6*AevX6VidLLGY&*9v1=9vDKS*fwFXC=GLU3KGM;`%d7GuKSM>!7jd
z&+{h-PZ-?4s<+~hlVD|Pko8f%ZWb%`Ih<3^xbbYSUvln+>iw5lYAdSs*^RcvPyAe7
zU?zKiZOCNz50V!Z_||WXNaOr@#mHj!ty`xA<^I0dyYtM===*gXOv<yJ6{2~UiD!OZ
zc4N(d=}>hp#yLE{W8&OfWM8n%zGP^6c2$6T+&&JAW$_bu7TuE8x%Xe&eyeNY0v8FP
z{-)@hB^%UdWERIpHk~~v^s)28)W=eRa`EnG9z17Q6%uq-ed3Cyjw8q3-MQ_l5V&RO
z(d8QjiZV`Q)$XrtRNfs?UNzl*`Go5|d!0AeUtGH)=>zMHjgO-@-8nn`%-NF_cOGuO
zKmGjgw{F~zwVmegk2CK(^*h6|$&Jw|qjbx}CHXgx=sjzh5Wet`R9<-{!#0usXXi2Z
zRcm&HF?zUkZ#%>NJpJ~&!sy9=CFiF}{dxK>#hU-Tq4h)WWryNtPHsDz+Sqz;)seE`
zJ3RmO>hk$pm#kPeH(;4>RnEbxDkDF~^QF7{%saTW7jN2RG`socz4oBQD=Uj;T)4LB
z#gA?8KY43jv43i?(f5vK@y29D&Rud`J%;VvuJ?*Mwl}U|+P>Vc?ZeIr_NHwv_glhQ
zq7MF3>~jrYneg(|f~&F#EK#+)Cn>56$=z1;@6vo;v>^DY!quy_byGKo`Lst(nz&o&
z5ns(C=fk13aqEs6Ov^f$bnQv6Ve^Ue_it`sFxsHBsiO6HL7c&p8L>g?mU@Bf|Auau
z?q5~;YH{L&WlM5Zf2<d}!qJx9b@%a#PZ~$BezeLtu!ouLQ<=f#gGV1dIUU=*$=7$W
z{nLsW2HC$=OXa?#Z`{9lzJvO_dHr|tXGB;r{&F`zC)4<7+mg4|^Pj)DQ?qAF&y15h
zm*lUvm2kHI8}yg+>RWRLq1WHIG}B8Ce}A)S@`{q6M$R&g$PKUkYd@&$Q8=c$P;dF!
zosTa3T2s1EFO?y?bwjq@l{PW2IdejH-0yl-mC9`PGwjE+(@IO`Bwte5_<#EM*Qd?f
zmhJzOap|#2@HIaFb6e_GXPhy+y}p0l>DxD?F4(<XA2<2zmgBzzZhuPCzBO;zfvmf?
z_utE(U)IKX;8Wnyn&jX7kv*rkq<J}Ksn+!AdmTw#kZ0+zTIj+`mbXz2C3;RfmN;36
z91`Yx-_=y>*YxPt-pQ|izFqO<<p0yUFUyjSJqqXboMxGp-n6TAp4*Wnx!v(ejGB-2
zC7)LC6l5O%ks^@!vchzNi$$WS1((mAB*y3;Ymb*-Z|_;x6Zt5{erNXIQ}<4By>Iik
zt)94R-i_aT@A)@(?|l~~)U~A6`boPfC(pXF+*u4Je{~*BQ`%f^^KFC0+od;r3OxTE
zIlCZ0$3tZ8KbBy&Fz;)>|1q?s2VXAj319Iy*6(NF`J<u>Y&j-5F(eqXDTXM<SlFh1
zd8g^gk^h&g%j4@Wi<hq-9Q@+T+bj@V^W*B3sRy%sQg3oJ`x#y6oXN`dw_zr$h;8Ri
z#ul#Z1P<rEd#~KJ3bda8Z$J2-zb>^ZxIh2n`FT2C_v@E@o+)?5c8g%^WTPMDPA?8V
z;J<&`<j99y1N$8Ax`H&0Gf}hh9D-hD`n3eS(kgWddZiKS6qKc%>J*fv>FN}erB&&;
zNuBHABemn4>mRGQbEZE~Y3Iy-sKVS*Sa{*Xz0ci(sn<R?3#NvB_7zB-_W7tls@P-A
zs<fUblebmowN_mF(#olCy?Z13#V>+tH4z!}+CKkJU>5o>XfHPF{KG%|ISjKH4^=Rj
zg*rZR5WbMLY})zHKD*S@_jJ1#ynpd3#-`l+QOdEn=9U8)H38QyHpl-vaHV+R>|Il*
zJ5O`2Y<g9**Qlpx@wBR^dphhV$JwmBy6B_Vv!)C^kEJ{1yALknUd6s^r(E;GNSV6H
zg3qKv?3Qj|^0HiLDigAg#mP%;g@jIAuCKG3ZiQUGn5JOwlB8Dm-WI{4_|_J~*0<a1
zx8AuK$|pNFJGF9w)+_H$7MB^<&P<D|y4CEt>xZt@n$F#irba&9>}u|ICT(Hz^s}lh
zHARYR_+}?Y`S|_Y!8JQo<Z5U#v$NV=;oUD=zq%yI>VB*5zgl(LB|W%r$)=ghzt3&^
z`@VGfw^^>X*&pt|xu)S0A!Dt-J#oX@_}p)6Z8ywMm}<=K8g;f&EO^_j&Q5jjj!3q?
z$c2}LBqci(FD#BQn!wwobfiVxVNz&^e3kbrr&g0^<{w4R7tgtr!0lbsdi~l{{sR#U
zcX#+alTXliyzmyAro@9?DU%&NJ5rxcHfBf)ZGU!1_d;xw?{gXE(~GN5m;RqLb;-FY
zuQ#2VAb#{uh@n+?-~rbE=|x^k^5!RP*f24-Ld4Y0>jU4-il&&e8@#uDVqMO(nc?+w
zf!%rYKbx&%>pe6v@+fo3mbT7`(mo+uPfyr?=c?BF<6E|0xf;CnMaqY=520GOHoQ2N
zvOC3wKP&V}rM35iIiCy_mPS4@T(C6p@l~&Vg>SDWI@YB#?y`Kf$$w+8%Z3ok7gj1;
zI*<N;v+tmZ_||N9Hqpl(u1sD3*Y_B7YN>2lHRagG%Mucwcl>{<cu^$iE91nS%1bYZ
zTs{y}7rZ;dfZ^R<qZZx2I~cO~T2?=hXj<It+Yu$AH96$M&U+_Pp6DIgxFjm#vi*h=
zr_551WJcfQw%wC;M8mgxmy>Vw^YpEg8<u;Nb=yn+lYE`fRASbCIH~=xlhN@l$J8~~
zym=_i!Rg}gEhDSz=~?gOc+VYLpReys;NJLq1Lu{ZKU+g>WOJUzZm;fNzxU$%Lx%ep
z!hR|2eJERYY>wW>gPj%jEgtdWH7=XV)>P~iV~#6kNS<qxlvQ($WA7<O{g3C)yvj>j
zl#`isBDUnC%l{zv?dnVKtyrWde}v`l^|-LlJZ+0@ZfvXDw4EXL#0TlB#J5xa)bDoN
zXdo?JmGkArNo(N(rLT9cXv$ul{q8~I8vpYfwwT4LoQ%u)vgP$F(@opbtVPu?Yu~D?
zJ!SJ|Z<NZZ_e`57X*kza9r@ba9-R78c>1|jb@hAR8GNhTZGTVXCwIxqkFP(KzRdso
zFXzRTFYjxtXXQlK+4z0_|4cId^V`2Q)lZ(?4!R)~CiC_C(tUw{s-kN;jNae=`1b1W
zlIotBe_y@(lz;vE+iw$&&pZ2f*UDRaBI4#Oy(f70C3o<SEl20i+nmt(PwMZMqPu^p
zQ|8~CJ+)XT_NPPR4}+FZ-cJvlG8X<bA?f|>$jbS?I~(119^5d2J;8^2%7S&@k8W{X
z$K!lGWtGAT6OQIp_oZ0{lq9w=9u;dB&EJ;)r&c9@+FPwq1*hh(o<D>;Ryr^8>U^K`
zS*xgH{aN(@pSN>-3$wqis@Ni+(K%)LwCU&0=zm#Vrgn{YzpC%tOX<FSrCnEB=WbxR
z<7ghn9HqG+?s@B;&d;ubrzJCX9^kBH^7{B}n!}9~*Jjq`rA(3Q(#sNy+@w3-wRaBt
zk*w#&xvxJhb;>=Pw>SB=axcfN#|68Nb@ICx-up0P)1A3FYv;xC-wTOtnR|8a+qw0+
z^TeNAy%u}FCS7Rb_fUKPBa+XKE_`<M;J#yPuTM`mUvl;7%#(sM<K-g#-M|0%lJe*E
z0ki#cqSowv`FHcuADfcX|Gz#eu*>LA$=n@Y0SkUvt&du}ZgR)M9coW5Y-ot9=Ha?`
zdDfNaVjV7RL5)r8e4Sgi21rcWZ1mx@^oz)%?SHxdAKCsSQ+ws!-Iw35zkONIJI*WN
z{Gk^I1*_i4zRKae@<#AVPN&)ba(y=kt`$igcay4kFU!aG_rF|j^3~El*u7uv!XBeQ
zo}*HAjUugLF0E1y_pj{_os#P79+s0^KTSF;=)&e*Kirb~-hHf!dbwV}t4BOr+29(N
zdldW6-?ecz)gQP0`*+UqS@dD;T0g_^WpS&^cRB62AtyFH`+^w5&Sl&QlUQT^pE{ko
zdU3VKVY~Nx%DrYKB(2a?_0Vux()%&5`nYnx4A1@b)5~HGAJ`tbfYqw?dvW(g@m0qU
zWV^eI9zU4R@A%I`<Ibcb{z(rnhE7X<9q@+1cmczK%~fYYk8S!Ct$KYEhlAyo$z|cI
z9$Y@WQt{Luw;2Lzet9iZ1KYF;ogaU6m>nQCv#j)$SKsolu9h~PV#(^^X&&Vbi_e=m
z&AxfI)+|x-!zW(8iTrIk&4$b5FT6RhVe`+)YgWzQdvNwv=8EKt&l-9juZzuh+t|BV
zZr}Q)sb9B=-a9cXBKttlkIUy|)=uGy-RkY~;r83=?Q>1rrynv}`*TL$lzU#qC!;?1
zx2A1)(|sh{s;K1G)646cUzgnf=CSNc{H_ic5wS~4N>odCR*6bz&#CebIr^o6p-!Mr
zRWUVq`x4cPS?Uj*e)L<+R9U@CV^jE=!^;=RCCj`~{&sosw7G__rA>`Yw9JL0+bg!K
za-Y_a?m9Lvqtq%zw<IJW<&3Y!NtuOKr5hx8-%EHu%<`DHD2}te>|xA3m3>AL@hcB4
zeQd#b`?b`>QnekEE(<I-ov}E!D}_1r&hoXVB=}2hc%9}<SyAm{S*jHH^-j1m&nM-e
zpaVruvyPoQXt6o8w`*B0Pp2~v=T-GpTKsQhf)=<gmAolaYI&#7*0;*^TZr+iD~gf3
z&dueRZ9I9uz@Dy;6E;Y%DNoDhEkAwem)xFC7XPIFUDghY3wJua7ff^s*x@#<J$kO+
zyRgo`UJJKxoOWrJBJXOIM}>`N*WEC>VSVXImzY;E({pJj@kGmWON!^LV{lrwr|`>q
zqg2Oi<(R2qUXM$5@Ya-FG}x(9vy*8<MZvxu5}`krP0}&b+Eg?9#p$#Ai`O6P`JP#P
z>+K$22ch`Z0?+5hA3vY!`5d5rUb4{O;^tY#eV=zUA9!Vw+qLKT=837_JbXf?hkjhu
zC{$w0Kkr%a`viBJgQs8CHd^pXTK~Jr7-G@=zLiN@eE;&=bPsjK+=#kAhi^>iGL98D
zuq)hF^)@l(;Lmm+u?GFsNjh`ab{?N&WwNZucu~;3hKXx4MPfJEE_;;ZvwM-`N{9a~
z%Gt?Ix9@G(vZ`|4Vo$Nyq(r5^?!xE0<N_PxY-UZ`T6m&wAB%sP&5|c~v=if!dfr@r
zcw*DD#;cDVmn7zHy+6@SPx#wP*`?vVS6;N778LxxB|X)%jrs5^)|BZ#E8eWDS>x{h
zCaYI^vbj&-Od~lyJH|Yr{*80B?}u1<ehJPgIbnb3oc&VC<2|k&(od~EJ-=f;*OiIg
z&g|Dq`Hi1^^EuL&b@jUa=|8SN*OldXM3IYXd+Ib<m#pN3LawZ8orP-?)m|T2Eq}mr
zn{cgQNhyO?!p^|5WZ5ql%J*^AO?rNz=jhkDPh4MoI2e?nYn<)i`$x?Bqxf`x_HS%=
z?kQ$mxx&2ge#H8d?5ob%cHFwc+|w=9*U8S2|BBJ1_M6lDd#wpxcZL0<^O+U}SO0kQ
zZ(riqdF>siZ@QX%W$=kx_g-y5`RdkL`O7};bJo?W$l4PyL;1m_qqTik_UTWb%F3t{
zBFkxKS{Esx@GtV%v^T-;jCXCldD7x(#Lh_$d%lJ}z2CM%C?V(W#<;Z)1io(l*mcVy
z+f961_OFXF)r-Ey-CVT3UUFB(?(Ymg7WGW-PjlGe@Wi{zbouk*<98Bg?v=?&TA{n=
zRiDJU%Tje5^OyghX(^L-%rO7+^Sk>TynB1Ce$Cj~@%#OpIb~`I+TKDnK@~sj7Bn81
z6DMT3^)1^R(W~DM%GAY0-cjW%%{Kc}r7go<dvC?0$#HL5*G-6dG;!vg(~UKYOV*vZ
zl<oV%aDLBmhc!IqbvDNqxjLoaUT>&<y`^Qjl8pARD}j$bhP3#uo)Ker*_J~?%ssqg
zb+p~r)fFq9D`%}LvXJa_)II4bdrZ@v+gPxE>f_4}p-pEuy0M#c)LnSDbmyG!X{&!H
z-L~RaK7N0lY1T)xA0ma(`7-<sYI<=T&SI7N(>^%wRBUupY06p5oNP8%=fv?>i89-#
zHSg#OGJC$XaJ_Fy(Y3;+F20sICl^RXHLch$tFlX7q0z&bXU_}a@@cb^6|ANG9`0gV
z#=0*(i6eCPy*z)(DKAPH=FWd_nILlMv5?)nmt{OQ;>qSso%x9$lGc^aKU^twKw0GD
z;}4FH!`#xHI5MB4{9PVWG(#eJk3<rSVyCiT)bt60)~&$|t;?_2MH~sT@h|R<dSY{A
z!_gxvS}*gn#oaDhWsvqWJgw3?{PLz5OP!~1MLp{JIP25tv;;Lrf!~4+cZDxieYTLB
zer{*AG_!nTFy{vMt;rY89qMde+CTY2=CmHq>l#g;lyBOwxF}CD-|Q*RIo0N|ghj3H
z+0Ex?yy!c7NpJU!N!3iRq-18BcT7<J+SYZ<EAK?#0}+NVM>cYb`n9qiT{Aay@q;%~
zx%~5MzWMBSO4;(QdX|gE?W?9|^BzB0Ref~H56yB(fsYgRvGv9soy6d0n%Co|6K*49
z<JDa`Vb1x`N0aBSn0$7k?`%yQomoZk3d!LKq82?N=UZ)lI?rBM$7AyK!Uf??QbOFz
zJ*OPynRQI(OgfjQ$=z+wrvw%ziZ68vabS(wvg7Ob{L%}KD$IIM0z%TH0|Hf;xl%Yq
z(u9xCuZg%*n{&^(+3SlRQ^afgKNC~3t~UhUInp^@v*zBL5Wm09^NP46?X@Gnu}B>G
zyy4g>jeN21{o;FnTwHiNXm{zNO(i8fLI+-^v%P<x`{3nss}SjVMxWh}s9CHOvW|GR
z|4!U$&&(xPHIzJrGDU>NwWl7rxbu125#vHGWw{$tE1o8E=ojAz4B?kJuj@7~;mGz&
zkF5gF^Q?(>=HNcie`lG6qiQ#!>FW!52ah(e^e8@lp!j&@ia_o)JDzKG9=lM(b)2Ou
zU}de{%R8-=GnW`xuVh)}(CuRPvo`bUqQ=)*V%Nmn7^mJ}RCsdr`bomq_@690ZS}8L
z%88>xGWDtWzE2;{<h1Xo*gZ+BwMyHiI;V8n#xti}UaV)E7*^E&U$^s>btTJAHASb8
zC39=`^t~!O`y-`yhmzTL_Tz%}VdnAI>RAq089sUTY)!(=({sh<n@VRt+85ccmlj=k
zIl}c+WZB!?S1pg$TE(;aELLfle0GAgVz=h;1AAs%tTN@yy<B}O+3@Kf>raXaa!=2`
zvAcTczstL07tVcdDA>Eh`bnmlnPPZwfA!ioYchh~p3c?#x#<TFpF>E^=^(N1{x{Bu
z&bgw!OJlQC;<Q4s7iAmF*1mptVygG-wz}grT`O;g-BY|-(7eqqVf~+NZ$fjve_EDY
zb$R#iO(EA#zg0X|l6%=;|2c&ocCX#qac3rU+umf1I@jN%vbW;9aq*JZPpbQ@XG@zD
z1WSo{UtbZbKRILmam)G9Mhu_U7%wee=2<_x$N%GnT|7!(9xZ?IID6shtc8=?7A$&J
z^e9AU?N!aCuZ51cYVLe3WWK?9gHNlGZ>Uh$si)kEA6ZSDXU?0wx{dej%n2&(>f8^O
zD9<x`y*%i$V#$+&r%wwcFA2N0`mlWP%F^~+RezMZwN>+#$G59zlzkMf^@BM#wsBm%
z!12A(=bh<+KBGXZGq)5<CtXX>ywh;B=KlqU?nr$e{V?{M%m=vM9c$9~eAtN1&vjR(
zUfm9@i-FPEo7Nh9obJpd-Q1&Z9ouldZsp2r1ry{{vdRjx+?ULhGU2_Hyzuzv@TjFz
zc@CBGd_H95w!d`N>lL356`b5z9sV$drSSCv-NdKtE|Xq8*Vu96nBj`{sf@BKbZZX0
z5c(Am+AA0L(Nc10jfU&&`)juJUoE+G%IA{9!z0`CxV)D|+}`qN;{JdK-sjJ7T(p1v
zm2=T;8>RDNTNeGwWO&5*$;Dl9RjF9zQ{O@-e<P=pqEkI=_j9(la60d<6cAbD!M?$z
zIbUGvAD2~fcUBmk`_!&-X=%XANChQ@(hCoJ5}j)<3tjG=b$srX%!O4UuNbwC-qjLe
z4^R9spVz}rcj<BWhX<qlnKRFKF-F`ueeI+~<<D8qm-n1Eb14izRdMwm->lgiE-j6}
z<bTP|EbGd`e4mvQMbg(TWYJ3t77t$j{i)Equ2ni}|ARIZ9b=0<H|?&$q1NQ;D(Mxj
zT1l2oe+&wXrnX$RvgD1h%a^cYkVrI@vin>mdMC@$Z1H*X-xt^9zqu1D+x@@2v|vvC
z;eA$%N^cxKb#&iz*4?MKh4(F}<-fAVFM8@*E-xe2TqV}75~Z&{B6plgIhcHU*{7o~
zbb2b(X3ThXLy2wvQnUC?^8<a7TrX+`MF{?yS$V&!nY+FF#hqWg*LFRReV(krr2GDi
zdq~&2<F7BAzB>Kz6=Ty!e?u)Ey_>4AE&2Tw&&+E(4=|^9793-~Sz`J8*^=FCy99Tq
z@?M=Vwc%OGkD^j<wXCZX9l1N*u5?W;Fcm5h-7)d?95Y3)tBfCPVkU2JbuN1G=kx(n
zzv9!oo=I)h;@QBH_hricN2(!f8Dd-Wp6psMw>ij^D?-h@N-O`P&}y+0&;I{u66d`e
zbo|c8e4DuZg>%;CK6w2|wtVe*$D32OJ>$)(?by*3H~ZqfBe~atHyo5Jnteav%>G-_
zReTZ3S$VDVs=pb065HH)`JT%558uo`^+n&_wr#KAJs#)#Epk<2+v?bFo(SC#$g_Xa
zwr^~kRl~L@y4{_!X6LB~A3An%owog6{yhHQ9g#mT*C$o|tjeyQ`+M(SbK{zgzAb+L
z&p9@n7MQ=LGOVb08{^qrE!l-<_a9vsaydCTZ&@yn#+|fPW<thg#qK#W*O;37pFFn}
z`BlU{ft9N%Pd}0A`zy!lbLVni$3KtbZCR+|EAvR8<JH$3$L;>_3~lxw%wG3*=D+J)
z?A+q*$B%{e^?gp$zV+gqweIZuFQtT)zU6h-9(62M^MBRaXC8Vfq4{M;(L|AnJ-2s$
z-gv%yg^!|(in>R5=n*H0*@7*T_uJPV5L<d>^7Re%5qB1O>xpjM{$4XtC-m~`sh+<Q
z<8C~XjrN)OyXuf!_@TSH?R)<Hi)u+Zd}I3V(~DocF?JO^H^=hSjg723-aR;<bX4W#
zk#j*4lzdAYU0r7P_B~#mb!=+JDPB__uTIUF&Njuel-XzGHyU2+iL^B0=O|lrPIPt6
z)o*q6n(=clbyd5af3a5nZscb7{q48d;>FkBsxQpgS9W(jpV#Jl0@M2B?M|=Sp<Z-S
zSduf&UNP7G=~D&ecNKSj6c^4FuKOr_N`2pC{+*k+;siFml>a93a+>CmCch<_{1<mG
z*pV`eciZxWsEl7x*%M|Y#D%iA>Y2|B6#A3l(RDcL`9(9X%`fx%yyLYG{Em#iJj-#)
zicO-gXS8(5ISV*8h1!HjOwIeq$b3+!-|S?Dif$J}vdKZ-y7RUfGrt=jNK#ucP5RCw
zi(4UXttS=F7)#jcZL#z4DxSA#mwZ_Iqm?@r+IjdE&lRXu3G^zSyJ?q1X=_&2?~ob4
zQ#OUgCdMyj-k7Lfd)G-~&bFT>9NoEn9GaJ3-k3YLOYLma0_XP$YgDY|`~U8$d-q-K
z+vMJbd4XS}&v-Y!^;(|Yx3)@pap28J<-M8JtJoeTSd`DVDNJ&gk8V76g(>~3L9HL>
z+?$bd??1>NJ0NzKOU!xpw1}NQx8Hqa?q#%hmF-LQKXZ!L9BsB1y7}2>htAZa@z<u?
z8^*<bpLh9P$i)eNt^SnwY&#cu;rz5a54@)x*#5?E()7$Cf$ux_-42TMEm_07RzhOS
ze&dzL+V_3ic-($|^at+gt&6{jgatqN`f?|;tTxZ;t|;s6Gmb~-&)ee2bTGio@qmNp
zDn|ze&sz?f2U@l`?%d$8DPXdQ>+8+VmJ0;^J$&u6R&Xs36xZeY@6TW<bnI=7mGJzK
z84RkPhHFGP4mfaFbIh*OUA8G{VHls}x0h8xF<&!2?yOD~T)`)+u<ZH6FPTREmwsGU
zn#c30Vc)y#)LnWL`|ASsO_-}P*G}!_pQYcgzq}d$G4uZ$mAc#ZwkOxlQ|FIVZ|Z5;
z&v!-afApV13!h_;?_K@!@$Q#@nR9gP&&SJ6zPDaIU0wX|<IwKI&jUY&{*C)r`El>&
z$*V8_dwTWOrlYEt`?nun^4*zpletX&JK1~vmvr(Zebx8=yZQ6$)rpF2+Dq5(dT{ZY
z>APo>A0J=doign=@BgjqZt54!Xf55YADz75!(Z1G(=7K@FS%v7V_%lplVY*VGtXXT
ze7a!$<5#AY-@AEf|K;xf-=6c}|EG&4e`h`Q+uQsnp07{J@B5pO2r22Z#ZONkuX_6H
z-UG4EXVbXu=kK|nrayV%wujg5)o-4CI;ZrSg?!>o`S%B-zX|^MebsaJ$qI|JxBQ+N
zr+Vs&ZAz8b6QA?vMv>q7>5FnM%JzR^{+YBP;@_vEzYA=3dFNlA{^!8*-+_N-^zG|;
zw)lBUSxL*=372N=H~a7`+B_gK_tCz#nYG@={r$h2e>}-~91$*lU7fuq;QD?3vjtY?
z)|_*DJ3D2c)V}ORU-#$EnBvTJ<9g<=n|In}8W>!bw?5v}U>qS@Z+=Yl>4P67$#biC
zvg4j``#amG`ssv<hzX|%R_pgYF}}6k%t8B_^VOOMTj~qyME6VYdAa}X{5>}<{yd$p
zuKt|eI=M~D_)YNq&Q*Vt=D(R9`unNf&G%t%%fFwjTc5RmyZ^WFv-g!>uWk;ndHy-K
zbjSP48SDAJ)k?j-x^SPr^4;|j@83`S>Ui{5LjM|m?Q8ATDYYRR?SAb`yLtSg{mCB|
z>lM~--v06VkJm5kH!e=epY0s|GH}nYH%~<Wv2myCPyUftWBo_wzVH8wXZ(IoFZ_S^
z=YvOD;*avIWYxDvzOwM2Kk3+Mk^BAY|CQEl+Ii+<zWVX~^Z9?JhqKSsy&uPOFE?u6
zFO$EM_Eh=gr!RiEy8Vo<SpA<b6MW*%F7tnBF!Sh#tS>*Z)}DKoZ-19xzPI`ef2@1i
z^R1`%nl~S{YxP~P+n={<&5s`6bZ14^C*@M6zl*HpwyWHpZhG>Ys^ccz1La@ZOYiFb
zIThBGUstqhcYpj%$qn%$i>5ifk!)SFbV9Xb;I7sC4{F@Kb>En8#q);R#{yqvlI~li
z*d8~xu`_c1{d)Ji?AMzXOP>~#-*7tO!H#)uuDk0FA9K6XZM#ZlHBZ2ChTlgOUP&CO
zGdy9{mY-kota^Ib_mf+{XXM_Uy!L+JmLEZt?E%h*40i0Ps{QutYwM(?bMMLQmyYqO
zypZ{==zLiB+gIDSZF66{d~w^~b-%9YhTW6ss$Q)8j(7JC^QcGPa&ygJP7u@fwqCjA
z?3%sGartXLg@*0i?rT&a!92_4|D}}ID?3BaI_+;wb&s9>^}<%S>oLXOPi}Qh&yd__
zwf<I!uIr0ayKbz#krNZ=B<Xu#$>GgvQH!2+EN`}d{MlZAOSacG*@-t*_L!Y}eEGgM
ze^_qriWSS(n&`YO&Ir+%XLr^&bZzG48;@n*dVN)#+-9cyJ^5Pb<=ratdgX5IdZ2Ru
zZBAC|*1+$pVm2M$@LKBjt)9J?zCQAdJO8$B)~Y`uOLtd3)2~0-uu>$}e}h}$+05Cg
zMhUDc+8;JBac5^AUza|s!eXYr&dK*rb}wVz`}M2vvb=qIoDMzm|8Ey@q+I8ow@zFp
zR)6A}N$sIU8+LhwO>e*YG~w%nHFEr`j~{%c99b(DHow`_VH2Cp8b<c)juhU?2xq=)
z94DndZg5jsbJ>0JE!Wh^1$+OV-Ym7mMOJK^dyTJIm!5F4f@#nV3CU6^%@sW%j}NTt
zc~}wP*|+QPiCJ!DhWDq<y&%V+KVRnW4xT#2Z3fYmm1}obT~@SoUVS6>eXPloz<FNl
zDp|r)_*^GV%~j;;<_W3n=MPEB=;hh>`f2eCo$A?w6MBAa-2ATl+6zhDAf1cHI$pb<
zJfv~9%X1g&USYB1*twh^E^8SW%+;BaV_SCO`QC4bGOtS?@px{#J?&n0nQ!e84pUW&
zDVOIZZ;9KYFfC^Thp5T2t+T?y_HNA8T4lClwU!32=Bg*VSFdSd6Yc3<@XS0cN<B!3
zC*)&McxbjzP{_-oaMviIppb_};i@3|W>GjRh`v}9{xni3DCA^O_)!pjuqb>fh~8Ng
z-WsVLbak35!xB-(zcW%9<R_b)4Qjg4ZJy8HI!o2j{^W{1n|QuV*A;d-pycJ{a<XxS
zsMy|@(k>TeHTDIr$Zt|I4Qvo$wFrpu6>;o3WYlKkE8^L8$S7=!(`4^m3x$rDrV0y9
za_DFA@QiTtTH&tb;Tgf^mHpzw;-IaYZsvuz6|8u-CC9lWcScYz$Ln{(CGIx9tZwbg
zmV_!YO=c=M8_QU&l%OPZVv**-Ki^_{R8Jc1Z*);&(o{Nrgk4W4aFSBdnT`jF`9Bn8
zwmzPoD3MUBqGNDylSRHmjrMe|X-9jVPm6dj&bueU$lO2KZJMSSU+ZDkXz_!WHm9a_
zSN>gAaQxl0Z^v6D3XA?v5Gj;iux+}2eNSrUwB*hE?>xv+IoNgY*tA(b63+`|J~!O^
zH+7beMEjwMoUe0(cP^QI@rIJC;#;Fc8P3x!&u*n2vJjfq_$V^{(6Q~aWEZPkVL4=e
zs^#S;w?vh0&cK8zoZe1K2})e09rFxb?%sCvl#5wC!8Ij%|C`t1la|*h-cD~ndsbrS
zPRZoWled2k|90Xz&r6A)i@o3V=k?lO`ZDvNUD5G%dJ&gn7yS9Bdv@BBT)k#by9@Up
zMc$P^m9YD@P<XfwQ|I$uBc6kEUkgqTDQMwwoc~%l|Mzi0BbMg8hC2f1gP2o3UK8*<
z6~vJ8@fL@tR)mM-p6kT{OZb9WXQyua%5aj)XAO_s8%~wg2}w^sBzC4u^;yHys(W5}
zpJ`X5f27xsdHyx&BJL*)&6pphHx;zVC>w2Az3)}N-JX=fy(iXP$x1S7w*T1|8+-Lg
z4x9Q%$MZRz2D1~{zU6*j{OWe><r#+xZr@$*)>iyu``fr+<-?XXcgoUNPJAe1_bz$c
z)a$L1+issy4c2?W9^te=<%xu;;<SJOp&}mryE=Q*b~mehzR+>fnVH?0eM$+>J;@pN
zo3cy0mpC0R(l-?_GqIR9A+x<?!A#%qxHZn7OKP6FiOpD}#x`S}uG)q~Cgx@7-I_NH
zSecjQ_ep;Gx#sYb&5f$68v=`V<Yahi-q5hLG266cO3su?^NdQ)XvS_UJZYriyupP<
z&ZSLIlFQrWVDkwB8RyJSjY<K_V~bKX7&!dzs!UPx)^k?-{w4Pyi=sq(Fx$3-Ndi5G
zMHlzgWX?Kv`t*ryd$;`3ylnj1dFjN1zF(G}ZQ2(0?aVKOG8PS+1xJ!KTwR!2)ipj!
ztV)@<<xU3o8tHwSX1Q+bDsQ?be7~us)M|J-=aJ;wjZ5FEwfTKIYt4Bfxc0~vW-Bfo
zcj2dxg(p2tY}Pok&-=u}n&|?^ox~D38z)Uj($%iJ^ZD(^SAPWV^gi2r?_hO7eVN@9
z{^$GO?0jNxWRv)=fc>fS`?>tHKWDz3S^nbtnag|5Z)=piqh+!~_DXKxPG^a!!68Om
z@9u~7?7ZzV^Sa1<-#<$;Hh-A%$a#6cNKL)OpO{v+<iCkO+Yd@-no1?NeTZ@l5M{|_
zb?#|WbUG-oIN`*?1p%TWx476OSeaXz7~KvwI6JP?(%35c#gOY42WxFllU;k$x>XBS
zuU*g{c)?lYMWV<T3z07&thTwVu{}+CPLAS>7o1+WV7=ChY;6rgF0Ym*p~VZH1Q-N}
z@@x?mkzi$Ya%>C?DA15t#npC;i^<K=L4!krwbA*YN2tN2unPw@UMPr^7;ss&aNWy!
zYHBW4dA4`f^*b}3neuKoVwkuw<W&q`rdZM9G)41eksiwV9w()XK5$J9PpD+}y4TPg
zzG{!q>DR%Ze#z`vcj|RgrL9+7fAjU=N?V=v-X~udefXudzW?deDSIY0n+H@f>#Se!
zL{w(a!c)>om5r0cEh?0!njiXPHD%8f!>E;h`*!3rMlK1n$`V?ad%xs`?36wUmb_Jw
z4dv6@geQ7#@3?+yO~Ub40UI~%JgF3>y_rk<S_g+|lJZp1piEaOp^0rdOY&N;yb6<c
zd=gtPXBD*G=~d*!UoK1Rq*ul(eqHNfs}$7VYqDYjTi6mk!Ih`oq{2L$wSzoev~GGV
z=E_WQ)#~tIjeirsJLP~;&>}9m9}(G1s=GZpwE`CPOrG+6<MV@CCLg_YN^!DBRPE;K
zcXFqmpVQd&Z0(05@6DIqxD`I3Z}M-~M-NkzQtQnHHGltdn)BxW+(kUwPJKLj&MtlD
z=Jj`zi=+?URtmOD(Y^FCdD6M~s=o)HUVXjUe7*j?FMR2rOH3+X$j*Vbbqba&Kdv<U
z#KHqYTw502x$D>|I%PwP(5--?@_-^%Ro#V4%%<FsowB>BNOxgOuEq`7DchSq=`6I#
z)krT7_{Vi>d&{TNg^TVQvT83oFiG=5Dp&b&k##eiyq5(`*SsMcyd|kiXQooDT75fr
zzMx-2t_|ZY#-n<VJ4+oBWdk$XMXY-?7I0`DFk8m(c0p3RA*+ZZ>kT*21+rmp3|2KL
ztzo#mgXs%%w``JVBkK(}u?4bGZwxk_&<*~)=_upnCpl9Sb-zT`yzsgFYlg|&ej88Y
zU#h0ehFwc?PBKoDS#a{<r$UaYixVH2Sk4bQudZ7<an>#lyV#hihqpc{Ftu#xKOAgn
z<IU$k`S8QXA42)=Pda@4amNn>CQ;=LM>xJ1IN9|C9Ock8bh7Pf;8zYZRQmITed*P;
zn%M?c$(3_A#$}j<OC4)v^V0TB@44rG^u<+m0S!;DY?&K+MGJPGGSn*yh<bXUY$o&F
z(!PmHww!Bx>%=}wTlTM0_~%_sHV?jSuGsx-zK_%UUE+#QK8Ktwe5)H-dhOQzyepQ{
z&z1$vWvXjtie2d1JZnX~Tb>2)yXF1@+g(b}xm}Uh?^@rU8ef0#=P&Q(_4{Z1s``7o
z?Mr$5*8MqouSLy%%|865B<{)D(kuqQ-Uj#HhDhFv3)&8>d>FjyWBps!Ed>!d6=BBa
zu1*RH3JQj)iKZZ0!PqRd;?~~jvBirFBwFA96_s4~AZfcw;Olp>*>9)CPUXAhmu@we
z=cvb9B@4mZU+?QbU>Dq)D|C?CcTv6#=uCKy#dev3NlnWbzj#{o-@UN7r;jnZMKSf;
zXYH>^hgUSdZI&)}wq0U)L6Y~bChO)5zeQ^%>2$t6%5APNgW>IgU&?itCgs=lswv7A
z-d}WHNo={*7tOhL!EAdpIwT@jIvpvNj9#`$n)PP>zU)@R)a2`ntv7u)vr#E}?Y20@
z{FVEi6{~j!>L2f|I+<tEx8q_!G4u4tY<f3)p9z}J%F0_%bjLw;cVXR;-eObp1k1bw
zlkR577A{`;tSz!n`Wfe450zLpZmx!J9rd&PUuXK|)|9d*smZN7-v3N}-_7cMDbY8k
zmtQ|=r&9Yqx!!D3VdM1KDy}-S0>$(jQ~BG(|E}hK&h_H+3|}YtjJv<f&dVjNk&G|z
zbm$Y*GH?=f3(-}6Br%amStzmPs!>v(pteDhpxfrCV<8EO*KW@8*>?Tlomta#Yi1R_
zcF~MqSo1T#eSv$;itw0#MsBX+g`0K+Oyt%qP)yW|5EIucN@Ug3Ig>hX+H3K}CQpiH
zon<wibv5VHOkWkN4_U$s3)XE3zup`!q<Ee))MBB4`l=biALn?q3Ud}7>asLE-x+GL
zQDAwbVfsSF=iNb>&!da>DP5eLT3r8QO86e}o1Ezrc~@<4EZr77=Zp2)naR#tIUaM)
z>h$uy-<T+Bw&vWj3SX;|BS+0<ay~O%d4t7N(yQcI3G>35WnHUZpIT`%<FjL>oyLK7
zt*6eG@{^uBGu3PevYYccvC>ZGKzi7z@E3b$t9Gh<t}Qp7{$iKWOuL%>E9!Q={c>AZ
zcuIQHBA*Q^Y9R?upM2!gwio2i*|fRn;jPqzpL8O=d=GrJ;`pY!nYWkJUe5e4dFSh=
zlI^qBeyq6DV*T>jX}Mj0*)MJVC0mqRYQ1vZj9;B`5yyAzv<=-f{maP}FXK$+UNT^t
z<$S#JPYWZDvO&$l1-{J;H<AmCKgPeXVT=9c#;hy6rvF9FqxO^P>3cJ_aAa&-|4vnO
zCG+jn1?-d4pKVIr^-FH!{%qEr58|$?eV0v7xLJE?|LfSYIdjBja@4v%Y2Ow;HSwO+
zxBQ~iLe_Nsi&N&Eonbw{BE7Dt_f7b{IE%x39?UO)=XAMe@6P_fzqfCNFHXDik2(DB
z*3ALl%q$`d3=A9$9vv}ZwI*Uu5||hmnz$JlgcukYLh=iI^HWN5QsawK67{l*^Yh+L
ziOs)dAky~!w|3tIwZN4>TiW_}^PMu|e8Ckt)5Q0Lir&XpH)hTa2sm0lU)G^Ugzb{v
z1hpc;);;Gd`)fZv+O8cCzOY?bFX+dic{@7-y)3k^Jk-5jwD;iTnF^0JLf2onKd>^?
z_VCtN@qNNxH@>)8vZ&n&<g(@Uc#+t7l%+lGVcOeg#eNStxp%$@u22#dDVj0k)~S+(
zEB5U9WLk5EgLmPR&=7g{sHOwX3ua9_sCPX-`|E9^l38{y7WsZx^V(SU)tE=uhG{#O
z-_pF@rN=MlWKRBjCUxIZv&w__-{tbV9Fs^){&4D8$C3+bI<uYHH-t=`6}^;K?s!M*
zuBa!MrQ0GV8A>a)z3>s@JeIH5W)wVQMx&rmr<;g&mJ^drjjK|A%AZMd8bv=!M0Yw&
zV!0-<GQHOLYSt3hM=gA^r$dytiA^@T6SOs~u=nb!wFVwBr=NSax6jN{bV|v(y>{i3
z?$ggVJ=&JKS4-EwnX4$rx;CEYZ;9otFt?XH`+`IsY?zSznRTy{d?o*{?z!6kj$3(J
zK2iS36uZ^&t!lbX@3hUgU(9Ug@9bN2<;J=zDopH}Gau~D(ofdxEHqT}iOW84PnFF_
zZ2GNrPvobuU35HYuk%&z{kOgUTz+x{W)z4vo6Sq$ihX?Vg>-ZB27irzAIx{GU3t0Y
z`isEpbxY27T+T7Sv3TEI9l1otKa!U`5=5TGZ`pHV>b_^@((ZwA8$}i7t@&5A``Gj!
zZ(957Cj3{hyE^&t<QKtj)-V>?US4at`+!?d&gE_QrDgcesCu*CSiJB5tfd)h?a%G{
z^$Ksf_V1df>u10ISv>=4dYmuwX{9tH1H(Zk1_oi2^q7>NSd^kyk&-+&@S@jY1CiSA
z+DyN89eWvl&+WEnV$Raw02ileck&WVIn7sY<NJTNgiH8lH?N{4r~3Cf#g7#W+OILT
z&0Dj2%K2{BbKOsCT=_O#<2Bf|dA)-y*Lu~vT^;SJH=7pT+oo~wv*y*lQ)%b#e`Viq
zr?_t;Q|Kj4&kqsWo*8qJ?`0odc4QG#%Fi`XHWRNkKe|;D9Kk=YLNb}B+|FRRb;hMM
z|8`+XrcWCVPs_ixt5229s*KOK<j}fPyK82OUbTNyGb#P1QWIxP<MrszMGx!EFD>q|
z%kt)1SbpHz%T;HL|IJwX<VKrj<`zk_7jupt=t})r;J>G$S-wL-Wc8=WGPf5+MQKxZ
z+tu}Fcw{9fh}>fNKiydJ@yE0&#|^!<Kc2D=Ei4rd_%uvWWMF7m#mK;m9G0L^+&lMm
zzO?1EqxR?jh_Y7LpJABXx9ZC#<}*x7wSJ1;4Es`0Rc;`#Wz(+5xzT1SOVw{C{QIuz
z&EFlmCuFYjHnHxx$4c@me*HUAebQU*TGB3=z31ZNmVbWweZhTix98z=zrA?%?T_9M
z!=Fz-X8wBkclz|_FMnVES@F@L^8f3Izgz#=+v`4?eDwFp(>WXG=(|1qef;_L=|77;
z?z8Uy9ez?nR{!|>{N?xe>1QpT{V~aM?*A`u6NS!fw*R<%{=L=zpZ=e6yq!PM;Qu$P
z`77-o9j-5#`sT~3_J4-|`TiOHw3_dqe){6!l8--LznNbj_lC{cQ`+`iT_tCo&i?&=
z_J8K6IWDu~nJ1V2%YNDYFB<ae@^&9~+#vDaF82S^8mqb=7qXA9Ol_GwIpM?8!ij%9
z8-JZI{P4QOY;OPjrZ@NBOrKu4;g1n-Skl$`U#c%~{d=BjQ{S+~b<4Kt4_-TQMzi%B
zFZJ#HzW?&cPs}D2Q)U0eeke7otgH+BUn<7(ce!rU$?jk5;_LM{w;#W`{-BZY4}m>)
z=fozgl3MTe*ZfuaG|#Bswe`^l<3n1OJw7@8P5=LBgMY4n*NFHm_4xmGKilKZpnVtD
z{I8#`zy9FmFW+AVFZiG18uNc8w`|7W^6LxR{>^=LWxueAOS6<yt8Qi0%s<=ynYX&-
z6e({zw)uY3e)g-s?l-OD%5;14{@~TSD}>$dUR02|`(;wfl7HXkfBFAKbo*EPNhNk|
zQ%{|JTJNmouQ~M?$6bq`67gHy@2<YRAeQ&v#=mb_CNICgA*Q5k$E?-6|0(SAeH<rM
z@^13%-F06LJQG_lnFQ7sTgv)wia&2{dg<|LXMwP~RUujjclX?Q^xNt0+xu=>%q;K!
zE&UfV)p&lh{ObB8>;Avr-(3E<z5Vc&;+wM4&F3!tt+&-vk6#wS#B+1co|%zb51r|9
zGTppt@t5HGCI9m3lD^u98#JbLiK$=xkn`RAL-NP<^&$U$=6@(Zurwn0^1PKtIg^Dq
zdl;|ESA1sBHp9rM@w9~h=ZjnqGYVfy9qZtJY_y#@S$$R7<f7A;93&lIDe1MJ)~G&P
zb41A`NpSki#3?%r%;uifIBd**N^4o)nSVy7-0YG<Et2en>NuzDFfe*;9e6Km%J;qB
zcJ2+kX3(1QYkJ|e&w;BC27aFS!~4OJ)`>UoHyW?9NK2CqcAv%|__S8><h6?(p|vXi
zgft2hQ@)iiI+M0cHkBD9E19Zz((FOUPme#U0gn!yc=O&t@>QfHm&WWFR-0H(XmE3G
z<8(jceo4PkNZc*0j{Q`y@29zQpJ=M}o%yG9GErB4L$F1HT~Qt9qzxOSX8w>>`Fcow
zN;OOR3_GL$-3IR$*PJ=`V8+iAzf=<*9XfI4ebY?U2*I?D(weLkPJv85ZWHGnn}a@2
z{OSEbWy-{#_YWHLY`(ekRc~}>(%Jb^k(@4znv?E4Q1d?P&Z^-o67F`5QT*aEB`MDA
z1p=@CUpbkjaG8hOu=%%6sl4g}9^V+rNRAkj(so;!uTOt=Zf$&(;wjMit*fy4wr;6>
z>H?m?7|BTP7?<pue@X<7S~-?((&%v6eRRh`+3CCbjRM*<*7dAm^;>k;)@5T`z+4?J
zql<^yR9mF=dF7Xa^@j6rFx+vbulL~6v>YCz%WQMYy5^dhpE#rGzu80GuX?`U%EM-I
zyn2~k#yJUcfp)&~AB69+O*dZ1d5lvzcp3B3d!-%U*+hJEE?;~gw9Mo4y*=g&n%UOB
znH{sQCG*jt9VIUpt$aP-!f>Ok`(*DeN~huqlMbE!r8a#AC^;C-whjo3wG_LZWwMh?
zVUf&?bVaMA4^{hKD!!lY*vb8P(thTpg|9EpO4(TJHhDFZk>6(<7kA#cGv>A`|BNgW
z6PL$)D}S`b?A5<7ZNj%x<`ktm9Ls!XSaRjT)ITfRpTx7N>-DT=;F~jX-rS@`NB!pd
z?=(_aBs1$fd&!XphwldSpWM&m6(bSOI7cJaRM@)I?&+z9o=p+P4?%LBV7cjkWi^~@
zMUT|gJ1jiXH~0JwA%#VI^wlDMlzczqd`Z7qNc>nTDD{YYzB%)==QJqo7$$tU_-<zV
zocJ~sy&msBe3O3Jc&l*AS<ElI8She=KQH}d$HU{h(&Z-ANlt4q()((i&~|oBxZcGh
zzwFXu7zHxF>6kqH=N0jB!Vm9<DoYA~-ai^_&a>#ywv_3b*BH38V>AU8mUaL3`IBP4
zreX!-(gi}7b_6|WSoM6xoWhNI0TB<mnobmF%v@5iTXju^2Fub4p-Vf89z2k|w%PMl
z#`fg33pO0O$~rOg{GM%7S^3`WY!T&ux<Dj2Mk=$d)aWeN%4W@L{9K;LSD)CDoycV#
z=X6QA+gNo;p(>+Hi_g2h_`ZJ+Lyo+;C-K#xHKuNQzIKfXSK0eTqJ34D*+uxK-(UEe
zYmJG~{`?7TN5%QVJRW~pW6jmgFSBMtT!Yq55&dLa{_cajXZSDwd2?5|#Pp|yn|ds+
z8SI|B&UIT`VL;PurqrUH_ZY9gFiv}zZCg8c(Z$D;Z2P8NJeFGRu5|C@LDogSCfwB<
zFG)pO_?svN$-Z99+8A`{1e4<VD`!nRzRKKn-Id0*EJ45SR_wCruFFCueGZg56|nTk
z#HWdeO?U3L-M{O^=C?1*+*PA<x93k<^0`nXt$4dZ?m?F~N7HwA?+_M~6u#NF_2!`t
z$=sN!4D1gt*;;T+-*V9LgiOsP9<j^uo44PPlM`R>|M>lb9qnp2oDRNu(H!>soNA|I
z;lAMP<9C8qop|_N$64R+s_o{!sbvXKTQAgjubjr_#-tW>ZMzoJ-m{idL#}+N+bzC5
zOL9SriC*`v1~a1tm$=nEnYf-9ZSdJL+4#Zg4G+(j>GxeVa^cL(Sv0-#)xM1r3W5V<
zB6(A0S^9T#N#4u){wPe>dGZ9eYfS9EwNHH(++;gC@v6g;pBp8N_MCiobZu0dnqsdG
z3*W3;yvi4B*pE(J>ae78a`D5}6CS=T)%Uw<WWt%5B3N)C=R~pa8>tP`LMNuFe`lM>
zC0X;@=*y!ZklDvX84CpdzqGlsU-Eb8tS#KDKF>X$-}CCv2QDScwwog2N1qlH2pEa)
zu2cV|wd8NP(EX(A&kwcrFUiT-eCCPKJi+SAY%}{N#&fUiIdjx+)}uB3`5RvsE52-+
znt4wo<B@dmB{uHMDpP)*fB5Uffw*svqd(k>Ib6-B-@<c$@+7?o3AKeir=RJ~NW5Aq
zYP644|J=l|2+0>~Z!!cX9^SrmiMSY>mxl7{2IduY9?@?Cj%|Oi)bbMl>j#;6n?tUA
z=+(^ZXsr}Fb;9bw1Ibr>OGB&=zAjoKd(H83PR7a^52b@I@pE53GIe8Hgt)K!+ao+v
z6f@hVCYGJK%q(hD6YsSvF>ywV(I$=^39s_5O<T$-yg8t4iELO~WpD#$w5anXe(u96
zQwl{HWLmtRJy3}8Vq;efe&hN2b<t0zy3IN&zDsV;OxvmbYuk#>%LUc(CEFj~knO8_
zTUX8C^|WY(#p_8IBJTY;Uo>q`-CN(Q_Wb`2c;;&wedZG=Pte-R5NH2#maTjGPTo6G
zcbe2UF1a4!w<7oRq)B~pQrnc51iOh%_nvD~E+@X_vP_fI&JdkLHvGN0OS^6f^h!<N
z?l^6Omes}e5nSS&<$EGt-Yqcj`c=L-tZep$CAS){xGi}ZGH-G7A(^)cj6D&XHBX36
zJ36&_yJb|w9=ESwb1yu)b0n|OeaY#NWjDCBcNToTQ1H{^-*3%Bn@%t(1mF2P<00E}
zPT}33geHmwOFpY*OzU#%J^fe1CqgWo;eg|gnm%5qUp5CFPaLVbR9jccp?B5ZXfFHp
z8@)F>Op>goo89D{X0i6ptuV9ehGnxvC)W8??%V2f{8s;K345lV2xfJoryi;8%PuHg
zyjpwE!dAE=$vtYKxbX6wVWzX!Xytt{OgQq(JaAEqkzW6<j&;$`3a@MtK6-A8lK!)^
zv5PV@?825mVw=zEwkBIx)k`BecyD*cwuL>5gRKMKglK<ybkk%uGwY%ci3vw`nSu=2
zBzM9^JG!rOO2lKEPmiwMajgv0S@6L!;fR!J;FK1lO#&x0%<nJiIc)s<$&q;qJ$o!n
z&o0YJEIO3lD42cGrSs9L2<v6tFJ7(uZ6U&?@l7Q0$<Y~^Vga99OGM3oI2b7D&NK*g
zl8oe-<8uEOi`k+FlUoE|GEJSht=r>a^1+U+y^B0JQ)3(%*dG>ITX0ODchK=fPIei$
zfpyxETZXci^OhINE&skNJ<ek1p1ej~X8nIY#YZRKPT1DbYngra$TGR5Z!Y9Sz1h|F
z%ciO}`_iSdy?Kqc%=&C+W0%B!uJLB)yFYXDJs%Oxg*~U2=`FF2|K6$H8z|*^^t#cy
z=!EA7x<fC&SvLE6RmhhQe=T2Ma@i?#>c*D+&wmtMwe|QF{yO-yP1uIo2S+z&Ch7Ww
z*GxWl%QSFJi_s>t6Rn~1<MMv9vw4A}H|*^Gw78OGEpuD`rO5SaU6TUbuC>$}e|ejD
z;okFmWz(cm4w^NIe_mFp6RXgjf6ep0n$NTVw=mXl-&k8cvG79^>(Wk5t32q}RDS;X
zfj?REx@7jn+P8h29O5>Oh5ho^(|v_ceb!EVwYoi6XR_#9^$lV{f^RnL2s%{$mRJ7j
zLY7D;$#BI3jyqEL`3^nJED$hyJL@mY8?LO94PQ5Hc=OVw>TzcMx{p?jzd3mC@BFej
z^X)Fbj<df4_e`I&iMe=X>$6{fd9-~tG(Wgoe)HVinJP!j{%Y*=_LH1$7i(ZHe|+;L
zmfvjmVy9nko$+w?=fykpEK_sJ$}iMd>u=l>Upu!!mihg$)30Mrn<;L4>TVbpbc)X`
zE~;Ujy-?2Fc?p*f?Ed^IIdAPO0qf6;E%Yr@QzQ#6+^Mm4Fn_f6vv7`B#rF7Z#e17t
zZcLOvT6a=Y*}Jj%#k1xm*M4%Aae6#n=JR>ihD}GmKU#88c$ue$^6Q4?w2EgDJPY?S
zUh~&_v`53ouZG#r$~|#Ti_toPhUNlGS(%p8ryeMzOf!vUUU2qV!1<-Ar&8Hxyw1;#
z)JoziWA`||)aIpLvG%noH<~9e^Dej)c<Nt+%&jx$T-I2c9KFRH`rhyK={sGfN6jBz
zO|H=OOpW0xy&PlvIC*L3R`yl*WT%U>&ibIXr;6vi>dKz8AB@g^otkd#yT&}=Yu?U1
z8b^5UHHP;m?oydL(e!|0@%PPBwp{}0Tap>fRyFf>-I5<_d%R}ZBra$%+Qehg;3iu6
zdt%bsMy=P1qM30bVoo2}_Pjc37S*J-ut$fPZ`SU3rft6dYperOb(a`jIP|6E`-4#Z
zbr*#kI8#$p3mEVI{kN-E<A&mjO>#kkFDn%bKG=b^Gik2t+0xh4T#zX%)8c*ZfkMhA
zQ)?Fc<C<ml3trtXy?HTrXF;y}id**rFKZnRG_UwR|CRpL_=c|9<<~6ok6roo;cewt
zi#ngMJB^ap4n^+YF8Av5#ICQ8Peo;HStxUGN^SaWi~7Ho+$#HW?fcv(i+pFlVN%-c
z5!~l;;wtYGEv}op(>G7uZ#Vm>;Qh1m$G4sAO7?E$iS&PXHKwlmhf)8|Z3knT4JVr(
zYqWg+_>59#{^$PWrzuA#K0VSH8Nd2&*t56ZCatGxcCS3MeL>QuV;yrI@5waaR^9ho
z{p}K-bSIg}0GT;o%X7n~-u!jv!YZTnn(i8@*ABi~kmG%;BK$yi`c|1#Cz;4VnJJ~4
z?N^^sdh&{`NVLu8nuK7H_J2D!G50;e4~`y<;xkh0Tcgf*qKa$1_T~<lo7|4bL~9%0
zv;B~irQ1GJ;P_PG0)cfp3C$DKf(rzU^ylA+KjQewXtuBV>FJghYBTem);-#`>{?##
zUzeq&SD3B4mgtAft9f?*_xJeYdtWkN)K-|g@WP$PM<-f_sqJ35m{(G#Z2eNNTTZSU
zbc-eT^vT|nQ|MjD6X7H?JybTq{QL^5G@Xj0ty|i<5`;IaZ|#%4C!}x}EI;#q>W16r
zlFwEdTPt(Nl`HODsC4s&Rq97Qhr`aj8lKYxj*D`!-@H2ghUiTXmD^0KbutY^Pi{NY
z+rt(2`sM2>D^iwdin^X&^*F1w`R%XWZ>Iegk__8$Y|^oC!R(~tUDr3ZwMzwxX|L=1
zy6;rPSL+i~r@F__+L$m^HFks2tt)+E>r<96PIK~J@z|@C`Ry;|J(qe|y>(u;Pu)0c
zZJY0d4@PcQiJEI#tk(HhY*@FCf918KNxgd+vUM{1L@%nPxks?RPqLdUBrmmo(z1vt
zy1M<^VthM(-MaityX@=RW9sL{b+#=D4|^gl{>^qZ!=tY~TTT|$a8||~E%<O%?ATSV
z$U9f#8oo~Za;~c+`p}!B-cp$}pS}?KwByjj1-pOqp892G`}}89>-3UKT{X##`f;~C
zgmsTkpKx51|9IiKEZ41;$4@rzebfAF$1BCA+?nqqWA*l(S4%kIA+PH+Wzx~9oa`s>
zTKz6Fna*6r&!n2Wm2bhZtskq^isZTu%Pp9qv(BiYx!@<LP&xNNA!d=S<<81EXX2ac
zPRSkFm>1>Vd*|<m)v2sk{5$(i!Xxw7T712A>`b(cz<q~xm4#Q{&8%5sWHjGu!@D@Y
z>|17fTscjV53<)B4_hK7&06$vLPLDXn#zq=-??_3espQ&<mY|73o@fmY0dllM0v&J
z(<h8Bgz>EiYH8~`eP1EaNqqLF)FrR;@7<JYsw%p-VDscPyh>+O?5*d^Us&){gSk5K
z(XNQ}wZhAOd}(f)d5n1tdqlGCHY4B5pLSGu96xP%FL`yP;q`ZkRSi~~6n30=wcAT?
zQ^fc6$8P1iZp!_v*-`rD!Mmul-KV#yL^{bvO3X?5ekO9w=kVm(?MgR`wIg?I4*Q(6
zP1kc;fO{CHcx0{ByE|7jc17G@?-o^%x@)DW^5NR8Qkl1xz7Tq~ql%I5(9+rh0lmWJ
z<_VrZROb0C^S}J_^yd=Gqr13FuVuY{@%(K}@`jkr{gcnVc($sZ{nVGaalw~Y+03)a
z41DqaH^<JYA!P}1TNCceOrINdS6K4e?th|clS^-QMMN(<@5}Dr$<+M(s82ML_QD?T
z6?$ua)jd#%sh2r_ZtMJ#1J9hMo<3=G{mPd)t6x04U7`Q%=HCd;#GHHOfoH24^j3Mw
zZ??D^A-$HvRMYA0;)S0B#k~w#OD9e}*jVz{D@<nZ+^T0Cad#K}4!mag+97LB#_9{P
zXQwGj*y!)O@i#y+H6^tm;p%&q6*Fh$hNrB1kT>P)iLRL2tt(e85?^@nbD*eKVe8bw
zrHnEy-k%>R#7tsiSKR#WWWujCZW8+Y&n<VXw~B5^UtoGk|J9s&>$gm&|482{`TC1}
z%1i6D^JZt3{`|Abq;Y@YrG;E)?fh=YPY5ZWw`TH!WriA;%l-ChIQb@<l(~DXoMWK3
z*lOWA{pj2BColPgE@TNU(u_=-HS4#(s8wk1g$sq6GO1o1$(Q#vzudJlp+3&l*f(HF
zN~gd?HP$638F=UPS8bTDv8LN(cT;o0OLzrgyR&+pt)0!e<&&R2KArPNBqjV{-2Odh
zmMv&to}Be@i^{6~vW;)g?U^lj=d<G7g`bY>Hrpn1zJKzQm*!vC>smriaatQp74s}p
z+B;$E{zbKm`}Z7p%J-6MYGK^7!dK3!COPgNOXe6|<JDrmyOH}@LRr;cvmMHcy$g)a
zezx&d&HB~C)_eMvhM$+z^w$R+chvCl9eSEtAYgQHUWxsEmXFulk55;qtE;X0^Hk9G
zfC}&Ab*?W@#qE#mm%6(n(JH9Rv2~wuX3i|{W9Qd<3IF<S=cKl@Wg?rW`1z~tvsLHS
zepsjaa`KeIWy`jvtl54$<3isA56#%)Cl)Q)Dk#%(dXc*N;kwtc>*YfiJLK+I{yF}b
zaKT0YDn425?zi4ocvt7vN_=NqeDM6s7hE<9Dz+V;&Up09EziIByY1h<nkDyaKQ~Qu
zRf}g2`n%%kGYQY6Tfsi>+Y;<sw<w+pxGZypQOfpOV~69>)QOfiSWBOa#5c|2F-TA8
z-J)pK@JwmCj(En49XxzOswEpe<K0%-_igzlvhC}|#;Z216DO%Ux!f`<E7v;waN*}b
z?r%z}C557lGA*ayUr;E$bcut{=~>j?H=KIwFFnw|`|tOQ^$!-*JE&bf?|9<=hF9N|
zcRY;zp5$OsrRA0yGV8&<q`9T?N|*bD-IfIzM;!J`zrj20^ZLt&StnXv{;GU?pTWOx
zF#-R=+02t(ulXJDXXe(Px66cDw_CrC<7xk0pWb7n_kG&mg@Rok#}zF&rmr~YxZ?;9
zpVP9dlmGeJbKW|wfB9$5E}mV}|FiaaFFTj}cx9ju$L{jqQmr)?<YM~r4xB%8b+5(O
z9^Y>>KMMc<^!FKKjnk5IzdkR>ao|)gUf%ukU9Dl~OVPCamDPqXC3PPuT{$jpQekJ5
zDY<Hc(Y3zkYwo_DH{;)~ElbO?*X{ks@Vevb-W#8LZq4nF%ilO>;l;<BEKW@gJhrT^
za@V_A7vF3)efjlrb%1)Lmdl#Vx?O6;1<BFrXPXs5og^Y<WCD6$&n;WEcmD0YavD=~
z#FVbOto>6|{3yEG&i(Q8#b$5Vy*-t+Te#QO?OE|^&W647gWovz?kb<1m^JC|#H0N`
z*u4KrgdJwSGI8pmqvgv5l|LWN@%gG1Y3{PGv+~fsPK7^3u74%wffSe?K3e`<#_NIg
zkDa`Fi}zUbNB^v{{&CGsT>JP2xk;x^bUi$@>vPb84pG0;DL(}^9y_|lW`WRsLzxct
z70TUh-S5}MM?B$Pmik`r$c;aK2dx?^?pe19eq<<3Ia}~HuUsnd(z9)~eDfP7^tnkE
zWX-XD_4e51{I93ZPXF)h{9<v!8^)Rjt4&1~&)=WZ=KJ=uy0p7YKVtjRj_s*u;zbXv
zmb|0<;nCVV{nG;6q;w8C?zqFxcStp_K){G|e$6kdI*wm=S3mfZx#tHHUsXb$@4LM7
z>-BnGPG7Oba@Cq;a|~bFY4(2Dy{7d2v?JR#Ui<qo^W(1-E(={>N-T4Uy50Em3X|#S
zl{dFO+!AzB&P=M+^sL2s^S>;5ReOI6+dtdKzOH%3wvN=NhA~}xtS+tIAzpM%-cS3X
z#@=0`lFi)lmp;8n%2`))J@Cl&X-oVkCHbsK_HLS87!qmNcdbUZVdMAESG=2gIvT8(
zovaXc`r&wXm$-PE=YbZhO<ix8_nf;DDrxZTLHgXU>0J%^ZyFw&o4$^#nW@Ss{OW|%
zCiV^c0!%wLseib<`(eVAFYn#f@|4Xp`L!x?u4!J^66<HDCs|GLtY<rRu{*w)KQ%})
z-0^_p4kre_L#l-Z0!H%lRW2`IzTW?F<%Mp;{fTqGCFR}X-umdz?Y&<%d2QTntRE=#
z@%QF6#ldqbnLkh5bni!Grc?brcaQaRHZU8nZp~V9{?V$%5m(Qe*lfOXt|xoWy=Kj+
zTMMiXJbS#{Wnt&(?)+l=NH@vpL9rR(@yETjO)GaTYA;waJ$TtILvz!rumkR~OTG)Y
zdT}Iw{@1p0Y3KU=%uD1MdUNts*Dm;WYk|+Eu#SNBXG_=h#jfu?cFsLfgHcr6O^jXq
zN>$m0RpHx&u2@$ro3zxar7E(airt?>-|o`i0M5je*a@5SQ)idxn%FNkiHu+Au{k|1
zFVOLK5Bphn!yEpS1>D3~j*G<FuetI%T0ZXFg0d)$kWDrn0qeQ5@B4B0&FxRxAg`s^
zqr<_sBGLHv#Up{SEmkcHCp|sLXez&3QO;WaXo7u6L`3tK^;VzOReF0ye6Q<Uzd>9;
zY7KkX5i5-;m(M?T|6q8IEqfB{PNP#hZZYr`Wz5t6SM|lqr@npHC4qXI7n$*g``=#G
zxc6-O;=E<&&lE;AZ{4qCFCTYj;m>^><(n(HL?32w?>HfD{W81a<H1=w5ALbl!=+d=
z<=Tg&X5HiB;_g;Su{GxL$5+hxXWF|hwqGg!&4Trgx3W_AIlunX>VHXUiRko)-W`v%
z8oJ-Vx;tgdrIIIUZ+g}rl&W*xW?Q^-8=rhl$F&bhA9asU6L1&fW50bi%4W@~J38MM
zUiLQ)(2R6-*?0JkZcivjb_GYRNN-UMXJN|2f{%Ci#^{~9XSHndSBCDA>Yddgs~N66
zWT^W1XWC-#4&~Er&Fkjvd8omAe^;FAHr@c$NPCxU%&*VnK6v;!(CE}g)gu#A4<9w#
z9Xc;;o9E3#+c;OLyly|SEj{)2k;Bgy$4p7~?ojq_Tg)u>a?P4@7v7D`)9S2PQzspD
zZmG^|t>!v@zMFZ6OpKFEq~V-`>$mSNV}2*8F?o%w(j9K?JH|G3cBfn|ceGe+N;y%m
zS@r76UA3`yKj=Q<Js2nYnyu`^*0n2~_Q*du`ahb_U~!+0Oy92ERgHFQugI2~vqXok
z(T+G$ojiA+;yu1kkC^p3#lw$RHOf|8=Vx8EaI*f5RY|c2`Sx9V*}c7a&yNQ0PpVfY
zUOjv;e1D?Qx;*XnZPqWP4<#J#GG*&-+5Jtj$GmlqM5DKm+Leh<54%=uHN3RF><K5&
zx788R8#=yUvupe~Z-TgTf#;qUt4%c)AEe5%Bn@tCQsO;i^kAK=!96jh*rOr|PO{<l
z2ORlynOjS%)GwW%66*eZ{_R_9lyVLy6-+&6HRGuK#nO!&NBD~$UAfj>yz@wZM@V^w
zpvEU&|IM$}HrnM+dC}Bea_yAPrM)`KIArZ>B;Op}9y3XA;kye`2@7{ub?WsU`kGzx
zt+tFu?{cL4=H?BBpYw&CYZTuc-5N8=U}2v&lis21|EGV>HMVc={=ydN?y~RtH_0CT
zW@$Such7w-R-39Uen{o>dQ}{BwR)W(_Azc&eB*r<fq1s+jXKkhc&|`C-Oil9B)|Gq
z_05Go;xP-&PZ%j(`kUc@?uXQkZr`0Mu}-p)Rx%~dwcl2VhkyDQ!Xu|W`C6)YZ1$fI
zi=!{S_qnKgWn$~$tq1S@HEP;$u<zjH)N5I~kM?f$kNfjwT4{=L1!rl>!-9ub&#zmr
z_h`TH$ENhtadU3&u6X};s<{3B)U`Kx;$mc9A1ixscaC28tHmL?{Vi<eJoEIc4Kv;|
z$vwLl6WK0ce>j!*7EgkcY^2SchVbh9UcQX?;@)U6xcSf6yJPEF%^X!9nMa%Ia@h=(
z``0Mw9s4B^WSpmU?2%?@kDPI}VgBqMZu4iG6(0F2UEAktsP<%H>XCyH_k}J#KXZHf
zd7YYr|E7w|Z?Eh~oPW5YyQ8!yxQ4SbMYQn4-I4{O4@|74J~y~=_|v0zX4)G|SGvx5
zy6InDm!V?6j<Vjd-SWEy(+zJN`;_$cp6Z>=@27S=j=A>6S5WQAMAsvZ5&lVYmu}b2
z<c{Ak@#)d8x$j!vMoPUCHI2M)esuZ-cQHZs_{Xy~loHp>y!z$Qe>2Y8#U^+3vnH_>
z^cg7j>nQRaTD|_v(y5of1}+R~-`E#+d3W`nWA`@jJX{v|IpSYom|UcbOpkf^y3kpE
zi`VjQJt2{uZMxU`#QV3;TNUqEX33p)_B-{PIp)c1=k^U|UaR}|v0uBH^^Z}n$U1pP
z<rSN0{d1<ePZMH){I%Gx&{Z|(ZLN@mdqn=~mv?>bPSl>sl0CwaCuiFKR6YIa#HB|L
zR{S-+Z!+cn{vPEu8?S!JQ{TT)e}~eJ;wv`O7S5UKeoc`5!Pl#&b_i*nD0-}XXjZiE
z`(>XN)*ci+cz5x$jX6)(*sSYoXfC+=;QW7n)TJ=Nue+NyofsI7J!N3vM_Im6oRL_N
z`nINgPUzLEX3ytITHKl0cy_7o?;D*{cLq0zDDx<|B=8)~m~@h*Fht3bIm6`S?1qj-
z4JD%0jxG*49lWBV)$WWN8-rK^9j4^{ytetY?ejM?tKaR+-*^A}b@NkaqRz+d{=WB`
zRlRoRrIg#oD}&ETS>?R=aN6tl)&F}-4zM=suhV~PS8?S*F<bu{`-NM6E$Y^nH~jL4
z+imJH>uI4=%4E&fgltmwcE8fUM!qof?@o2uM`oFqo@D&H{^W?$ztAuPrjp5Ov0{E<
zF4w1<X)Kz4`O59RUtivJWv{lMDX)HYQ}y-6*w?$hzpqW}uPeP<H7D$msi*1t`&;wx
zPT*$am({aT54G{w)a=eDS(8`WIo-qbz1;&I(L0xlgI)J#@4EVR-OGE6{jYD1u3%lc
z<caF-OWIcsGFN?he=pg=dwu4r6>b-0mPTi<k6e}77G57+9k*)fvf4E}^_TzO_HB~R
z@=c~in(H;LI;FmKKFTAx(av=F*L8VMr!Mj8nc@;vt@~$7$uG_IsjFNivfg$&6oy8e
z%08O3EJMo6P(|1*XziYBD}{J9pE#`dT@_n;o$+ey?(Z+w&DIvaVkVH%rT2f!w_Amd
z=U<#M+{OFqX6=DY=IXhjZ?^hZ3R&kW7*F~1BR`gx`H;0j=o;s$zhwdq%)v)zO%qzX
zr+J5F$xF+l_e@pq9bEbBh0)2apZFdY#Ikg9ybtg*W|5hu#pku<gIDlLpRc>Oe&IVV
zabfF<m)8ziH#IZAnOJq?goNgMjgs)~yY7Eq_40D8*TnLYRlQtq?`}?4Sg^Ic$3Dp7
z^}fHWtG9gV3sv^}thwGf%_U>S*6+r5+m`eGsqHWE{d!<sZB+H~rBT1u<jfX&U$KRS
z<-Ej&mA&OoUO%3`nYZp`**D3zdxeA7IxBlVe7k3I(8>t~=jZXrKbSiwE4%yjgl}=j
zL$dd$m9pi(p1L%uwQy$5tjYYU0mpqOe>$~u-QOLvib_KG-`rn5H+-U3>GKT+cP_1-
zvi8b$zt*i^R;k!Kd_MI0$lRPm*=)Qbap{~IjBK;2V}n|GcwXJS_q%eHX-8ve?QTAa
z8;ke*EuQ<mJH+cD^RE)y&FyW`mHVFvE@jVm=Q$I0Z+*=YAI0TMynf`%F7n<Pc5nS*
zkI3xzAzvca?|Ky%`}^zbi_80duiSe5>iqe;7HF=IPBTi=3f9#QzP|SR0mj;@@b3a~
z-$OPg8fe_EunkgTevzTOXP!+#^>^!Fv7`j;_ge~FD<*yC3U8TsPvhIogI#~rdJ0_q
z++EM{g*{<d@4qTn;Is$#`utTp!prNcwto1vbQ1G9xeMEu$tP|5kQH<?j(JzvbBB}j
z7`Ii2AO3f3gT(c<d;gu-l^^?G&UW?hIeM;9)yz&aqTJb%Za%nZuwu1N{6_PR=`ua}
zdf^+(mn8+9n0D`dh04oIMZ#OYobwVjOw|f_GIws)wcX3!tue0pnD+5^$fkP#{cGwO
zUQhqp-{vYgDK2-Frn-vwTKm@Med*fk_3nJ?`6K!Ej`598&4)R*|FL{{cfI@mcW3u1
zt~_Md%=Ycg%{$iNL4SX7t+xx+S-Fdm`S<sA&%EYN*IM=N*8cr(7To(gtNQtJuJfy2
z#@Ch=zi$djyziL)_063-(yLbZo!z@%W0kk@`$JQ#7u3&J%8ytTSvucwhVG%?t9@jm
zbGF-=#<H7zZd)F6FQI#HcaYrT)X?;)yc*y1&KcbKRd4Jw%h^q0S<}pkZ7<K9(KtC}
zc`DQN6bZivL5CF@_e-;J#-z<S$2{rY)0N96y^MbI*ZJ|BvW?&UPlkk^X!$=i(c<BM
zL!ViT|3|y6U-csT$zS!WJ`?9gS1gvTJfPuS{_KbD9z(`y)qlB;F4GQtFLv(+!|8?L
zTH7}9Ox5~$%yLeAZ&&oA*rgVl+Iv>d4VgIWK<)0Z@6UU4_cRx-+F^FjwB}2ovm<Y|
zTKAspxgo)(GlTB29G4H?yFo|xg>Om4-)qI|?e#frU$K3Aw14?mrTj^US1-8P{p(7|
z{Jz+|%c8B{Td805N)>M4|Egxak~w0J`SUvlVa54fleJ!)chJt)l0UGkaO&$X4W3n(
z=Y%Ah+sS^(e(PHvq`QmHVt0J$f4Su=g(Hje+1zax?<(&XyXSK?;*RuO_CN0!-dCw#
zUG}V}v2^e6!ain8b-A+CQrl{-_iTAuS6`=w-u+#k_xkROB`(+R*}gjWBKZ3Db+04$
zvKMe~|C;vm1w%8}d$qnZnpa;6dq=-dW4BJ-(b%;&dQQk@$G?8>!$0q?<1C8F7o6|5
z{NC1-^1wsclfSvGuYSF=MMJRPGHJ<=of2<5So<s@_q^)(Eo*pL@N3d^<!RPRifPtK
zi=2IDRXtvA*L*_Pc711tldsooi9?1ihXmFs$p}A@P+7=v+=X2+)#1bog)Kc|ei9E`
zJPjHbgq@uBYR253iAN^d`+m_^;7u)3;#`07<GmNT84I>O@^Zh@6<=%}qw!DmS6Abr
zy#h&#m8-hm%RcNBX4Va!<9|A1rh{<i%#LlBHm023;vvR<`plYDyH`~lzQ8f<_3Urc
z>i*nS<}74*WqtnO`@elN9(L4sx%Tb2F4*3o+Go+qex}4-r|gJ6^VM@#bc2_wv7U69
z>{fqrjaz-wGTWG(rzJ<y+<64QTQ1uDgLRWt_?`zH)=&P+Tb}s5^udkGy25!kT2AdT
zI4N%I{*Ub}ub_*?W8pnZUr+qFeuwb>(j%RZblvCZ_c<HtJ)QUV_|*AtI+Bkl7-~#N
zef?wZtqq4iN!^K(Xc1vsn7U;{c8jA&qCn7%R-fA`uM_sal6PyK?DUr@i`#7CCbKIl
z(yQ9eUb3CceKhltwmXm5e9NTe%626c`(jisJ@R(1(PO_D;cg<nS>|KP;@X$|(yk(}
z_MQ1P+t}`8^e@R@o6P%X@%DLiN^QI=xZYw>=Z!}lWshtM*Jubm7Wh<WaJejOnc&`;
zn&<yF=UuIAyl{8bbY_7lH*WEU1u541<(uqf60S8|&}X@^>6Q9_hP;21_g>5n{rrCV
z?xUWM%G}o+D0x;n`^nLwS;~Im>t5*liLPh8y`LrY#OA;M%e|NMsa7vZ-J}x2{47;_
z=FSTq6)NX-1D@%|HnSG5*l|#>{?Xlr?I&gXW<2V!EMl8;s&_-cx;aZF{vBt#wZQd{
zSw_VE|3xo0_IPaL2wld>yznB^f<_lU2fg)+#glb+OxWJ}xPsN9Rs0fD-YnM{e^PIl
zM|GQT@XF!f>tI@KIOl-Yf{tZNeP^k0Pw>1VujjDTyvmsEDU18|KVI%Jk2~)6Rj{nK
zot`}NaB9_^hp}^6*OebqJ-Ikq=~#T+UtybbCK*9@b!XOP-gvQ-F>h+$y-WEQwSr{}
z734q6D?F0rULv&EMO3OQJm=jE`6pU`t>5ih<<KlM*}wTu{<AEX=$9<amm}0Ul(MVM
zWZutQ8m2ChQ9M_HLw9myUujeC+f7ynZKmhw>Rf-vcQV<jcFNaw)~`xSk2#nzHI>~t
zR{!rk_o~l#!X*-F@*kKM%&>^7dDwAof&F!k`P0}x6dibOxi3zK>)g&Z^|b1gr>0p-
zZZ1lvJ1vcOOSQRe$YTD%a9UPhy(Vd||GX}J@zpYgQ!KcTKeskG-F3t{;#;3MKYMoV
zmX(kH&-Yh5_&>=`cJ`E0Gv?UqTrYTMqN0%Qp8Mtb|7~-uuGY1z{35hX&F)}a-^Hu0
ze|-N$PFV5m|F7=VGIy-?pQ&0KEZ}oXezG&@^){ZIEv;%{3p+z+oEJFV;|}uY8ex`+
z$B{S><!0I3$UF6bpZ#{Mj7rh*Z3`dx_a$6iI)9OO{PFICUn6+_sZ@1x$++&wx9&2R
zeRpM9g#9^TQ^D=ZH*NZyitd$IS1m4AxNJW0uvNSHZ|9@spBn6BX8+Uw;ct2W{EvC(
zkAEw@$X)bqqDXq?r9%&sD-WBRT`>MON&4(6uY8xK_lu95cjcV7eqK?<tvwq2PwSss
zCsyy9S#mJ#i1|_Z=BejmxHezgn%G+8-?E3T@{Vbc@XXeL<6Xs?XXd>B%JX2Uo>m+0
z%K2*;S252!-gn~mzYKR9-FT7p)6+j5K03D|=FjvM&wD4lXWF_*GRpilcTnOw@g+-F
z7k+>AJ8a<(iTvQ>Mbob*JH;xPofKYsYL@$V<_P`&uNl{+Dz!C=^_d?C)i>ekP1t#B
z&t|i)VP~qPuD*zW(R<Hsdv0+4HRYJKOSXSG-23?>-!p@s*R<4RJ=gu3<=Hp=#e)y;
z(>#~P2i8}AOIf+i$?idcZC-(uh3wpOtjpD^3bT9XZO#uq<o|c?cH@=yyL2c2QkuZ&
zX~%SF>XV`qVjiDjC$vtgVNVJ6+{e3VrpkSmm!3uU&T<Pa+Nn~$|7=RoRaPd~WlX9k
z7l?IqUvxW>9;Np$e#e(ipO??uy#C)heSY>OeK)<ny9!3CKN-3b1+v;%7fyNh_WYK^
zH4`psinayqvY&E^$$shY;^kLNrd&D{;KluSirk60L7M}-_*;UilJeD-YHxe)bCP@M
zmjaEY&!_7eXx4sh$tqNPzR37$#ZRFXQu>Ske%!>kLQ4PfpN~aL?c>&cIDT4ULe`!f
zHxtvieGHK*CwmpTRd>pzcplN1ly4ccym+Ty-ZH;#r_!kR=NqRldn)6qy6{@0jMqy=
zmvBwnIo3<3Eu1osW9gP_`j?k0gj(0X+5Y))u<_eH5=*k4yF08Zi(?4d`nhen*o?Ay
zY$01ehfG*CcX>m|TN}wGS<gKcLaqJXkEv=&oe-O}M~q2Vb*Eq?hvz-EEmKwUS!Ygq
zqN@<?{V8oiu1clwiEWeSux^^GlFx86Zqn+B(w;@an=BkAeO4$~pz@x%a)Qe9CYy*!
z-<xb)CKWr#X`QTgkW)K(Q^8xclKsSC)t!=&GKwdEI`mI@QnkR{mDlKw_)cg22Yk9`
zN?uG}$d{WU*)Jir*4a7PQ)6lQ#xJX<Ol$7(TbZk|bh)#p?Mr>``3ov8YHsCT`o%|M
z>D0QJ$L@VwF<E)(w}n&UJ_Ki-`&{#Uf2mXU{gaVPnw>dyxmCA#svfzLwrtU5OO+|-
zPA@F^mU8LlY~#6?0<6}zT>5Djyz%Q!?Xn7uh1;ET|K7~Id5u#o{=dVrCuU#!9;GgH
zP`zPyb4OZinu2M`oP+nRcg%4Vo_lcjLY}zq*2QOqLPL54CS<++$+0A=I`4+b{WZ46
zj;pq&TX}5#=EJ)3+Pv_I>!PZcF@$EHFIBjD>$4QgqdA;<F9mFbb@-?Lv#f8ATDF{h
zvi^(DLX*!ohb*1H+bZ~%Z@;d=()%Wvotl3?eK%fuziqAC)PHs^!AtA;I#*umpTFVA
znmOUkA==ODP8_?r(5<Cx#doLDUB9}2E;}86rsU_u%CgGX6{qj>r*1fQKhN&t_Sxzi
z*jBk!^DN2Q;;In3*p?^A^j6H9@>LhtF|U%!Rr0u+arsYfEtkjD4Ex5A-ZJHs(8aYc
z^3A_1-W3yktNvDYN0oT{t$f!Cmwe;5=2fgk6W^AX%zIlNx#DkFo7(rD*tCf=_Ic|G
z`S>P#ncfq>;Sj?acRZnSiJD=k#>!3~)2UZjmW3Ry?bMjZvfSWCOwi<+L1(wI9-L^*
zs=qzv#lnSQk)l~sJ!e#|y1d_!d8OZcj*zLpqb97ny#CwspJgXj`Nem(I+Xt6ny~7P
z{_oE<^2;W@`eJUVANq2;BJ0(c>m9aPtWpYaFLHHjUH0cy!0Y?#qUKMo4w%1dMh(M~
zRmYtstooZD{Pz3<n}$_V;R%jmS0$K(LX%BALVH;!gkGJ&9~7D_!xCb;j%DSscM%h^
z-kkf=?`7<;ZHnuTsoe@HrQE@5RZ5M6H9hYhnPR1Ow|~wpj{>dcTxBWGRcCfi{3mAB
zP$Ti`ZM(sTQtg$N3ehL$KjQwY`Ong8^{h-IkE=eD9ag2y;s`Rm%u?Uw&%QFew7x5z
zKg9I1Pkq;UhLy8kmQ7eS^BvntzbWO7A?l~B9ajlIb@wnAy(+SS<>9g)+UBl~%QWq{
zOpm(Hlr4FC$Ui0cLs0>XxpQLAQ-^)O3fbykP2kXBmv1+USanTW<VR$Enk{qC)~}Zp
zLZkH=SKj)iS)XRh8MO7QutI2b{rYfLHF@?`w|*H;ShcqP#7?~zvLz82R<%-Ef9+Hj
zdF>ZpqV-p9!m2O3zg8W4lC=NJ?uJ#D_P_6+^7h}|<k|7RLInbr#=q>JBC4?1OFzDS
z#~1N7ul;Hvzt&Ie(E1y$5c+a^L&(zqLQAy%Zk>^|>PzyUrw_F1wWZmErvCGqu<A?l
z-=`0_>MeJ$Es=Wu*l|^1o#}}cf8$qe+v#`MS^Mj)fVpJ}lA)!y*n+OUw4Sia?|xHA
zX;gDqSm`gR6{{|X|C^b{?XmZCLr7^<cg8Be`wXF_xA=mtW@>wcE<djz9jacu%+fw&
z`FW?(TYc>uwU@s8&z%2j`I-K|!Oz+iLg%jg?myG`Pw+F7|5J9}wO_v0%_MaB$$y%k
zrT<Qvu=Pt?-yCtN)cNgR{}a<VCI4nUb7`COym-+M*B34u^`;!Wc0lFJ?mwkDi&j;}
zF|VBUJaodU$~eZAv!17d7)&c?J$J1yt`l65H81twvp+$1oPV4M_`HUHYTed)_e#sl
zHh%NYe*PTrIfp0p!^Zpn{t3lRcrNsB^#!4St{Tm$Gq!6re>ZkNcE~2Xz-tBDY$wS*
z57!3^8(4(0$3LFG@7V9<>-qnD+|RY-)r0MS`2I;HN39RmPdoNsx{iJSyY-u*i~H(V
z%-Hee>q5SJGFpG1*Rk)P_VPhmrP=wF3!nWonsRV?9sBR?G5Y6ucC{JZ5IU7GH=enk
z&HTJ^bKXLpeO##<?yYaU?{d<{cm-eThf<AZ-a6j6lPLjH(%J4hOXl#VZn$>-z<x8)
z=QADq1K4gKE@oKHKK0-!A2!`jXC7<`V7uR9bc4IK`4zK$^R&ve3))i-_64y0Hj>nd
z{XF?4^Tc$vz0Q&~(x(#k&p)Vr+LvwZr!x=C7xL`;Z2VyN(bwx^S6r?)oLr}M{)<2V
z(*4F${z=dLvOVom{@nCmwN5YJ^O;`wG<9ZXS$&#%#_Z>Q7xcFXzu`>%aC!Pn$6YqT
z&1IF>7N~_Z?_J2VEy*+7^n-E6{B*YT7NZ;DrxIq*KUh7JN8>zCo%E@MdX46{GkK=%
zn;O~4cfz>&-$I^!{Je`!=D%_@xfTDC?~L(Z#)V(%IYJ*ee|^%)wP(u72McVBB_vKg
zI3B=Oygg;t0eb=2Iq7W8PLh8_P9?1Q@ABgNN5#stf;5fhrN(CLMiSFcCVY{e$-{Tn
zxcPpoQH9==gQ0c2e|Y##8#ixulC+UOmC$E(=^;-Ezenk#S-eJyC*S@%`yu*&+-~M2
zR)udryx$u9clJY-|7knU@4x=;tA9-Om%V13ygzD+yMOV$TqQo?^~9zs#+jT!dmGL$
ztc-J5)*Pa*a9^2qY4C0SAX~A!9E?kYcQdA3$)31n*~4wSG`>WAaaytV*zdi6)0P?Y
zo!@L+C;zHvy0fIoBCXU<k#{AvgcnN%*6VI=(@fmlR_?UYe9@)UBIOGeEB(2a@6(!6
zrTnTy?fILIxxaQ4yxN@37My>iq2Z*wVbr3a{K=kw?H3+--XfHLWLd+>iz{419@Sku
z-qKx{ukqDwjmC@^9wV*N{V)Ctd)35ge01xvol#>__vk;5YTf_0*36yei$YS14u!sI
zRn2}>xBCp&_S4J@uSf^>8(A9Gz1rR$T(2^vN;xY=?fb(ULi%54A6{wya{0YIhOIi2
zUppN0{`0Qj(fU@Q`~^!=U(KEylrN<G((>Vw@Heq9e|ef;xLvf-{Fwf?rh;4Y?U(Xa
zoKF3awe)@G#Ba?Hx9p#}W7~oEXKml7iXFSF5PGt_(Z{1m-D9hFEql<)$zg9zUM-nw
z-D~yo@Z6xeN3OX0Xy#rzZoSm3Q+fSO)B8CA_myS0I=*FJX||$P!8>J*zhxM=XYHXW
zmy*}q%={veT`pyNEZw?SF8Qe6;^RL=l7n)6r8l0_DDLM~xb1ndROeP)(0pUpNm1vo
zFi!f_u##DI>#UW{O5aQ~lg^&4&TN0M`mx{sQp?_xyDt`7B=4DX^ID(a&)n?kzi&%D
z_T9UCn(ocmCspT*%)G@vo?_{?d*E&VKves%jTFz!)2qYU4j!8Jp&~5fwb{;hD;0D~
zBAf2TiF!Obm{9edZRYPLo@?n-=WME*e0n?Ap{lv69MY4xf~Msa?GJLiv0xKR;_^Vp
zQ>%RQT(mf>{iiA9tkv>}+;DPkIBVkSvqE2Pb}!52-n+DXPi5k=LyuEr&icg5CG4xy
zx*)sWhuh#joAWnz$vIjfoKqNQ+LWwNm}9hw#g{|P&hW$oo+V0}#zvJcL5>!}shm|N
zGmcDB*lcmaMl2<c*Xa5_;SBa0sw;aE)-UPt59K&BMT)=j`D+Iz?IxaWfuim=bxy{H
zu_i{J6>8!2Vb%PtvUC4Rg`AAYrVT4sDWrC*e2)=r@ip_l@Xph_q_A?uoxQK`&s_AL
zlP%}wOLm=DF^QPXzC6p!r4*OF=Xwy&;J)tECeL@vwKU%>=w%f>H8-GDLtAa9|EdXR
zBb~RgaT#a_G~8vZab&ok926wxS9CZ;v3he`O}^6<)5&)@LQ^+<H5EHDv5re5p>P31
zGSe4Z#wx#tdD08s^IqU*dC^+8|Kw}WL(HwxJz7$0LPXhKhqfM!xq2*NdsebR!dAnG
zO}8X`qH=k*tu<p)4wr7;y1Mt^o2$nX{%0i{v}`quIC4wECpnkLioMlQe4&Acd=5_(
zhjg>mp<@fCZ!px*$l;mACEa}L(6I%(HyCOd=J4F&k#3GUd~88-h(t+0SM#Qe#}<@s
zF<c>^%Twhc-RyMf*n*i`3|DC6^6c`GZoYKs*n+)V3|AQD@>C_YI@Yf-(CEqKnUyTv
z%yskF0`F~x8dGz5Zly{$&$@YRLH;&Fjd{5|QW?_CTDOiZn7qwUW4Uw0A?uir|9&yN
z&T2jQDk{-n^ESg9(YGad*5~qw-7sSd&XI2R-PU{1;`XtGrMbxl*S8to$h|GWvm=*h
z+8r~t$$8Svx!ZdWp16H1;cafR!S8K`H|lRo@EpwL5qoIHcDX>hxpznJ!H7G@5=`@w
z4dk~Q-k5k>g6C8&&$OpzY{JFT&1-k|9(-}<SVC=HvVrq<!yAilOYmII<q><OxF%qh
ziWbMTF0NVk1ZJf<`cB=tNVhEZ@Qle)PQubo!cxolFS|wOcpaWGS^8MZ)y2IB8$A;X
za)KmmX6Eqd`I@oaSCej@Jh}Ja?UTnId`n6GP`}C0!s(`j&7vHhb%ADV@|x1kh0_it
zY*}g$k$F?%%+?&9vS>3lW<BX<)#<$lmz+M9a62{G;L|3<i0YdXXZGdre2X_@3$|)K
zc*HMp!^+Ku64!4^ta+2e^Q_E_ZMU^_GvmD8gF@$zB}__B-f(uap~TCZ5^KKZ@TAS+
zYW}(GP{R7mWP>|f3?m9|N%&ai@|evvV|(l;-8^-9@4-8lk0pH1Og3QHY8cUUOTxz~
zmuK5TGdATw>E^8~dJpDYIhJ6bm29B0)o=!%7LQshS2ORz-h(qP97_nzNH);lVt7OH
zmIM!9F3+@fGd5ut>1N$Uy$3Ze9!r>;k!%pW#qfsqEeVlyu4X6S#0v{<N`z#ZvCW;;
z>$o#5`NAgC15%ybduR7LGNvbAIC4`Wq{xiz?wnpn&-COA*KSH&DKlgHJGa+yVtVq0
z7dItBs?FGB=l43^Oi#Y>>!!pWD-pJQ{?>zg4<CE*JTbZ8#|FcSx*HNP4mmvg6wKI`
z3rIJYck~|gJ#y@Ueo}IQ%tphC2{$BSJac&LR2A0*{1VW}D0SmG$705|o=Lj7+L1$h
zN*&ua2^qc|o_XwMY~n1^&H7Ef2Q?2KdoVX4xgdCh;ScQ_5;EdBJaRl{Y~gIXcWsZl
zsypQzuUSd4^NVF|Z&~)UN9SZY8~&)&<oOrR)qHq*@4?Tfk3C>YOa8#R+0dfZ^ng*h
zpM3M|8NCPN&m4PTk(T^HVYA_mNjD{GPUY~NOEqIVoY;DBK}6yPg>8m2gl<dZbmj6K
zJ8H(Joh;qFb7SwpcQ=kDG~8jxcHvVyX~uRtMY>sh@}UQJml*6YzA5o%Rt^uJpBbCK
zx^(mD$-M`^pFH+}B{lg&+a|*ut~VwAEY9KS3o>Kt*OYEPzU<J0lPe8&<lT~}vB>2)
zH^+=^y`OY*_43|>=Pw_7@F6q#!=EjNI~s0D)Hvqy_$@SJlU;defpw_Fl%-~DYghI<
z)@CJNSlqV3X=T9Hg;RMK@=H6(o3_|(Qz$vF7pyDaEOqVJg8r?B8fkBJ>~d$kR_9%4
z%DZqY@51v^PTEq}7^-5Wo13m5Tkvx0<ml@L?dPSPUQ0X4o3w<P>qy<<7h&7Z(t7ZX
zUW;M!=>w~s6F+>LFr(x>M<VC>X%qQUxtbTxJhCJ`lEb@VU0{^QcUDo3?QByg$~z`=
zu0JqoVjL$|^Y*4L_U|nM(>`$NO1?B+XYgZ^Hc#4DMV*JHXGH|Q{nZjE`_I)ZTFv2I
zaW+SC##?QkXMa{T)VWD{SIkpYUi(p$O}n=Br|$ZVE!Xc%Yn-_EP(sc6PoGb(+}JXG
zOUjbBdk!T8uQ!-6t!+a~c*^MmDshPhQ^O^49y>=I(%zQ(pmB{sh1%+(Ums1Teeg1p
zWw=}+$}zdJ^<ax_i|QV+Z9F>nMA^J6rcRXiPv%^|RCTjR+Z(Ri6Ava_lshCeZ$oOr
z{B;I5)@t*#y;9V9xRvW;>!mj_j`vd+9sFn0qPi!fLx0M(CtOVvcXqLD{v<H%Lsa_@
zr_65)7oL$~{M|1w?Zd5<D0#Q1S^~$O2~EEC6lBEKlqG9-97=FrcllJxl8r6b&rNIO
z+;Qkz+NaNtGj$$r-JY@}b^D=jsgDl+F>g_|*_5*6;I=~vC)OH7<h5^TDR<~_w?4Fu
zN#M-p(6WDPE=C-(zA$Oxv#VUqH@6;2NS8e%bkA`c&zfbTY}r{;=kM>!)_EwKbJ8O@
zqxGOiRASxc44sFvNv97Si%i^58}{>{m}QIV9%o(2%w1~?W|(N7>0iFFrF@2N^Lr}|
zp8M4S5_TU14hh9&ro|l631`hp4LfA*^l_%|GY{=gfnDd<e00~g+x$dkaYcR79esT%
ztHbS0&zv`G4Y{;W@=~%RV?>n}<Nc}^=N4S$T@x|2Az$Om<Re=cbONU~<O`dqWgPi@
zaBJhGv-bo!c82kZoxLN>)0n!>>x#MLE_00yX36$P&fG~jaU<bg_S4b;<8U_TFm~^)
zuJ`_!6mK?hWjuWOxnJ_EBVS?}jhB{w@>)BS*O5)_^r{#89504C{+_%1X{Up6IJ@&a
z&b9*^qLx0E7SiSAvJpy|$8FTzbmp_;vyTeKb~C;QADkfGeCD(xTl~hyL9Dsmc9WKS
z$?mheam?UYXy^ys_HU=YhH)Qw6w3L-&FObD)BBTeOpKG07M#Av-*$$l?SMzP>Z{uV
zzLRE%?a(`1usrWTWC(kWT#kUmnN=w@ljr9$K0I%9NM^%b77?%P2+{NAzi$e1{4;W>
zmtL@+>%x837weh7oMYIl?GWF1Tr5^E;>;^n<>b4?OF7f4;uY@gVAyBh5HEUQy&1pd
zzKs`tIFv~@oh|THcu@WG(n97slg`KW{&kKQvoBq_{iE$q(<8%m+qXC*_1Uc2{YfTP
z!+-nPCl@#Gv=MkTd*Y`fSDobATWpprdGc^!@10w>Px4NGVpg%>&Ro7})yEbVsp?NH
zV|72l`dwq^8o6MVe$$YETT7aQRnBYuc(8Oy<>MpY->KHJUp_m-PvoR%qs_9rN;{PT
zikESBJ*n-U<RdP2GFx5L@a`6mdtNJNyi9Zbr01e4_1s%v>jjhho-3Cw`)TCmbh(Cm
zY1pi1yA_w3oDFx+T6_5kx6;;&7Wa8pu3h%i%j2!dS##5@^_lxl2)^7=6T6t%<eYFL
zU&<}*No7SP0V`KM7Wa{lS@mjP=Sydky)$1r&&-QcIc_4m>~UV=u8`9oW-L2wdhYRz
zz6)Q%mi1pLtn|AWxQoZ8_eF;9XOl(ACTBml_gytPFZpUg<~;Q;#=f8LEO=~kwz#=Z
zKeTq^?3cn`p|Rf#Y8UC<j$7t`DfNM+qx$PjA8i)&&H6X*rIGG==NXrx{;4lJZEBZx
zeg8_Q$2R;cH-+@F&npgDdiwC^9;?h*&&5iwnVi>swJ395$d_W@&o+zVGpd*_@7D<}
zoq6KR`Wb#=p1F2&7rlHv<KNb4GtYckDK-C4Nw}|n>aOUOufAvcglGSJ=~I6F3(vCZ
zj4H9qw%(z#`ANS_=AJw2^Zn8nU7zpQzO426?{;~+VePJE`RTj*{XXk0`#o*dz8$k)
z*3FuCwxsvmYq@3TFMN?%Rv*3U*0;E2zq4!fE;F0#Yk1jc^|#fB|B?~ELG7|>k!QZV
z-@+5G^Fn9Y+@#b}uXN`X5pREgsZQhSy1HB8FJoZW)x(N^1ygmyt`&u>mYi|<;`|B8
z8#gg8wmN&@L(ji8l6!7kOgMYMr}x}No_pUjI?@uZxINEeTi+P6UUJ5Pi<PquUTr>i
zJ@i0rlimd$Jx-GlV}r&e`wcFyiMXkCsdZMPp)&8~l?QK4{I}{gbFqiiI!TSmFO||J
z9re2HBc9E+D(Tnkv<0)53C?PK)b2KIRatYPQ12z4D=sCSX$hxXrf0Fe;{3H+Px^~^
z$xOb+t?IGsxVeO#ezl)tFPr$qUzVwx_t$hk1~rK<_Olvm+5bj&A7p6x$GprXvg1OA
zd+`Rvg@$d-tz5B*7B>PfL=>F$nsSsmHq+vU%LR*qv))s#vc#rZ%rLol^#Panl(6n&
z&EC^AV$EWcgBmYN#d4q14g6&{E8)?>6TND)3|_Ej3R$dokvw{_RE?*M+2rPuPG&7%
z!<7g4<}H)rdmcKAZQTkN*@(<t4;P3omvj@VDxQ^Tk#X&1)nUi)e&#baIb{2o&$_da
zeOaU$&#lN^CkrmzzjU^(xovu$p-jnvlGP6vcrLx|GwZ_EOK%@s*mXhelB^ofEwf#h
zr||r;*mb(#!i|e}+nUeyq)GEdneIAXaAEgFnZpk+_<e73?ti$zulV4?wS^_iejiwP
zHesIsXP=V^Mt+}p+mn@a4NMPlPJYK89<Zoy#ukB?%R;GhefeipScG3}R+Hl^{W4)<
zOEilu58q?q>!wvMZJb|KCTCf#`FF>@WR-4dOO<J$gE;GhweF0eJX^xPKMncpeO~^~
z{EdA=lgl6Sge`lLuzl{qQ<B+>=h*~#9^1vcdr2JEw!ru5b{!(OSGe=Z`xxH{i~Shv
z$}`Vw(Z0GJJ|Qc<D~29A$1_DES60`kw|}`@l}JosXHnIHqst5*Bs=$euG_k6X1dp!
z%}2D|6ud=tuB}?kv0y^(%D4r=t$W?#>}oou96Igkz-5}fG)^)2Y`~pOUXuR%9F}vP
z5BV6ivOG|?QEOX}{yeFb0V`PqrmUP=)q0iV)MYPQhHRIut7@1&MT>S$*Ep(S+vj%R
zP6OBEc?L2f6TU2YSm3dIxzFK4n_H$e9R6{9Z{Iw#$AWpXUwR%c@LZ7It0I@5p=t4?
zPhGO=TtP*~!sop0&xNZF7F0+tOr5#G_srf5E1_erzf97UJahYH>S0GtkM$CK&pv!v
zrO7>|?aM6A=2a8p%rl)LUGpXQ)NXxQ_He<SMcaAUr%LS2wUB7KY~9w()qBrWhUa+Z
z&W8(%7JuhqcP_5FUl4HdBG=)Eaz5Gz6Wtyw`K;z?*EXn4u-FrDDXSnub<fNT?GG;S
zSL7}3_mL2}{AD9&^Qk#@hB8xLeOY-@Hmf{}nQtu%tNvQnc0<Ogy0vK*8qP0^4?9lv
ze9gnIs<_r%=1Sd`g9QNvm)s`H|LK3hefZ$>4zot*{)1;b%w}(TaLhlx>F}=hXuDj)
z#DbVb>wS73_`1Z;Hn8!z5LS?3Y!iRUtRO=?qW4nSWa~FxFQpG3{4}LZf^XZ@FKZtr
zFnZjdS#-#4LYWlbwAL-BpYYvwzLjecp?*p3@WHx?-=z4&`nH@e*wDD-Z(H+JmD+rZ
z8-bVj+nTMK>P$?V)-~1{$xJzCVimZmOl!ryOdg9Xj9sO>4i#*;xoG2q3%fSFTBOa(
zUacv`&iA*y+<q6=9GT_^>&#^4{mIz#@WT!F>k@o+^(DF%Q>6L}O%6V3V7Hi+af->`
zeijSg-p+RAUFViC@v8W;wQp<do3u=VPfy9@>XIgQ*I8+g9tuueCe63L!{l&5h5eG5
zZHH$o#`5!78<y-YX+Ft#%43(HOq95m+pJUz4ZfFZ1vhFIh%TAU%N{CqH%Vn-#iD3l
z_FB2SX%-r<Uz8nooa$CC!N+B^>vBOr^~Kn>=FO^ib5C*V+sxwS(`8f-+I76;VEoi)
zGYo!AxwKb}$8V|0^@0z|OMmmQUk{v>Zc)*FspjxO$0=eGe0B><_CI`Z-iw>J-94j<
zLFSg!`U_tcJpAy)hhLI!{lzaEp0r$wUpZIaI<xar>H>G4O$Mn;T^|@+NbGUzpJh<t
zb0O*Q!HQlvV;LKr7lj29Q$8GApw7dt&s%k=;KSnu%X!$1Bcqu3f|=abec5tSHf_U~
zo`(q<-sh$G<oLE+dBUe`UUjbEL&t*WyzKKis}2@?ShXNkt^7yqh3>ZIbhf=I7C-VX
zoNjAgsk=An6z6`Hs;f&lgQKd>PT@JW?aQu*34PxA5`1=iUzT;U>vL3{F8DBc!FFDD
zf3B+IPx#`wtF9M(IJV$vTl0Rlz3CPeP8WWw+5fn9formw&%(^5oXs0e)*8v2S^1Lr
z@WBVO!le10^?X_Q@WEkc`I#n(|2*WS`Rwe!bUsYb^PDfmXXmu#Sb@R%C0i#6d&RD2
zQ{{_wTG=StP&_l>R|@Nc7iv@fg)v&(<y^`U)?l~z;HnvR&SEc&Zv4OWysf#_V6Aa$
zBd_6FbD1?gnavLq+I^&X*}W5f9a_Q^op>unitXBwEjK+5otwGOMCQ-jOm2%3A(?qE
zwGST*n!e90^U$>E+nNqn@pVVsV&l`c$VtoG&Dk8PTbpcg<L)KC!v{Z2-DjG4$ZXm^
z<CO=LW|m2L-q5+Mclh9{nPt*^W~;XxU&6#4cq`i?BJYyj;e*E}eUs)3oAJfg;)?9H
z?k~-p&50U+GY%zKdD%<y9b0O0>#B^+!Au^DHR}uhEz>@*knh5o3lRkx>RSv;mQHNZ
zx9ByV^x^-q)jaI%1-ot)T)29nVzT@f<C0!aR=+1DYbUn2b*eQS&J~GLnZ>bgiOb4~
z8Iv9^a9H%3hkdD_ubGU9tI5$NOuI#Aaq%q{<x-rLrt;9r|FUo70{KOfYH~84Gna8T
zi(AL$pW@V)+Qlg2CT6$C!F<-QhsoR~rwe{`G2KnE$oTjot>DJ$#7Can8=PMs%AA(R
z+WxP(TmNp_p$946+h^Z-*yde6!@%OzrMYT6dPhp8KU~1KWOuL1oe7!SG)?Cuyp)?P
z9}|(;_b|c1>wA;)-G^FU#l8}A>|fd)KIl97nIs?IRFnG!1?@{%+nN_k+?~Hten)Ft
zbFjQ^f<;B+#pbr=&oZ_t7CV++Jlxi7FK(M;@gw7+(&2~N++Q>-wy^jFXKq|6Xw&+_
zP?#-Vsp?L_4>o37M!vNy^(s}DS`K!1&SPr-)-qpM*HFf0;fqXV&UnqLV^7-sEiY_t
zYrfB?Yx=ZBozFJiqT<ix&uXF)QkS_O7*suo@;lALt{hr*af)!-nlF<cCj9Zzm*#u6
z@k`gk1PAZ+QhaLLzRc2;>?wFzD$HgaTXndgplzAC?>hs@rQ3Pf)w8Q^dmNfN>z<*E
z$el0iPBP9vz2NDxx(_yszxSxTX@5~$Fk|Hgu0^74&1?DBn#lC1WllXQn>O`J<HLjs
z&(*x_mwkR+C@{FUq@Ra9+U?hkf(>O${CU``J${80U;3x^luxoOaph&F$kR)?&Pkfq
z2;2)=vNd*9$IVyW`Y+?{H`PtKBeu|JnW+auyYq^oOkE3~8jZr2#=>m6dbN3n5>gyq
z`xq{`v;3<XPuYzvj51RE({61MlxdUqQGdaG*zvH>Y#+W0T9?xfAMBd5kEPwMJ>Ddj
zvHh&*yvtvvK70`E8!ydw@A{Uj1t02{<+e31H_tVf`Lh&MUo4(gCc#(t=*!xN4}SXI
zm*m^`aLeU_A2Doinc5qh^HVP59X_~f&bJv>2bRtJCdGGu%fi|R7n*(u@V%WLvuN?<
zE74~EUfy;6QE+b2T-E(v)8?9%+_>;?`qVIfxgy8EoJ+5Y$JYGpSol2NV3o;T!@C=|
zyxx$wbdqeORg-nuk)>as&%0uFaf#<-=O6Ktm)(=zEO(o8^Uh`Wf_IC**D2g<o40$(
zgUx}lzS|vb|6f?SE$_vLiHltrOF0kCHI4C@%kQ<#G`~P=&0@Z6FZH=o-WcS3==l6P
z`<$d@)`tm+U)AGlE}q!ceeS3C-wA=G)35eAUC@{r78_-<_;gZdd1J-Q4=*N7PVTtg
zyTX3{;*Mt~mo2p_Ii_#<{vq(I@;PanCI6l%ipMhTdS?^b;x%zeI@czylT)m&bt%mY
zlAYA<&UKPY^fQC4Rb@s?f~0!*A!nQRkjS+e2c7MArRZFl^gL@zz^*Wkd511KJ>9xU
z^!%HQg<Vk-A92dx6%bvuI_mSC9>2Al!nQnQRgPM*Ds0QsQkAF`!D};)pZ4Ao@I30`
zyzsd%4xKg(RO^^N>BW_b64?W*!^{?PU#ZX#X1^pF>3*H{PJPe|1u0?0DPd0RmtSx!
z^inP1_$v^&OiQ&yqgGzA<>Yy%_K&wFJ8S5Az5Lf<^zxX^oa<ZOI8~*|A3UAFw{VNa
zB(aq9=Xf43ezELOhN9dt_seT!oNTQ67fKg%e0*UuE$@Wlk;R*tW9K_}xIaIik;bPe
zS91Jf&l;!cvmDE9?#|dcSI@Nh9m_67ClCHz!kJ+$0Xv1PI`g^&F1<c@vLv=;g4{mY
zOfL|XYGUf-(ZAfJ_pIs^v8N`=(*s&pESPz-HD&vUrZ~^<Y4631jaFxr@hQs9ocrRP
zjFXL#_2pR0mWq_Jh1SI!A5Z+TygSjk!~L25i*JXLC$+b>v|YQ(D`}H-<Y{TC?8OJA
zcb@HEl4*J5(jWIfUDw22x~Dq75xF(Nc@5Ldf3wzU+Za_Ah;1~ol<-@s&b=u0(jskJ
zQN>`r)LkEE8m;chYss1w5;4n0VYW|H$#V5g=_;?|)T%CAIW&3F@wODE=W|ZKX5*JE
zJ^3K<8OP<x&%{F1{7>nv>vIiVW|nzVYxza?%Hv+$aV5El`!=3(&EKW=K0@@7xye3*
zxi)rrIw7x0qGBh6Pw~3gzD#`H1RE=nr$I~4@y^Xnb^UeePt%M;qKo8Xg~N9QUAb_$
zuyyqj$&%_V24a<}F=}<EuTS$x)cNMN!~TASQtQSS(hnJHBD*wWRM{@cvZ|}~Z)|0r
z-jT47{bR3glvTtl<DYtEHJ>!1ckSP~>&Md<N_Fn@#aY&yMm#Spwd}U~ctY~$^@E4o
zTx0dV@HMNx%Bi~8TPy#t^vm~>y_XGtZVu3Fk1=_(NKnS{s2;zbn(R^ejOu2!(_)Ic
z|2*!C9Py1?cVz3A+&3IWzW;>Z>TCGV6A)Z@=*)vNMe**BxfUB67Cz~^7x-u9I*p!n
zTjUo8@hsCXx}vDi`{evW`Jyc=<rjMKEIhk$@_MIF&oB9JocvzpRi^iwC)SETKWF$n
zY&>Z;-OT9v(Vt(8tv${Q7BZG@X#O%q!1-kaA6I|k)a5_8d2Lm0?%Sv!^3>@U_x;4p
zEwcn|dX8C63@YmRGj(0hv`LHeEqafZ)*Vqj+<v`(#l+w<c5PESyI<UN;aj+6$Bb!i
z94DQfcm9yJl~q&;))H5Kv6E$f(4v-rFB88cG@1Y9*tkW)_4+dpt=`QCRlfxEEje{`
zsfl9XG#fn?;f>rytLm3m^5tLnn7knEk<qPb7D|-?i~la${jEr<*HnK>!Q<?nEZvX%
zRqAOhwyOU;xrL9bc}sULSg=J%{Qsi#Igcl~Kbzz{>A&-Nt37j{h`3h%_x&vNG3D|j
zdlUOYC!L!`hPM{78n$X5k!XK*Oyr%;x`G$?T-rOtF7xUfv)a;fTd{ib&sg<!B`-3c
zKY6mzFeP<!Z}E$FE;&E9>^Qa1ddKX|zWkPQfqkoGrKU%(^XVzi;PQW;^O=MFg5D#k
z_PC-=TrYW_Mzvp4;q#Z9;Jd=hr{Kl0H<d4YlNUM9cT>IW=~MLL?}|$&ZWhdSp6{Z1
z+101)MJt=FsMXdNw_EFcXFR%ajm`Gzj6M72`<K3WZSm>ZJDal$XW!ZJsb>A$cNfm)
zRet)B^C@ku7PrqbNj_iSC!))p&Xi=h))i_U{d&js=h2ly<`!FQR@wW=Z0@`J;)1hZ
z=)0}A;?Jj;ZI+3e9&X|@)vHkWd)mE=tB#!I%ROlwrC!+WJo|Iswa7cKE{OYCeQ%rI
zIZbT4<ra<gbFM7?S=PSIGInxok-N0jpFO_>m48eMyl%L|zS~-+%E<57%(Y=_>&vaa
z#46p-c=|}Mz3iFnTBkd2FRa_MXr=p<n|!(Ft)q7CIKD9dQ(u_kGm9<CSqlv>o^dK$
z@BIGgr0e=iiYt?jO*GHX{BBvYH9P;%bhf;O2Y<h{X^(quoc~xqUwp>$t3UbvK1rK?
zDStJ$%rC>;QzFyfm%q4nC}U;G+K3aYv%^+;_m(|iY+ojtGX0YNe|{?y+uc)6epGCK
zr`c278)p8#=;H?GdV9@E9eJ@fURld8iyq#6?Y>b$*uq|8Mc#>mtGc3F9|^X<>hqBk
z*>|H|{kl^7v|a8h{Cg^1q<%fTsy(*CXxGapiih=Ntmd@evYbArd|$<tg{?LHZzue&
zbqKFBbU*OgVO`Rp)P;Q7Z<G1H+FRUQwy~(|_|D!thbO(2=039MQ;T!)ruONb>OW6B
z|1?qmitwe?PJKTAPrlNWJN$9gO7*Kk?Q#XDC)uBtUFdVX;?}~{yfr#sKGYpsSQ**A
z{o3QOj&#|oZ4VNn^>g!fddph<JCO4FL5_*m>*q37$GD8lUp<$#x&@-eWvotd8CB{Q
zzc?{jX+FarX`j}LiQzArHk~kUXt1#Qt=e8De&p<epgm_!r@Y~L()mra{o9dq3%vHc
zy3le%^2FwM*?VRlJ-1+U#odK_EsZ$#T2JjX|8XWNK=A)kuQ=IzB`;1Lvf1R>z5R#D
zgvE0Ie*4a>xV^B~@b$v(FMZDUkDpV}y;qtM{D$Yr*BZ-w*^R~;;_ofLq`u*KviR(8
zzFxha*^aFd4=;qX7K*WNu3l5}qB&x9=fy*7i!wt0aO|nCd2qq&yTa?QXLRIN%Ubmv
zk9@b+dwpHTBz{?`_a+f5&m8(R(OEs^?z$_LFO%7)m3d}`ura?CW@oF7WWDq#c;8KT
zjw#)ye6~+NUA5N{cxPU$7<oFpa{6wIHl0=fXF6{#R?Ur5uq%IYF2<8xPVt&$N%*=Y
zUo(VX%kHubzx1y}Tv}!q^Y$wik0LgkS#7bHU%Sz%=HZ3K8`+jw&d)1)aj-&gi&_0<
z=i<k%7k>TFmz^cA-?Z;{eqn~AoZzKL+U;_k;*Rre_Lsf*7a@3NWBF=l`CYtevu{4!
zZdvk(bJ`=1(p6SRf4@(gnzY+lUi66YI-&a(UkZI5`X#kH&v)Ts=jXX!)XL?zZOdWD
z#BBXPed5hOK4os4e7)_&A(ri1V(-)&wq8y$zrQn?YkIe>O=A*Qc*kBoTe09BL2rs*
z?3-~YG|B$_sTqgn9{J8^D;K<D^N&XVbF6QfyF4~C|9Npi)A7z6?fRk@;d`#PtegIj
zRpZaGuGDOkJ^2+=y9GIW?bz(L7aq0l)P7JW_V|%pRo5$(V~2K|-p`nObZWtjv(Kk4
z_vvYlue-B5DRu1*EywyU?YL0wb$$W4F(3W5Ce<|klL+5aymp70W4(uZ|M}W&0jI5Z
z{l3M*+b!y<`=P@~(NX+p*P>|^E;DB==uUPG%r;x(<8|Twqc?4v-Wg2X%Qx+ZrCx2O
zp{3*b)Y`-gK~Iysnx=hP`E^G@<Ke?r2F8K+R&xGwKQ56kG}Aq&My**}LZ3;?LRS7~
z$6VLQwKE#u7ai$4;Qn~#k2UUZIBHDx$;Gptyf^EURh(v?$A!<VZzt)lea>8%+~k)Q
zQCF<UaNaAx{azh&9$V>N<3@AU7az8r6f5xLSYWRHg2QXuDV>JYwA4MSj-m&rUVQUt
zyV{HE3pt)u)I~R~a$;0F_Lg%M59<uqZ+$FN+nEBgS?<hSP@=&Q%)wZ$x<cn#PQY=q
zo^`v0O|;HQ9<yOS*Y-H5XX@cOJnuaNuK)1&f12di#CF6pZocw+-+=8Fk9K`277meh
zkFo!LXZnJ7g-5<hmc}(z9TB{)e&r|UD@peld2?}<7h5bI{kr)6AIsM+<#lUA#MM@m
z^Av7AVKZTYqT#MkT?w1{@;(=47EVt8*e>+t`HhpGkN$Yb!h32}-<9_B?jI7H7B60_
zVb$gO;qke3Tc)n!$$8#?DA3mGG}lInc#94{AG^i2Y}>!RIHGm*aRGCm*eW6a1H~3m
zD<)S6ojZ2XY3|YBf~r2TS4z_!OxC@5J7m=k>#pSwgXcT1^08r#|1A@g`BA6l!)Ei9
zA^#S5PJh%aYWeikk>Ve14&Sm?h4(%GxVJlFA=gJHyJkV%5Y1@^)vcpec<tdZf0wb)
z?~!UnojZ4_nEv5No2V7t6|C{MPU<b$(!2hVvC_?Icdk;A^$)GNEti}+^7_X=C%%<i
zDwyx5cIbsg?dg0!(}jC#_x2AnCxc8k+gB%~8=`ryF}r3<z|;!ydtWX(%{@|N@c?A{
z`-AEBy9Im>o<8#X2SZ@XOxKwajsB};CfXRRc9|K`c|O3UbIDPyn%PQTOJZso_`_T}
zLprYh=yJWL#T(i_iT|VNG!8|}!}f>NlNPm}I&%C6lTXWp8i{`_^QU)a9OU|_X~!Wv
zu_xx}^#{r_f+s_|w*Ij4<uP5i?WDl`hskM%>t-HnYv%rFxvxU(vD0h!iOwmqc8ezF
zZ`l&juV45?dTmV3f#)`#dczdW9?e$xY2rTf2h)AK)9UIw&vy9!$n)5D@lukpo!zAA
zXMJb>5Q<mbbU$d(;}VhR4+~f9F?yx6@6hsPNn7F`)c(n?i8OFCZi#c(IvQ5<OM8As
zR^i>Y{IaLgYrS%gx9|AWd(E?^Ilua;^xDlg8qc>rz1;QmQFP4$gZXo=?D{8brzy6$
zzuAAU^~Z_Z_dF^Q+Wq0;jy;b`#CCra+!Z`2bmwD}v}%=a52RcEx4sOTd81oj^jL)F
z*13H*+TWKRdtr9}hsT@a?zYJ#(I?_o`qcEVzxiZxaMYg$|CVDf81IK_=++%Mp8u&U
zSg-DodeNt@U`4mxTg=Z*OVxXl98;w_ZO^`m@eePp-uUF})S5Nk>yJ*lUj9itw#s(e
zo_$mLi(mHs_>@^V)%*Ri>H6Z~yTAD9RMx(he}8HA#wTByADim!6^-BL6!ZGh?ZPK#
zkJdMNUw55(qV37)tfMZ|)s9Z{zux7k=i8$jAE&*pIMXTTXIGTr&86ANr^ByxY3jv3
zW|RMQrutsUbidx&e$S%}&n{hk=kX->mM5aY=6@CimL6u~x1YY*VY+|$%T)F48tOV}
zJ4{a78djEge7WRSlbsTOz9h4dnfJ`n-dY>yX^*Ssor*}_ylR5e?YgKt4=&AWl2~sh
z`FXW;>@Q!P%D>YrPA|QjeDd|yM{3i59jz;Qwr}6e=*uUwJ}fx5VEc|QJ=Gaz;Y*AP
zS9^=g2|u-0S<kh7XNhZ>xpF02>y5X1f3>rdW-jluj@fmqQ)Ke?Io6ddi^_VtUh=#z
z%Ipz-%^JDaNa@^Nz1|b=DwQ|SdwZ$A&s$D%=@)}EvF|%d{&k*APU=v4XsH(~a5FLW
zQQY)-#l<OkJciG9h0Is}+#q|bR&Vb2%}*XKym@7F)N74XR&$L@rudc3^ZstJ%``80
zl1J%2@8Tq`>7A^4cVFN5Y+sO}#lKIi<9BS;hJ%MLt=JOr;!@KN&T<j{9VPW4ho;sY
z;@`E!B=1n_BgN@r$ENyqx5ri~c-_)x<NC|N-?QUVYSKjS^X4lSZGH1X`S@Zz+gk}U
zdHWYVh^#W4zUv^LOiY^dsxL(!XLy$%oxWb6e|Jgxp>^rWpPUOf*EF@qFZmr7;QoJ!
zxA{?#bt3*dOQL-qYHd8SbapaVxsd<oU9!PDHdh>5`a6lMT=4v-GreE@jkS*lO@G(-
z#h-hP^!c48w>Ro8(2Y%%HM{aWwra(L4Jp4TBrGcteZ)F_pPq$MWx}+ACtJ@f-Dt_<
z>AbsQX2r#&TP@#ct*!m9I^FJU;*OX7-;F*R_20koDDxwie&NQWr;o0#x!0o`rx;h9
z8J_de>*Fr(-$~u!o#qurPcu$mNb&79ubiycvrUZexP$usqv_9N+SWE&>fL+BsyIJr
zYIk`|RC|8p6FadL(Xw1$*Lm0Xin_{P6G+|ZeK>i(`ROCodbMJkqKtlh{2u!&Rlxk!
zM^U}MN*#~A*lLz~+n>+NR^VU#G)Xi*MEQ;NmZwkHYtqiChH8d==Cq$4GWExWrPGT%
zONHcjny9Xx-m`6rM|Xd0m7$WZW~iQBVP>S>O0JJ9z4ecn_dK(HAT>SgxcQVfA==k=
zeTn<SQM3EknWaxZDwnJjo&S03-Ck3h+T@p|dc8fhb62bqeI2{Y@OP<`tx0~t%R=)Y
zHC?l!>f=T`FI-=xAG50Su2{|6n@=uv{pdX|di%|#-#59}PV3Q+trF{OYYTdOJi>JL
z_8njN+(OTAWPTJaPm~ipb@aN8$ES_}#ciJqdL$jcJ~NwsPsifoFD~E3f&ug1>a9Ja
z{Bz5XV@tPx>Il#)+wrCB&Zm%${Mabb@LfJN$D0+_l|;RHVi=Y%zf!8IcIi<)yN|~O
zbi{YZ&JwTIey8&7gWSx+yy|;iCLViVn#uHsvG|-#=H{clo6axYxADo>?3Z=Ze~GB?
zWpsAG%D?B&qpHA&2{}qJZdKn`TCOcG?cbu2Gf(GD?B%t4VpJs785TGi-7ImCSNqMi
zJatpXjSI!AUbpj3&YV&ZP<s8}-}CDP&hANZJpb;htxK@yoQuvP9*gSv164X1ZCyBp
zH<Sw>_4y)cvcX41^kAj-qL>|BD+D-QL%N=Itq_tEej)Mjk38zB671h4H|Q}kFic}Y
zzhI@fq%=vdEF&dn>cn{eLk1#8?|;{Pw^(J9LCB&voX?w7B+j@zx_v3{`o#-dysNw4
z+`fIb#oo=QV)xtkbLLg&|E~2YxhoO4mUB`2@4$Ks3BHHU9t+E^8w9&@2x}^4vx*sQ
z$=DXr)+lnv;PL?--^&&SV!O3!qV1l3K3X#ENubFtUiHtFGAt`R0+_vH_rJL0$&$$2
z5T47i?m#$0>zxA<Elqpv-@UV3xuh&tYwqLs^-Z})jdW};_3`P%FFjc_@#ht3jjz*{
zc$r_kmF>Uuuyxu>$B^k2^Kut=-EWiMV%7hoezQxtyJp<I*I{vT-`<`-Ba-bHF~OK)
znhg8j%3q2-Cpg}nc<lBxW>eDS;=P|Y#$<Q;9Ce>$doDZd+18Bk1ly3S8()1<l)u5+
z|8R+9Vq?!|+oClsS<?N@`2pUHOd`yP8<qa^wFinYF)$dhGcd3-Kma2H1H+a^5IZHg
zK(8V<2VIlN{g<yUax*YIcVJ-P1*wDLEsd5;NS89{6{RGiYhC@;T0tt9kzrXE8~B(I
zs7{70ji!9aT2oTX@`}+lU;imIYs+2+hQHq+SHvJR*Ca9`E`!o5N=ZW3`rY8hGd+F=
z1~*BBR){~N<(ZJQmZzklYn{J*_4gwT3=B4m3=DiwD<N99=pk#(%u6mp*XuZi{Y3)k
z`YJ{S21%%15VfUoi9V9v_{@Ne%3}Y561}3-f}F%kbYtcPPK(iIU|_IffE=y@G6ICR
zG+G-V8KakyR)OhtiO_}0f>4d1lUYC-L3m5!WE9QGIoX(+*Y#X_!OFqFkfsL7fFO+!
z&tF8=9G{w4lvAl!k&=w=^o7pp42<mz471x10S_`{OC!4_veS!8Fw%<S&D~r!rx_SD
zPBSp@fb>A|mPX?P$XYA%&@E+N>Ad~D9Rq{#5=MBGfi!PvoY~Ec6x$U^m=2!pT&Qu_
zje+6AG4!zBatc{*US1An3SFgn;-)$y1A_n)1A_p_Mg|53NN_C?WI<RQ4=KISoz1{7
z>B9WA3=E03Y-suFrx22Ey#ma5+U)z<)o(Hb!_+D0S@Q4!WUZ-~=^Oo0HITC*Zng<w
zMRGIjiZygsqhErB(0?WjSwH+rEOY}D&~AQ07$6aWWB|6*g?{P~NGk|$X}n&EthG2J
zu^<)QUi8WZq!HqA-91Q}p^=r5k`v&~$_7#-#vsOE%gVsevzL`YkHOU>)6X#_y~-jh
oQadQZAS}Gh%%Upa)jT&U%_rT|%q7jaI5pBN-Nz{`)uh-K0Av^Jw*UYD

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_placed.dcp b/game.runs/impl_1/TopModule_placed.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..0f5ab92386de212d2c7152f5f8b965832e953d4e
GIT binary patch
literal 374627
zcmWIWW@Zs#U|`^2aPNo-+x*weP=twr!HAuKft`VYAtkv$uOc^R>eSf&Sq>s?>tC;*
zQE)X*hx=95-4)Ua8!jn1{|NZr^}#S?*9id$oqxZRmgYvSkkt3!cwafc_}m%G#D78Z
z!3Bq0XHLjD*tzGxULE%0rwxa<&ry+Ny?y^y5A$pl<)cl)JDxtc8&hT~Th+FEVko!7
zKSBK+7gMy(*>&A{>>9sw*So(wS)tYJPqaLhFSIdEY7CvoAQGg|*Jw~zH_O((_aK{9
zf(B1}cu%I{3oXCXH`LVal^&E_{Ha)BFKN%h`S(KGFV@`0uL@puH#r>s^g6?$F2lRX
z<KC;Yx7%DoyVf)Lc-ebw3<+GMxU=i=ve#xoJKPp@Es9W-Jo<IL%-T(DX|FEN5IMeo
z|N2QH%+Ke<uL{%kb)Ro@yD;_LeXc!|!Wiqb{HDA36-_*HvcyV|rSQuY)wK)jgo`_Z
z%qA_Jwd>2RqbkoH#w?oiiS=CY|1)g2osR~qdcS{|xZmS^jcn_gC47(dwyP_jY?5sg
z7uer%!}D8|(N(@yeg3+>n;g}Pv)3D&t>@YrdcAk?jI(*`;&U~s1MX&Zy^_4-mYc>F
zY5UjZ$CRn%j<Z(=RykCP+-co7;q{k<E^EVrt=|_s@rVr)xn90WL{>BYpELL6?bexX
zyRzlnujf2}#+wp(s*1UKXSpTQvzhN+uUTR@JK9)eb+-Se4GEv4H>g(&8m9fvQ9k_I
zxq`Rpf0)p*v$qb;JNm0GdE>MG`hRVw4?bW=O*om~%w{j=W?)!p&%nUTz`ziaU*Mac
zQks*hSCo?YHY&RK_AyaDQTJ`vCr!?Ib0h5$%S_K%e%4BbkIzn4o9c2ga1FPvSK%dT
zHkPm_#}pcv7N{Jbyzi_0mxcPZ+3~-HFU>4lcGi3OU*5lhb6-ZE*Q;Rk6xb6n>1n2X
zPT_|1{hw=}&#~RTW6D>D>so!w-%btJo>pArvM0vPC7k)Vs7{f~nlJn7Ti3m_ezz@o
zo9?7@3uZ@Osf$sw%e`@H)>7&I*XKAte)KIlx>Ws0)ONYYtJ}UtSTBpuPWokEezEj_
zr>u$g6(#E`Zk_EHnp7TnT`O#UBYtJkH_vayRZC|3g^8tFDyRECm|~kXE9~0$o}Amu
zbnkwc^XAsQSKGpF-`Tl;>%!di>)yXjJHVFDG^^$MbLZRo;mQHG8B`ztHg;b7Uhd7C
z{5P4=yH`KuxWn8K{NdQ0g5R&56JE(ANb_&~diU+CS8*n3^B1v39?713pu=5vxnall
zD81`^*LFozh-W<g@JwZiVARyJr`CnpMcj?Le=D|LVBt4OhG&J9b_Z@vx4t-oahi_r
zv98II*P`}YePH{b^}t^(vG+sM&p@w$q)#HMX7esN{48!gWvtP2#XdY@j{C!d*Xmpz
z@&yEaTs}GBk;c)r%KP?tPrhC*BX=ds<j;;KF%iN46>=+9cw9U1<jxZ|v3BEK+azZx
z`MPx<xw~A~{`EwM?@}rct}J;Z9yGCie&K0<x9-Pgx3mo0ysh7c?Jw1TQuIT3%A^l_
zKl0TaUYy6k(tIJ@BjWd*#Nw{d1rZ14_qt2?8}7^95W3W7<0`fG4aYd^dV-Afdfla$
zZ}|0~ZhQFYqbK)PtvQe(eK>o8??HKAhQ)c`j^u8vpOq*Txopd4>&NmBtrAxJNWZ!4
zvQp&r%e7f4(h~yy#UIPQT{rFh#aUC%HuU#3i$-dS6+Jp7R_nuOcEsk0_jV?(%T>2`
zKVyGubvb9_QPt_sVqz}3{u1=k++iS>=JSDf`O{A~xmR_3PM*>f9`I_5ZS#)>SJjRu
zILr34`Byx8=QyV*Vs2g8<QHlmf5b$Hz0x_|bc^w0&fQBqNs)E|?9W%3bQhnPeYfzR
zp}_gakG>SYF38KS@VjmH^N)<z(+w83KeslYvJ22-NR{-yyxTVG#hv^84f%|lY`(f2
z-l%h8b8`7P{gr>7=q9EtfAz29%c9>Jp)+a(q#UJgMKW-3`D>mFs_?tZUvgOKZpDf<
zua?idYdzufOVKG>kFHjRxST7RyJbq+!i%DcpI@p5EKEve>i1av>iI+AEfa(nK0j71
z_aY!@<&px~3tcPjUa0t=sA_mrGf{=*@bf(rx@OIu*d;$>mdews^TaaU7_Mity6=1T
zP2x#9OM}ggW$#)~&ooT1zM;b)%a&-8csY=J!^<;F3EQJD+~K_;^h;%mq~`%v-}bUQ
z(IQ7TUX|b%m@v7)%J%;&nSY55-!28r5?l~G*{1)cYRe8s-3!e2fA1>o+L`#W^!R1w
zq)(qk?v%f`U9<H6jt;%)*`d5yf~PYAr*gFhan{FIWNmzWGB3S1HTL-RIlsb>aBLCQ
zwb|Jr_1Eoy{36$#Dq;`+Jz4ibB<hXY?I^xdX0xmpA3j89x3$@`98TKDu$|{Ur~l!%
z$<vwBv|r15uMAkuXnxPByDMUXE9-h*NoV6S8{IuivO+E|$rsWolF8-2FePXu!*;eq
z!TXm+hxvzR+{sn7J)pAK@r=x2nVzc>XH*so1h@Wp{#kX!KY5<au9p9v_J3xnwMhnu
zc-;QMBhw~1fgw)wl*7-%D<34D64y|DbSvd`P5YUWHUZv0!ftLN-CXPZ=BXZ6vpQyQ
zf32tMq$ihtbjY-R+Qk~5!fHR`b0O>ee+;@;Z%$rr`aI>bUCA-q>R(?~w}`9@m~6l9
zQ2ZkAhDVRvwdWRV@W=$s=J?>AAAN9dwf)w)4xeL_E;xIgna{2L#&X7m+qtcx;oq4Y
zEeyGKsdP0yG#81CoyhWsZPzowcWb<CnB#?v7j;a(@U(RM*}gLpML*o;n{Kb1d8@rb
zGS+k@yU<I)gZc+~uN!?e&poWHo5a5B=rhJsaxH6`KUqcH%0J|J=S%B0f1f!)m-k0I
zm@l&0D#p1$`1cR9L;KQy_GetUqin~yZ4R61heKU0@vh;OYqAZ_=-+YO{zomQpl>1f
zM$XlY30H12K0JJ2p=amz*qf;`(=I->%56xrFz?NKGofMk%{G%6qIb(~Ia;ulEZq8W
zBYVq^oNuxQ`P=z&`b}2wPjxu6>T#nb&%wWH1~;zxJ8|@Vu&Y|`+L)7I(z!Eh*4DDx
z>#7%AWZw&#HmCJBFZ`WyoKq|J^sT~EiEcM<oH?&k-zYFgd6#Q3ckJty{cZ}&!l&@0
z#L1j|=MmTC+`0Rb=Dz8d-Y@pPeZ~C4?H9XV%N&j>V&3MurMBlr<&vs5jlaLl4|?I-
zze8YFUR3Fh*osHN^8f8x^`v!$`z%@hn~CPDtob7}Yxe!TttIa}HzWncua9O~)3?HL
zOY+^#iHn88_I;9#_PF&Z<Mh@o6Mr8Ha(s7Rd{&$GH~%HG(qBok&Hi`RdCL>0Ei=RA
zPIVeKeOhnW9w0wEKr%o$$UZNiOke)CUEGw<k~>v;Rou>bWcegbyKtKEOjYYz55vFT
zMRrA|&ap|nyo~Xx?ys$U?rhT2o`3!N>R=tm^BZdVCuYCc>U*1i&$fd(Kh#d@Ex+iy
zKx2({S+fJ@>_c)_HYI=7<j|Fg-otoe!<njAyJQ=^D_r$bjxg<OjH_kI&O7m(cSGZ<
zxM`|e7l=*WGb`P=(et>hh0wMeiz^MDRlSS$i`~wm*S(c@-5b`cpL4l%?bdKv|9)yH
zmcF2S>*0^PR5Hb4U)nDhU}F6J)PP%mS;zh*7q$ktv^v@Ed{A<n#q#fVk*ZLEmaeB3
za$gk7axAOYrwgwB5+D4+N@L|Uv(-#<x|+PcHW|$oxc|jI=*2XJ7T(pD+Y;^9n<c)M
z+YoZzV}8l4UqYg`?s1>pjRON0&Ay|Pch1nFvn!5;Q902+<jbFmjlWwg7tdUgk$2^}
zWcPnV*X3_s*C<U{p^<ke^3)Xn$3G^nc(G;fT<;Dc(Yc$}f9?!ew5T|-;8vk`h>5NK
z&n=Z_<<GjfiqHNvqd{HE>x<s}rth0OA{Q+({!soc&?}_m*12=4E}dO|H?RM6$(Xb#
zvrw<^my4_MgBY2won4F6Pe1Bjq#qbKhizMGi~(=+ME?*IOLy^r_(h8n9~VztVYB$i
zf9<M+qOl&Ql;2NOYCM;ICB;>|?WxX2*~*6c{Zg!xAIHz_WvuQ~oN)R6(hJ#%Nx$Ap
zyRSLtI{R18tsko|8*!FceR}ofkH?&(7gnp)LQMXzvJ7^eWHfnE{jv+W5vI{g4#r&;
zUU<wdQZUQ+uIfM5=XPq3YX0(w-`x=X^vd0Nt{W=KR$VxKVAIt_jT>G!HNCqoQtXlR
zUFW=-=Jz#|{+06XJ$8A~SKB1UyA>?<tOb_@`c~$0$zASPe_+Z7Z-sA+Qy#PWuD#Y`
z@8oU&@Ysx6cAjL@<+8@hHZUJ8wbQSfdbc{}xiQ=NdrlTxuJ(5<H}|lZ^oV)k&kqdU
zh5g$_+kBfg=zd;ZTd=d^zRUXj7X^h9kE%7!t}EZs&ws%B#kYixImwL&t><;#3_nnQ
zL0WK5$7`8=-FfcG=4Tk%7C)4htCQb*ScYF%rbyoXy=VEJ03V0WC`W@wYuuVXDpqN)
z(zs}_DtFJr-7oxR{4QiVsIaE7qPb>|qkqgkf%i(Q{^gcvFRHWP&tGWnZt~rWkIlX0
z8=Io}o-dDPYM;qpQquPK*x7d*^|W%{^7Zu}5sNxp5LSIBf%R+p_jQMo9$qy$!@5c%
zZzIo(z>n-N?oRaRouPRqM<vZL?ZSJd%-4Y}rze(t*W1sYTVQZf`60vPg-()&lIu6i
zS6X_e?_cBPX|*`x!qy5kwq0UN@@9DWYn9CLi28jwMD=gGNL1d=_F1n5CrdRx-e}X@
zdhNmUsI{}t|G3MsO*ZYd@tt{@Qn9A7y*HMzMW5WX#-nJR_`2;EO(i}v%f2~$agvs?
zhFul=-h2P;I&blA)82H}Lvz{mi(B`$o96ca$kqPvpBuGBzxu7Uf>bag!?G?m27Z(l
zeM)LsUUAP}_8BtnMbEV_6nhl>XK>uVdTH3bxaBKf<$0E0vPf{3NobhK$T@HJ<cFTs
z+l{v0T5i4f`#ae^-&?-ls}{I7@xwd@4)=x+3VXiy9XY+GZ0gmCk)LaKzv=p~ucd9Y
z+DLm*RY2^;Es>jd9-qD3>d%|!_P^Wzf2}*J{{PeF{r{f){j~qj>;1o<@ZZz_f4u(J
z_4q$;^8de^{{Az|<oDD6{dxYL{h9s#|K0xoeol`6b6UOrv%7uOv+%m7;c=Rk8$Ugt
z|L3pz{}0|*_J@3mS$TbvYFyBwdl`54G1onRI_bIh-dEqgHr)I4^&0<{<e$em4c<ls
z9^LYI{@#dBIV*4dd^>59xR>$oWv1&g<JWHwu6qBibgJkN->u~{?ap*_z2@z$kAAr6
zfx-HhYabZ+Ze48W<7A!2|M~ru#8X$!<*z(ECH0$c)*RcO_1`n^ZxW4qmDcq5Z`-6(
zUq8kL)KxkE{yXo`(}N%5u0|jH7#HX}ZThuqbvhT{u&b_5F_ZS%`n%t$tbJD0p5P6q
zP5xh<u=eL}*4;6m<=b=4-q*e%I%~iDCe!~5`y$K#-hVM;bL{`^zTR<q>#x*ay7vD0
zTK?0gKdzCQR&@2ad8xcn?3a?+SLH*tu3WulUDjtsFVjok+J89T%_=+cWVNdP%eM(@
z{yFfwZTR|n*U$ddw?eLN&kAl-tMrzCV<{TjDtGaDsCAX|_RqVsu6HH4cz+2qSfror
zez(^?=wavz*?R$oAt`@Yz2erc$hx^{)xuT21xKXrMwn@=o6T`${tDYDwdTnely2}G
z`(1i8dfAt-&)2={0+ybua1D*kj4VxU?%QUyXeFb=+E;0z(yC@5+Z<P$d(8^nx^n%R
zT-keTODC=>UlZKEipweUbCjp&>uXQfYF9dE9&P_o%$mh?!H;zrQ+V&=<*OFwdTW0W
zKNVj4?AX@0-uT<MPMr~WbUnoX_O;M$7nd*cnY(mbX=v^#ZLQN=W7oB<$^HE*t7bv@
z&lTGqEk3Z;Np{h;b#eJ&S^?p!XJ2)+pS=A`#-Fgwla}pb<~5ZMyLK%sG-Q#N>&(uD
zYpq_nFFl#?+rQ~;$cDeiPd#4jwfFn{e+!nV?3UQ__on>=$H~vVXQ_PH^h^KnYW*oT
z!KW^`)EC$+wr81lRbJ@)OMd?IuSE}Jw}0RI@-ge*z}6-IUNkJLx~KJHbyd?UZYj;B
z(?btl{=B7hXM#ueLgsr{!Z#KDS-4NnI9RDMEz4qa8{e0=O_FEawx(CA*7??lG`?nA
zb?bp>Ze7FtjLpB8O|D<B`O2<)T4Yt$s$17?zxuNvee(4yN9S%mbz!yV=1Jkqo7e4I
zmK$yAuy^*8G`_>}Ps}XsE-<D&7hiSt!qc8MqnLAMi{9iY*Us7_B@-{J+_=xzh%sX^
z&tI;Nh4qXZjd(N^`Wjh}oHkg|z``azLF4dpRu#h~2^A7i1~oj{i_)vVvTMcJ&Og<>
z`N8Xlt2`H&{7BK@wzE9d?BB>`yGr&$+rJ+c5v`lWzsj#Z?V>APwP^L`@9XBhSSl*I
zm2=CvT%#=~bBnG#F=M^;%q-Pla+5Fr)}6lcr3Z}`olD%C7kl>pw^uJjLj`WF@p|Cj
z<llDxQeCJ{<Np~q6Mp#5<n8-E=cYi$i5!nV)6cZE@8|jU?8n;&!4LeK{X6-a{rTlt
z=i6Da%=fI3saf{nee-#ff6Y?A?l`sWuJX}tnm9#YbDdjJ#pHzM#FnJ<D|=bg(zZ*e
zrEa%SOW)42EM>dOvb60sKBr9%85(U?G|<|tXlS+hVWLmk;o~>XxCuQrlGf}wpR>eJ
zF=OMlN69|<iyr@9AymJVJ$UW9SBq1H>@Ue{{)zbc`svecvp)E)DsZ>7U+nhFO?b*4
zp{S@re|?Ts_t%^1XJ)OLyJJ1y&zR8JZI={c1Ef;}%5R9T%06Wua5=GU*+B!t(gvwJ
zSKh8Txax{LkM37?ckRE5AA<ire5m^O;bT|P?en&)>ZeY-#a?yd_O+L<AFsd4c<Wl|
zl`Sie_|!7&J@F`?X=4z3fotqP{ik8kHH)6*oD2A{YTmP%qT>HU=UzUujOqFps~dd#
zSN5%*mhdOn^W^7O!Huu2UhHD|xAtGckMdv5kI#Q;KQRAwf7GA0sIphuUr)_FaR2Fc
z$+~4qC-c-lbT=LRaGtgK@pM+_2miGsg#HH^*!(%)^zd=LXphRjtqDs$)Qk6+{F`56
zBJ*FDzk=z1{=tMF_gR}C|JRma`oBE$-h>w`#22i2x-j^}r;C;;suxvLmR>MTS$c7;
zr=42k|9R?4jqh0POV57M_~H4-X8+rV??2zR`=_{n?h*TE+k<~B|5GOQU-@>{2Ceg_
zWR)X2(qG?pI_LFZ^M;cfRC0=RcxN-6KD6w}rwuO?U2lBZXq2P2Q7dQJ2CJN98`VUV
zkIxYZQP0c0krE+pW@*Pin<>7<xASY_<-=_oUM45s@JR~3;geW=!zbBW!>w_r;tIbf
z*EXEopqo>a!#|tJ`p~i?=Qg}d48QSZqjiqj$J84>iT*cylJhm(Ix7`5gl~wON$%sC
zE%W>EvIC79Gz$%Ksw_mzYGwFld)COB1zQN2UAB<wx_J1Hz!#w(YB@$S+_PnlA6|B#
zbAx7~QBIYGs9EhCzS*90IA?3l;hmjXBi(iJc$2^vVGD6H$#d<#ecXw`50X|aRS|1j
zBO=zi#zgG3iraL~Q-@S*(^s!wdq}s|{<p`{75jy2ivHw%(ELz+aQTt<?DOURDg5~I
zvHf7W(F)%Xy`K8TVmYPOJ{rav7q3Kxf8QKm7xaJq|1X^Lu1Buc)1H6%s)O&$U1sym
zS2w0#J-c|_u2nHln||2|<!n1<{Hknb_L8&e`;Yudzp{3T$UkmJqrZFpJ(%ed`M~wB
zV)O1fU7u1z#Z$6Bu-!c$wkj~!e2a6mXk@@7^A)on$!C<yt7^?!V3E&}x2k@5EK~4Z
zgPmp}qGDTum)<@rGNtkF{V#p3hhO|&?{({c&{y*nJDc9@mA&<{pRdBzHGbO3JI11p
zlJ3EO6+g`SH{nO)zYh$hQ*tupO@0T9?%fvpWtRZAR;}z``OI>AkN3^9?1lfT-%=H?
zb&}rs;=j{&>8^k2RmTq{mK3eZ>e_!P-P>J$ipbl5<@O;fS1i&$F0Z|K&co8b2b<R{
zk4d={*=hc@y?uMhQ<<)R=S!!}?%WsWDr{qZ;o|>=W#5gjdMN(-zbdxqB6nz4o16;E
z*}v|gOIqdBOs+hz7u{2Gr}28dM#W2au2Zk=#rJ%1Zawh&zPOg++^zo&a*Y0H<P`nM
zx*_tT@FB;qg)LT2&JQ_u&Ee_$P<YVWepW!-e^+~+6B@53>=)i7QD>e~_$Tg!(2rVM
zgPq1d8|5BfnEB^@m5%nuKD(%QlI{%uT#iqvK6mlM<x97?+MbuVb3I=k^FF$Df1P3Q
zkG-qyL(P7~t$6fXz4lGa(eL&p5kH&Wi+5b9{vV_9y)IJYd&wu!oL5Ef<D*tA;5r}H
zr18xBq)Et%pZ}Kg8CN_KviOs!Hcx79_6r%^-LY-;XPL#8WZZnaNx}8Bgw2Uln{KE6
zxL>Kzv_xljY}<d~Re!A7wD<cxJhgtaUtcqO@c*#<7Y|B4Mcva|u_mPQ`#!lZcP`IQ
zxFDP{={lGCqnis}Xop&BI$yspDr0|9o@xI&dzCup`idXErMou!cQtLySh;%lnJK>l
zj>_9uTnhHmKO|~b=iM9gfkV@H>A$euPnL;PtHwu_Wlp-CrZxFY?q`MmGojP(e!gvV
zLb~{C>iIw0)@RklimtpExOJah$UCLA*Fq<2DgH9K_)%n${^h{1hxsP!E<LT;a4<x5
z{q2jQ8UNpi1*_Jid0&4&Q+Hw3_cPN%TC3Ae2fJ2Z;0R}}-k=z=Q7*?LbOpO~+_otp
zTVHgXaWjhMTa{%J%(tqjWXrzrXRj}QJijNf=|l21g@2irTNNuJmT;N>4aqrVyi4U;
zxa;c$Q9e(!yq0O5ig{_J7r(3T{PcA*7X?jUxARcgGwx`A(QS^(F;}-9Yzs)u-Jn<;
zx^{Wj#e~EBuMRCJx%xFaVAr`*IZHyF*17MMwL2BMp_pk=-pyj>ON{&1o(p;wnRvll
z-{3R%s*ufg6=@O?xlOyuei_f)RkmecVEgM^o#*#Ne{y@WGpS6jr~293N!OM8#hcaA
zZ7#2Qa`le!F^8F-d;%kFUe1)CwkPz<8^haQZai3V(S3qr@aB?W`H-+}YuB8eyZ+>P
zkykG(cloV9I3qp8Pt;}#PuZ-WJz9m?P3n^yZA0Hrtkmhed24H~>$;6QwSFx7={}|L
z`~0a-UcEa1CFZ2qHSaH-yj-uB{z`QCW|_9(FjMWzr>9@1`d(3ztlA>EL+6TT{OxZ$
z7oX#1I(6mF>Depfp3SddyVw0i@|x-QF11z4GoR0AjF1VrxAnz>YfJuYzVJrjRo|2O
z9c=eZvvRKd`qSeltzD|j8Cvp`cbV6^n!=UqK3rAo7Uf#EKqg*#Q;XIHu4y4(Ll1rm
zsSMpv6B;YJW{K81t}w5wVXWF~y;j#G#E6DfE;+TkCRSABS+uiQcxlK%p;bLv5kaAW
ztfzxrLk@ll<qh31X;q6>gl4Ft=$b8B3qCb=I{g$8dH&mJn%?wJeL<q$m4}0u2HpD*
zx^Rm5q*Xqrq%}iTp7w6hnz$+V`itAoCQdjS(ipNrVMe5o;bxVjG|wYvCUwkI6*Tr%
zN}J|#MyC@Z@yLyL$+^TGY(eUVG0ZDBR~Y7Sh2C!Q={%iy`S8>YFCS;!@Ocz<!{=eu
z4WGwu8g7lV6<7E@U%TOCfpt#N9nRTI<%gFY*}LK8!@3(^J}Ts>eKg2f_CX_O*+&bJ
z#YZL!gsA>1Pn`Vl_lA=nO>($Ou6W0uoAN@$%(jGYw%x9lvnspV&Wh}6J!`VdKDgte
zbH6}{YSsA-CogE{6n){F%~X46nalkRFBit&_;S%cN6k<nXPJRP&N4#{k;VN76<2tD
z@jt9~c*2I0h9)^&JltES9QiO|>Y<JaQ;(fUSerXn^P)TJ+9&y5#aDb^PJJUD*!bb|
z0ls}bTyOT*D{371A9#0t^ZTVtALXY6e?9+i)t|}p|J$rM@zwe10;T*_!Cw{}JD}~A
z9l3B7$6wae(_P!9Rw&+BvG&O3gKn{@AEqwZSIN#B@;Y>hXIk@X_ES~PELZEc?t2k;
zCa0o8bMwQ;lAB-EhrTr3we|WHx4-A>{&ChVUGijSf8Doke}l!zlec@#ntpZKw!90T
z%XXQ*?0?lVt82=+D>@;St{dkCd~Ra#IV&JkV7k{+;mQ`p#t`8SuCCQv8!oj*iD<;G
zy4rZjcB@aouJzZrz5G|THeU+PVqN%UOOzMK-dd-%EFg2#*%s}UdXr}o@RgN!M^vnb
z!j(f#OnVsu9ScoQYsRiP+Hh%Ul(EL$U~lfFtBu;POwL-k=*yI-^BS@1s}lFVSse9u
z;kq~K#}b~GEc?{_!Qn}1t+jgNzRi;xR#)3_w(Pn+*<sahJ5iDFOs=KeQ#S_e3ejX-
z`g%#*rP4a*msVF7mdyPcu*<K3BR2M?y2sp=K5Y^lwW;w-7=ts}ICpqicqoLta%5UL
zNkB_u-6vNsajlJuN>&=_czq99<@$2psht|OD>vzT`L8tTxpY}eK3LUv!SvcYVI~DW
z^`<I6ydwU*T0ixVfxs#6*CCO?VZriS0wap19j`Fxsr;(0YF|{T@}YE2_o>Z~V=VZN
z?&Of^ES_i~cv-QJ(f6(#)8Awpm0w3IDqcuGewbY2v&7S<>!U}aSC6|?u&!L|&YgD0
zniIQIZ#aK0&6~r0c#nm6-_JrlChkWuDq=@VI+D|S+7BF(;O|s5l#@$4Qt@Mk<l~7)
z)=2b=q#dtVVyONoF#htj9UekIbdEJA=A_){wh@{k@cfX0;knl2qB-3MwpfUF+8W9}
zijaD&xIyQbbCPRMd;3&FIXkyw6)J{S$CO(G4dpU>Hdly*HSRT((=yz|Q=K7dA^QAK
zfz`Rz<ls5o2VPl-cWyP5eRM<qv0{P3G3Q4KJ?-s>4dv|i^?dYrl+okPbv()DlEu$g
z{oeP^R<F>yy2C}N;?JILW1EV9e<yu^W7D`#-$GVGZdde!iYH|P6_3g${5W@$Gjz+I
z2ajHzd;dg;dCr}~4(i_xPn?`A@#u+W&8sJwJZhDR)9U^E{1f}iRn8}7w0$qKUUBO3
z+XEL*zE$`z#pdL~nRjpA^z8e6&X234@SXm}vnOp!%8TS}=fs3=@SEo(ukOG2JNHhL
z{d;~jyErrL{#;qFVDQF>wNZAN!bV#igR95Pf)j3JaWT#IbxgXt`m9&nS&px(7KQcZ
z^l0!%D|H<Z7j%78?0oUynr;oAY^AOPA3Kyj`q~|swlsH!R=t}kFXud=D)YaACvQtG
zT$Re}-J0&tWE{6GxUE|MZ`Mg`vsnkWOqZRJxQn~Er#P{3V&91?U;j;-%Vkp((0QEi
z)x*x_Uq8+{PJZ#GBT`h4Z&jCAk;SXkTJ=#^UoGeCDN1a+D57`zz3p79iI!_liL460
zd2;#zCic__53{*TwVcZm+dTEoY`ose`pr`5am14aOvy4KN&A|sB%Up>YqQP}QkF4k
z-N?5p=+^YQh)>fTS4UsJay5KgUi5#%U;Dnqot%Ai!_t4-wQA$4wm<1L2tGY?*QYPx
z$JsfT@U6JGZh8@a;-(dgR)zexJenAkZt*MV-O9-e)h|3w6*Bm;gz>oLF3rZzCKGlz
zF!PI_%&;t*u<JlsQ212-mDLf>uk7}ze^uGF&*!CPiSk#IUE;4(f9b?%<=Ouzw>Ix$
zcY4ivMpG__SK`^_hGa%w7O_hXNgTX3U(~7(7EXTCdO$a5`VQY$a_=VW>Oc9UaPkb#
zSDI&(zM7=%|KsP$9h|?~&;K?HTNKA(g{CR2zj?L^wE1`U#RsqYe<}S#_mp*gACpQB
zFxxh!nj}PcG54vO2>j?_6Y9=<kSHjrd)y&NT*Bd%&|lBH&h|my4V$h^j_`b?xkllu
z$uzJlbyP~*G><Yb{K~Sgx{-zF{^f?pn!GGlmyS=^;r;&MLUzfuvx@Ztb{*gi3isAt
zS^dIm+xx}bE7d<X<fyk+FPV4julS<POWgkFPMKwI8^46pSs&!aFWUs#?DY3VUefU~
zGQV>9V&U4H#qVZL&E4=~s?vgYGq`HLosw4NXnydlTJ08Z>EpGT_m|l<ZWcRK@TT=d
ztfjlqj!%nN4P*Ms47)A#BKuSdZaS%~i|A-yE%xZ~O4TNnvS<%3=J-XPQsIl$-FWMB
zb@ld2cs6HgM^6o(#`fQJ{-hH}MNXu?h!*lx-71&j=(z6kdX*wS;~hMIyT7eo9UhkX
zjG4LX8}}C@o+aN_=ZL-uzsc3S;;&Zp)WibMCsMywYzm3p({jq7CBU*xXw`uYFLu;~
zM1BiQWjgFRH<4v!agR!AW8f0Ki=9_&ZF=s#V7hx;ImrJ};g@MEi>|!U>57YtJXD}&
z6<VaysBU)T!;MRF$1}MFEm9SQ)OC*>=H&iZc*kjyMY^J9O}=7gjrd&GthGU#UtH{d
z$Q|;-apgo^_pkT4Bt%nLjBCCZy=&xc6@C%-=n;?YoH>h^Pv-toRMhD|_s-#%<SI9d
z<KNfa<F+YH?Ce{2%+{vh?c2qhv)`-i+EKt28*9;<$9KoBu~Ki17VGg9uiTfOo;xS8
zb#n2VlA^{?KfR5&ME9*qkBJC1)zjH{qSaQXEKz>`&H|z07>m}WdUK8$aiz;kuh?mG
zv{mw0z~WiQIekit+A{sl8Qwj~nr5-{h>!YrgVR@S`!?$77@TWWJ+^y8JEz{8<VD=-
zh9_HtXS_L-*;AHaIz4^2!C~Gk*7J{9%&aUA=czycap@%MH;bJ|;<BcmDeUvr)7fyd
zRdw5r4ee|7-XzcFR^NEHHTcHELz!=CEa%+KlBqhe{rk}m&iib5UiH`pF5B;=xpu{x
ztl+L%{o4_e`?}-|PG{Y^KK;?@jA;T*ygb+EZG9Kr7W=LC^p$<r=l33)Qmql$``7j3
zvxw?7N)eGCj%<?ZUc1KD&Ul`$W>{cY=z8OYj>m+JdB03H-<qeVc}tmr$GbOW3xiNZ
zx+2f~XpZCJVoDqCIjNXMbhO8oT#z}Pp|D{Nn~-+KgG5!{8l6RsLaQ?;9Fme+vT+(q
zR_tyE=C<x9GhS?cGp*I&&NhKQYcZvQ>rN`~B0JiDmt0t7w7gO4Oy+`DMN%s^&0(`!
zcTu79wTZ_=8{SJhB6&_V?JSzZ#>3uyT!n#i&IW<D$zq2JPdTypMRy#L<o;MNjc?Xt
z0bUlq%MOpSr7A3(nC0CwCmb<1@pve~dnqEx#`grHY4|?X?*YY6Wh~XI)_tjLRpW8i
zZAqTd%E7Z;pi@xnQR0%1HM;&5zb-p0(C1b8b@9Mq2B{ws9?dFj7Zq9$ns_|E9DeA$
z1d~9G@q)C|8q!G;xsI=L$~G#t9alZNLZ^6*y4jLd72KEV&61sY{JYng{k;++yR!UZ
zuL%Rs-X8ZF)(H;o+=)B#Z7ygC^ywaZe4HsTa(=5v&L#nV>E7deDi2+p{I1u5U&^Co
zd1HH?$%l(m*;bue?#MMabAp??&<f+7jc*oM^}jx8crp6`7k6Sro}$*?4FZiz#gsN4
zak8m#V_!Q-Rf?m=kGZqd<imz(Y+Bt58&4%=OgN+^wPfQai7BkbsfN4~*F^d~8H6kn
z6nTE@wj{4^<#@AMAha^FqusXTg3SF34i7YVRn(;7)1Nl(ioaC-<HsyEPnl(oT<sYX
zjyVdg*!fA7H)N}KU*|mS$2u*EQ(6mpwg@x|iYXahakBAQ*8J>-D|6#qlL<E*nfY&L
zF33uhQu!##8#KeE+2ojXd=;z0k1+qU(<IG~7+h|;a&u0qGtXwukZG3JWTca3q&vQf
z_?+93c#wPIqAi>D?8(i{4gGtZQ)i1&+sm+HhG$Q)EQ^|XL`3_u!JShzJ=->^9NQ!C
zugP)qgUF*dUa-#o`)`Ys?BbR2)3&%TPYPPLKWeR8I(KHj`?2q_T`d02YI_7Ke*|28
zrmuDSb+Kvv^>B}v=|}G_az9<4Zf$sL^`G)>#f!ro9$McvJht6eamgiH=|>WxXB$4n
zKiAtJ(0Ecz$?&9;4bSG1lgC+3+j;hEpCEE9(nBh_SAP-HqT^Lhx*zVZyj=9~^_{Ge
z`lEig7rUE$KUZ@*x_6#QMt|IT7ddOG`^@3V9h0{opRq_=`Lk=GX<D>L^_fc5@BZdR
zDt}~)w$?SCy0hqTTkNgmxWLCV-_Klac-rY!GUL^>f7&mybV@#bi}2YRbJI(<cwg(5
z<7Zy%c%Bw=|Hb8#zjjsSzB+fl<K2>oo%3=oXC5%nm2wbMF}UU=Q5DT`{9=aVWJQ_a
zmyfx97B(J9k*b*C!YnVGIpGM4)DnYvY?(dX*V?(ZtkKI2y~^g^v;Lv6$Nu!^Mk|eL
zd|%15d&WKxSm`aJ@@3XE-NFWmPf~x`W<I>Q$ADR)?P5c+1}{t71&2q$kNG`}9M%Tq
zHl6r3T_j#@tEg!yXHBXi&+kP?i~3YH9Bq>@yDe~B?es#6Z&4iw<hUpPxa4H>W}AR~
zwvI~YT(L=q%(y+1vpqj{)Q8NL{n&dXPyXsF!TSk{tIAZ5=_zpD*`V0=+U!W-*&>b5
z!l(`{OI??%bHo;P`Eh^Tc-m>wj&w!MJDVn$<V|zQ+a@16ZPk-+TB^n|3sXX`1#UW^
z>J+B=!PP5mhr>yk=bDiZZofDr@aJ!T*B>2TiE|ekl54(nda!ZGEdD;h!jG9>J>$V+
z72Zh}u1uz0%QFuY^s&io&r~RAXA>&VeDKhYx5j3HqY(e%@Q8o!*FAF=-uvf%?Ah*T
zTUz(;o|WayGdHK>v7d?a+5eu`V!Q>IigjF)wzXEwNL92;i}DaM)=_DFDK_cYmJGEy
zKPRubt^ed-ZFuPGZ?a$Z>;1O-ufNZT>+rN?%MNX{T*Q&nr6D9e`&r&$$)&Zmzi*kX
z`YU}t$m+0f(DNOxub8%b#y&c?`K<S>XQj(_m%O~%ab&~7OqWMHetNkCZ8m$QTBtpr
zGj(aq{&#lXOl{RB4|e!7H?o^d*pc<k_rLN!?zH<~_CMO4@3!`T@4ej3`M=`7-R-Vg
zxzPCcu}`(tDQr`idHORCd~9K3x_{B(QT68h7};-oZkn&-tGM1XYqj&;POn$DI=r%K
zjeZ~dTw9$5QZGASnbBQcilby%qs#Q?JrylhZz4D6JIQ{SCb_ll`kC-&mBOzS%ooQA
zeLu6Wv*!M;S&UB^4r^|{Y<ZxtfsKhhQ=#yLP5!UtmFs5IPJY#3erCneeSgmG^DLe4
zI#9opJ?(zVzWnyH4Mh%D1x+4UI59UaHkt6_*qhnQ`EH-(xp=vLqR><exmmTJuQ<xN
zs&*bvyT7OAe&0-x9feW_a~3on@Rag+WB&Wu>(fWybgVx=TXJjN-HYXco)5B0+)d}6
z-S_k7zPV=1rx>S(Tx@u(!yEF*jk&Wp?f#@+vrL?QRx!u*dCB;n-KTohQO|X+iq*a>
z8S|O%Z&w;GkO|<_<h9Vd<RCkZO=$YreM_$-TF+Koc7;*jO?7L|%*{qi`HJjbsb0MK
zCR*$McI`9=%L5-Ku*p0=b5)(4<=AD1q^6yI%dRF`&r)1=mGQi*YM)-({Y$?dbyRtl
znEsx2?tAVc$pAhBpGAzvQ=~Y27B(Ka^8AqGq<y9LO^tMGpT83ewAke?(kLhB`e@C>
zOwo6@F3($B()M!6Im6~Ftj8wpI->J9r*Lj&ZTcMcb;fIrk5xXfx@;vomBr6h^{Q?%
z>%&%`>}`feDi0X6vuUL+Z#-Zl<#ERR_cH(M%A50l)yy?nd`<mLb~5Y3Up;d>syt;3
ze}`G5bDwG8QqNW7wGg}LkYLEGVrTq&nekfowEHE?O7HYUrAN7+-S^Tb+y9F9U6Zr>
zM2*%ura83wthVO0sJh^=K%G~mYG&=_nb&ML=UZK#mF%|Gcx^IRuZ@=H9E0CsC$?10
zW)wAAYiIJ{g*S6!oymk3`QLogqxeClzFs1^_1qReSJlRw&p2NAyxzFc>XXwl(*ry!
zCS>w1VRSc_;^0}<cw{-)z*`{4Tt2&^bXlp|D^&y2SE?Vcyopx4ES1C-a$-x{rG{h)
zUY54Y4oNLH&F5yynk~LI^ID2=*4)fm>90aFM7~aXapj6dp5kSxOptoFiw(&pye!8q
zI3z6tsh?{MQeOm8KexY%^Grw8%0nkX#=lBdk*z%N!Q_8iEOSAPKXd5BOAe1Z|JAlK
zU6^@|6{LPGSnI46DjT%4JWI?$ewG9K+1(`IDH|`#zM6R~tJWBSg0&mu6Vvk`pG;pA
z$8>s8oY4JQpVv;vylbwkn-cXsW5KCvDUK3j{YIx{SO4BDXj_t>|Ga08=l#2S`;)X}
z!j-yKWhr&-DwdiV_VC=b!xdtoJ2rk*Dea3}qIY5X71b(f%l#K-UNe1c!!b8g*XUgH
zg_+mBu9$w{(2D6tTp#CjIxV|;QR0zJ!V53vsU4Rc78vth`IHKZ4dtHQvo6fMRs-@*
zY+n_pPG^;;j%nIVjg%<svkhD`uT@DE)HpIXp3hX+c<#;YeIn;)1isEL^ay$M!$ga7
zjp^@Y`o~v4tJIF^&pzA0CH_Q8s$k8s#;6?`3L7uHnSE|c)vUnRKH06H*k*Iv%ks=^
zZ-`R*yzNfQwjKh-weE7p<3>^(I!ham2!Ybkt87p@icGs=b@`R>SD_h_Unfaqd|Nvq
zvo;MBcVd?vQvaI>M0hfHu0ONyrO)c=AcNIr1+uR%?XFtMZU0Ku@B}FCZl!~if4kI>
z%+GrzwwFz4Hz?I*?*J(en+<YOYDm!2;E+iYX&}?z8Zm37L`i2H*x1a*bURaF<5`f~
zf6WG^ZzfRC@OD>m)(C!`B#{SFo@)wH9-VPu<4iWD*%=BOFM^b_fz6!-vf`KPUY2Dp
zAg`{Q-0G9vCK;f3S<0QcZ=*?oq!F)*8`$6gkiotNpw#zw#q<NME2i(#IrBN}#1^-i
zpnNmY<bi}EbLh<r4vXeb%zPPrz*PD2rSb+w!Peve7r~08l&Gqo_w!PsTCdixn5ZZ!
z_Fr+yy1XSz)^P;}g`b{YxNXU~EoBnTdZo*@Uh!DH<lL5N?y8NZfu4_c6{?<cT4s7d
z;*m|jVRz=fe<lJFZp@vmpafcac*n6N=eF?80EJL}h|mr3sVaH1YPD0MK7$j3ja0#z
zrHuz<q&&`;fl_reNYmEqpj2JGXwt5|E~=fk)9!Q4yryHkz-gK3WHy<{nF<DT*@P~i
z+4nND?D(76^U|}=uK4P5TW%`LHdob7xno>P+{L-8Bm)vR`7VSMj*A+PxPa1vROUJJ
zxtX~}pa43zV)}t&E2c-)fD5ACZlN>TR~eXSJ+pZb;lLakaM2;@;%7G-tIJa9p!CBF
zN<UHZQ?JNcYkBs7^Q9O#U!Ko6u(5?r%XwMj5hJh_$)G&&`pk;bWnU{pgl>r54NO{d
z6O=mdfKun$1&v;BGZYNwvk9#Rr=J$dMd!AZxvTc+FP?PZ*9w)5*FlLbDjAg6u3c(K
zmgNme@?-AQpT~bc^J|H8)}N<+^KxhR?%p^rCt9iNz_kt~!y`MA#nW#6dafB8`+do|
zjT5hge)4!FG`m;srRTSm7nN;~mq}fGrMXRe5yzW84WZ^<Inig=Q<Nti`Xc4`N^8AR
zY2(BtdWNT7Oq>_>yGQQj%+d=TVg75J1zi)|T>`hxzqt6&8mU~Dpcx^_9<iwhm*^Sv
zUAY>R`o+V4#b3AnFEvq_E~}ScZtUnkaIizE@WhM2L_J>vB~72DolDLcc3ufJa(yKf
z+#~n0X=%UU>~-N`lD3zgp6cpQDzMlzJ*qa2Q+{K>Y9CW2%_&-!J?17`t>iwVP}(`u
zt-oaErOO?@;hOhdMEX+Q`b&B@nLbk9c;rRkLZ_LjE<qXrX_Kvv%LIwf@O~xqdEWWI
ztUInXm)}ddA0ImRfR=TN#m|~|@eHDeS@f(l4y&?1wRpIIDO@Jx(W>UE9ck|cj%!+r
zSmd?~rN6mwIMVvd$F;I*h3EK!E%MtlV>TT6#u>BSxlQ`o$IJg$l+A87$|(=%ljYOe
zaM@9{?56Xx9hvP++usC)>Xf~B*eCmIM|QjCoy~_u_H8<BvQ6yp8|f8Sa_;1|^9aw=
zmD+FP^Pu44i)WgLuk)QMJmSdOXSL#pIp3>?Gxj>KdbY*6ac`N%#`Ao(IUAkjf4?>8
zWG<U=$kE#8@dVLTH!JS&NmfN4_dkEk`TR}I!-?!qf825mo%ei!ko)}2ZEHSNTZ`OC
zZr6Ig-I@RQn+wOo%Vr#@v-Ww|AiJ#a!_GVQM|cu)8;ZNv?5cC$@AlzN=5_tk6}sQ~
zc~@meg-(5aKY3lY#^wIge)i77ZNXxP3NJaa_(gOa`Iuhxzf9SuY5#ZoG-s{mjf#Ew
z^ENAN6}s_ll7*A<--5RicNf&1D7({k<!W|z0B>8ae&=M9OXm-kUF3cBJh45e<M9Gs
z&N-W8y&o~`onDu+^?sX#*bRZ>nZF`_1zro^w)cvWr*iDOcUu+PY|WOW?c-hf^+;RD
zI~V2H#c`WK4f!RP7^Q`F<T?v&&sf5JTH5SL!PPd4a~ITIug?k3srHOnHgO%B{!M|y
zuF{WoBseoY&-HjD(_6w>KJDG~bx-$gRqV^p<>gwl{jF-@w0qvyW7~5(68m@yb(Z!o
z_1+Y@ZLf;igx9O%_J&1p94_L17?GmL^jXIx$xbrn!}TM{xgCiMc~?%FBGd6;eUJF<
zZ<BuXxK*i4yCHBmNIEF-#)Jb!R!+83+8Il(f1Fy<TqPTy>MXN5*W>D0GnI|g+^S^b
z)17(d-xfG7Zni|Nlh<=iP2aApF9|s+>(y<=X6v*hZ*Jwt*(lJtR^{U34QHJ~)@CTK
z^4lU1svOmEL~7ED=$j1Oi4w_*GK+Ox5~j3z^r&?1>+ank(DqR5kl`68mbR#lBLb6N
zNZ(j4cF5p{lLcF3#{mcKi7)(xYTrwxEAl+nZAorw<?z`e(CMjiar@enVuuWlI$11>
z>NsG+J#mJgQ0?(qiHbbNx-H3~mfOF+&DxeK-<j=Ov?TX6fAjfSU90%NuCbq0&nKg%
zWt%^%Iyg8k{P@3JnOo-WI`!(*r>eHAKUer1+IY-~<yut7kzF-wSN>c7{`W#H?MCLG
z@##9tcus$^ck#Hua_mX`OW%YxM!ho^@3*r4vQ!G5>Gn^YxqIaSA@<Pik?dbL+;FV*
zDZZex%qoMcTE?Vz<CB6X^`|$j=QjSbu;Afz1NEH-hh4JPY@S-iBrFrM)*$6_<f>`u
z;%P6Q9+FwUg4>{-)htMP)=?RM*^mX37l#YU%3e7f>wem<%qm0ZzC{L?vy4fv=Fj*;
zXD(jw{`C8KJ?G_ygV_&%J*|Idbo!J2wBuP!Q(52l9pCt8J$G8*2dg(M=O$PkzWHai
zJ5TIg#moZ+lh|YyXDArVViRi4e2{1)xpvh|kJzdGOV)|i1${TL`G46r@$PY+OZJ^J
zm&`k)6Xc&<wlQwm`9q39={Evw1fu*Oa7(S2Gm}l`b;g1`bCU@cj?DbWPi9z#P1<!p
zD`@%+w^wr8Chh9iT{7=TT9ALD@XF;I?fxHowrAd7)iY1U4t-E{Jk?ZN7Tpom)!nx~
ze&y@~&41Q&x0SYK|J+_HS;cfa^T38)Hks&5g$*;=gl1<vNEDUSJ#HH$zQg&I(00$e
zhjoL#7f!x1d57aGO&Nu+CS@X^q4XSu(zdUVLF#3UhaIH~-YjW6P$%V4<I2qc`$UGN
zoqB0w>5_F?g+bppv|XvqQ7J8bt^8G_Z&H<%`=@-NQ?GBvACd_$sV~~!Qfc%5+!7{D
z?r)Z<idlS5??0KPI#c@9*FVzL#YNwy8<an}FEy1t^`L%Y-ueBLO}U$9zhC>J@pFEt
zZN4JU^H2Gqy%SjEZVDWB_O5=FmafR8uIsY)so0~3i&}rY5VKAF8NR%1+XRt$5mDZc
z3%?vmiJN=N_P^5MIWoUg&nRDHHTldHQX}iD+G{@Nk;9i`Q)_!QpNYK<7yqYv#?tjS
zYn5c1t8w&W)5Q|A{&#XQPfxsedSbT557jd}TTT`HU79rYvDU&>pJimHKL}0doTFCS
zc6!OVjq|Ut?o%r5T)5=jLT{5?t`d2EkN+xiZp&-__2#rM3J(KOpWladPhiozA#k|T
zyIN+ouFC^%?uj>&6y?@Mcl7TTTjX}oDd@*Fr%O9#)W40I_ad@H=f8F6*4F&=%}+B*
znY`nQ6WSzy>ZfbYIS`_rKI7RFnaguy5_%?kpDAfQZ+NYh_1Ml0M=Hd>860e_^(lF%
zqPDYuYjSMF)DFHi_j%tR;@K>_c-4QEq$!h)OG=CS^8EBJ+&!sUwd2t98IKP!6~{&-
z&Eu|~@&1sV*p31r?pTXfSG_sMlDN|4xmWDGb-7itDiAaP%~Mp=cF^zK$CZ=0c?ye4
zy9-*+U%cJQ`fJAqmw54S7tXiVekp$l9{gsGvFL5&Tl4Idfq0t4JBd)+@cr4Z9`W3_
zwmcrJF8<<$%{~|P@E<bDF%bz%xV=lt68ZUOS#9WV*PC-hS={g8M(*Vwmt?*ZjC<DK
zuys!KeBmE9|1I{-Fsk_&vo5n~)vSB1l4UzKtkQ{%NSMy;EmN4t?=SoJQN*JKOwuwT
zNsF7SZai6FXJ(xt6fI-Yx|VO&F*EDX;O)u(__@0^otHmf>%3C^-S=-3uYKOupPk>g
zPfzE<`Bv3m)=bY|yjj2$D-*J4b#v8=XAA6hS!W2@%9ymy<D2EC-}FrE1q+*n#$ksf
zjn5{H-A6LdB)56%ohiK8%DQgHh9jZk-wJwnR20uhp0M~jQ?qQyqhR*021gugc}g#+
z<XI;9J>1j0tni@USNS*A8i#p*2fRA@>q4uf+)jhz$>L%aj}8glw`6+0<K+UT=Q1IW
zwl!Dnc)Va$Md<~Vca|Ak-(^jD+0XBd{}dPbmfb!#BlP~lg}%PIfBmzyqo;+}PsmuY
z?&pe4V%hPVt+wRf4ztwRYPlhY`}G^XnPSuSiq)K+R%*b%e^ScKzhQzp<rl81oPM+Y
z7{`ir%WAirKmC?(rkLG!%O`yM551d^`LO$X6T8=`g{ypPoo8o-h27L@JiMOOKfq_}
zLcgt@Yme~p#0y(*IKy|lL)M~C!1}`(zT0PIvy2trnwOtgF;jM*N?C%1+nWizndTdr
zkDDLiyE&mu$eKe&wM<~9Y?*}hmC((n%tSeJ%*sxznkmaCXl;<ARCeOqLxqjZ$JvtF
zbL9C1tT}R2KtkHZ?YDe9qR+@0Wu>+6J;EpRx>I(O;#=jifRkDqoz0fmuHPH;Ddy(!
zT;Yh|**BABOuYLjV#Zyeh^cocMNGW=X@lABr^&Hp+MW7Yv#0G@vQ5fs^=si7VZj?D
z+*)<|E-HE__?(y|A-&0_hda{lSo<0kwJQsrh~`ecx+On2Zsvhq+u9CnxFuo{Hlyi)
zOz?yo30!hzGn@K-mrXcwY@4oEU4Veptrz>{Y_6>H5V;Yr^Xo>Cj@rg$cePdZGXhn^
zPv3gBO>)_@?UriKx3eyLvR!rA)9toC=ktHhn0VhWDebns#?QB(rmdAarG5KK__bBZ
z*Ud9FuUfWn%bq=()~$PZIBe~y?5ORb-7<OmzRgyB?>^`D^{dZpw7p(?U*-K*r@Ti(
z&g4+{<3!~>Ebq(?c|SUpy+80%@^<m#y%p+>uRr<;U4Jy!=1y1nr$Xy{nw{1k{WN2G
z%e@}Ys|yk+Tcxd^dsym}_w7|Yi-pu@udL2DT(?5^Kf~VH|2I5Yn-mru86MehclKmi
z_HFIe>*Q)@uV!5(aXmC_vHabfyZ)=At}V9T8^4z;y@53}Byj=n)d#Csx2`+9;F;EK
zEw{I~S4~`fBw<yvjeWu1S;EZ6jhW3`UMZgEyA!bM?wtd@3m!c^c)&K#&1|;hj&)z^
zsvhi$oFKJho>@pU<NC`UyfOS)4=VB=><X3@`Pul|iFeKko|yEk2QFm~tS-KN#<ouR
zs;D5(omjBQzI?ZL%h}&qa|bbhKhl<EFiXlpkmt_gv?B*P?;NnraVrUU#{Q1cG&D8s
z!MkAFDK{H;yKkQ@y<>Suc#1(m@`}%jo0-jLNfk))+|l3;Vm80jmSxZ+Wg*FPr{GoB
z<eiPuVmp^?QeI|Xc>CBY`va4o*=zm`oUxVXzSiljr~97m5B-!~HhtRKFIuw?d^@(o
za835V+p6}RrQQ!dyZtn*xvXK|w_f_G`iAv^bwcgZPoF;wSp26(CTB{#5WCdV>4*Ng
z{am_nt>N<C<m}{Gk2%j|PLV(S#_ea}nXD=E51euP>3Jq&%6u<<>8H<=a~A)ZG~<@W
zevxG+r}TSI_FCpLMN3_t<B<@w_>V-G*|QBov!x$DOAJoFQ<Ia^6D=ey^?2H$8EL%*
z-jBPVrKM%=3f;PLmHz5OT@}lvzSP`(dS%y^b#eBGGylC--JeyyYGpv^gFO-QZA&-W
z$#~DP%e@^wW%@(o<^H)x-M6Mj-1&3et1jc~#qFEr*8lfAxjx#~srAO9XZh1ixUFBg
z`*Xg@aXcY6Z=c@@JFB33o+XQ(h*$*|NySF}+41D=gqXlM(Io=BS52SFhlC|~sGL1f
z{I~jCaa{iav!%Sb*;%ifqu=fl6N?DE$~J$y!TWctE85drd#{B}3wzKlmR2-*`An76
zTJFywVcT-z!zDvJ4^F$~k>I<A|L`lZXQe(rR19?Qd0zeX(NE^_<GCzqN31=2y30Qq
zXx=+{{7J=f6}4m5OAV~=Y4%!fOm9sN&2fIU&c^&+XJXtQ;p2ZQ)Eikp`U!DAo@=Ai
zRsLzC#XZf=^N;2RMF_p0a_rKL%}N`Lwkeigjum@<WP{DO4594gS{t9vuOAJyuc>#=
z+-RqHrsJz;auxUH4;hisw{~q>xM|Oxg?qN`*|crjGO^FIZpK{sZ>(25d#3q}r0Kg>
znXO%=ZKxi^ASM$%ZPnNOZQRB>d1?1VeC8Z*Q%}F~;EBxZxiJs8)u;csbJBKAagluX
zT$@f)zj=pL)cuoNvMVghc9n&nzFwf7F7fP%jPu->2f^yoCEh%dW81k)eZ%2a)or^s
zwCC!*NnXvZzVT>l@QpWzGS}p#rB$%Td@r26Y1J>5sv{K!JRKh&ypVsactQV|^P+s?
z;**9pT3OjqtN-luU&HVGKJD2oP>`zL>r5=#BYgbCjR_W2M}KjA>G?WQLgMw~#I!a2
z{gNB)Jbn7VdL~A#@s|poJ+m}(<N5ZbS3^Z-Wb6&q*x#R(r43pBV|T7KIc84xfg2X$
zo#!9L*z|N2e>B{6(^^&6NiX#2(p+K3m4@b@Bn-V@tqMu}{_D2tdhvy;Saw}(Sor$&
zmBcUh>a{oKsPXXYv^?I|%5i72K<93;M-Tg2fBZP-G)W@wr9anU9hKgNUmg^cEG!Q+
zU#lo~=)=m^0-kLGjgDfAmThgVc#)@Q_bb{%=&z1S>prnbZt_(HELN9yueh>(d#k~h
zMbeXBxG?j}W<GeFSuCXT(Uv!;!l&6pZsG#9Mr#Ge!@dEwSN<z}F|o}3x}U=~Sy3ik
z*X60+lhSqn17B>PR%<h7yMVm7j!I{@*rY?M+@6m^jEf2^-d${7oOV`pm!WC)x+sq0
zrrd%%@)U*4b&ecX<o@_^X{*Y{<4!?0vK2GmEM|9qpl555b*;wLd|BFA#$AS;?@T@v
z%w)5Bc6oZ05O>CeLrzjlHd=o=8qAg=CwERcj6v+qo@c`5`qOq+>MAU=x@^9_)g&Rp
zMb7R19=9lt!y4QVC6X1HmUm>X=sXf}+D`6RWJmu;u}Mc*xIGgWwJtH7DJRo+^ow4W
zl;1{ywv}Rs49_~TEQ{_q!owXnb4BZq8L5h%F$?^gX0<)nRp~80a`g0<v)N{~5~jTS
zmr4g;{-ASr#u1@kA{7x{%<`u*CLAe}TC$;uEz?J-aaZMBg=-AU^5c$owz@U5e2eBd
zoX7oeN3tT*c3qc8(^@NbWGdR7i}VmWeZqKIeq6P#O0VscogwDylfNWhS@UyJp3m7?
zv0{fdUUp)+7Ts~gko#l79j6+ZtrJxGB0RX_BR!;wUmg_v(IzKjnyq%JcV(n;W1i0=
zjoR8O(CmBsWrfbeCLW36yq7E_enwB`IdNx?pPO>t-MIffJK9Sxu3Xl*{D2_W53U;D
z#wASci(mJ%tz<Ku&lk~gpl+4n-%ER(O+FM%$kJZhzv;RY%eR2um*S6WU77i#JGXu5
zXDxoSNuY7AOY*_@EcKqu{JU3fn_+j#j9c)AyXms{#$C;9a@&G)3u~u%izyXM%<|cP
z<1-g;NR``G)h~hjwhA;Z4oUvCzF7Z)!y_ABn>ma5l)gUnkLozUxytab-+5z`2^PN0
z{L5D~SN!^rt?QD&dv!)#?sG$_iXUxknsb)&J$ik3{WgKdsUgX~=FXj+`5=*-Hz>kY
zR%Ips|JGKH8b8xz@i%r(WRo+ypwRmDisO|}hYS|5`dY9>b*%E&bxA7VR$|y2k-JFg
za(5_yRli7E5WDB=3G)Nya$ehhTukZ12`3e~pouT1=5vV7jQa^%d+@%a`9=KKlpWcM
zS`#-3O!W|KWVQeLvw=<S-DQQ=)mI#6@V(j9x`OMD6U)26_KUAy>q@Qo)5oTHX93@#
z(uCTM%j-Ga^SK3IxXzjJz}@Wk<pa+4QcEsOx9YgiSh`)HFHcPA!c?oCxHZ+64jitN
zTJquV>-W?5h%-GDzsY7FxRJ|`z3qHL-AAF)2@$f7CmwVC+kg6voQ(IKKn|T!YY&}b
z>xzhXWgj*kdOP8W*YxIp(M$K{v9|@Uo5-bh<EI3Fr?;V8X3cA<+`n!=Ccm?|T6^cM
zLgAga4<2s0eek&Cc4wjVSiWCpU*EpV$73C%EV@RDJ;}y`?^p$gOxMQ=7BY_)dNoV*
zo1EKuZe`u_KYrgcINlUld%P*LuK023?Sth0+lrmFdhCDoelN^pZ%ef==n2{I;9T#=
z2Q!2pD;iiIb508DX>XrtD3>{>Xm0S|_iv{CJMdCr!;!ZNA7|b^csOZ$bH8g|yNcK@
znJ?>^&y-smyea9OQsj}~+QWYMmjwS*i{lk5SgejYC&l)(w{Ha*Q#!Zw?`aO}JC9X2
z+<mLC@$B0LU4`45`*ri$Rm^tFe9<@RDY7>BQr<gd`T45v68vrM>v!5(>^inZ@q+3x
z=S9Um?d^UbW6I~2{>>Kod*`w0h3jt>E*^jTVBz-L2i^6zI}6#y^8E@on73os%6gv1
z8(;VQ{I#aPuy^VD^S@q5@b@tq$}uS?+o-r5si=^Udpz-&=l6mYQU`1oFipP8Cp7sE
zpPbq**&3e$YZb$LZzmjjA?DX^;<i)fOa648GFhkpEhiPON2q@-y?k}5v+CE<%c{qk
z7Z>-mYo#SWn(#v6a$Eay!`vl>H%srnxSuQeLQcPtH;=vZ-Z~#|dzn{H%RXGZ{C0v1
z|8{4tyLb6AdrD%>e~G8d?cBApzT(-!>@~r^c1gapia%()UeHiZ=5VqNOWV<k6;G{?
zIbRJito3}{@_N_B{o6htu#IE-ewR;ZZp?EZ`-Rfa%RU%hdpqHX#P;T>{5yP^Jms<G
zzsj4=?cTMrUhl=6>@}Bv?b<2z_+WwVvF68BJ?#g2CHOmM8_LP$9j#bWcn9QEk6+hp
z<CxO#@(HEi;gi$bAzKquVy#km^6i8}soR~Ulx42_esFHsF00F8&t7rd@Y*fKMrT7g
zp4~|{7W?`>3Rnm|RxC6*cKEQlp<HH6L5%r9e}#Lc{nHO5Zf`!4x4pSt|1O{1w;i&R
zZoDq@NSuFLv3Gq8-$DHkyJRK$b|0Jd@^#vR$Nv`ChV+R%K4@rh%=y7(3I0w+LpeD&
zkPA<i-hH7yMg7iW)q+cJ6$($ieekgB_Cfdf<FRe8)u;Tu!>9SB+<J-Ofu{@R7uuDv
zuAE<JR~Ty^6K|fJY$I{(XhlKI^A)$Yv>*6xC@18fY-3Z>)2ZBhdEIL_d)B|_Y~!^2
zwl}+&f7kqIaILieg1@7Esk~CBVqUvQ+b-El63>b*oDZztAuAEK>sZu_*J)Kf?1y_K
z`1>ji<(Pt#Y*fUKR8&NOl9A-~(#7+)tSjoDejsam^AV}-&FzUGJ2LOS$W!d}&1)A~
zwoCTXjBm`J<2Sa-mROb^IBEIKdgp<Z9XpPQsDC#+dvbEb;|Er2?O#2S**qsEVb5f5
zpYkI9;JJ5>J0Ab>lBZ0$ZvT_*PJ2p2U7O9i<NOw;-M{7byGSQ*%lZSm+<tr2+{=jP
zH<o_;I@xJ)?c^CZGVY5!Grwit%i8<(sn*`?E&7MIx&1DxxttN-xL*3L_Qw4e_sQ+c
z+Ooc1xA&WGQugB7(1+JD?whPLxfZTcu<_zP9`S^Ip_^`)e=(X|JW<EVS~{QUr`WUC
zHd~yZo%c4i{kQAqCwJek`{$mQ)7ar8e50pAzs<Mz!=oD)IP@F8_I{Z3gXx)lwdx)V
zv7^?UIz8o+W{AIkl9;z=a=+zAKhHCL<z9(ydxE7VEB!yUJoh#K>a0^zUcwfFKb>F7
zB<}E;e9CNx(9h;4Ix7#ZI`R5-k;DH4)3DnMPh~2-ms+!0f9=}*`(g3lerd_xzq4m{
zYeDwe<F5bS`p?rYJ9bDb`<21jLs3_+KAO~f_4>o8C#f!$-yEMFe|mN5(yggG<X+~U
zTb!HulYK`<^VX?vH*DK!xv)53Zph!ZJyLfz$=JMJaA<dC{-Q<8d{cSzZp^;Gx2p5<
z*|T3)?NgI@`{=9J+269dQm;>M+<S2!(`~7@+8eiC+$Xoq<kt1$&)j~mTC?-wKFv3o
zTk@scrCzW8)VyB$?dgIY7x&4uOTE=D*l=;55WCdd)t{Q(e*e00J>$O0H?v#jt-Zb9
zd>@}a_G{Ijg!09;l4Y*1tlwte`DE^L@4-@8uI+yeb<doX-xWP2ecJ7xXU{OZyi@1Y
zDX=!s>Dl9~{A$91Qxg1bp@woYvy*IC^4#SF+-z0yj#gCc5PSUaaaE7Ika?0#&<?4`
z6OaA%SSJ24ptG;q;>xQJ2Wkbjs@e2>d{80sSn-3!G3Q5~J?-u9lWb~g<R4Ewa#^BZ
z#Ohd2gw)(S;kyp#lnZBNmG^y2c=5=t!^M1ibGvFDyS(>ZK2M!u>m?iRyq$2Y>x6ZN
z65q`7<t4e_nkP;A^lH<qS8YarXLH8y6Wk`LCuMe=^{|*l{tcn$Jhu;TZ+rWAO`>|?
z%7oQZ^%_sNoh^9AJ7v$Lt*^i48^xNkwq>{4MorrmIQjmDt0DSt7W_A}Yn;98K*8(Y
zG}ZNOEi8O)B5lrst&a;_0!u4gCf*PZw2|r6u-n(E;VILtp()d^kvYe}`e5PhlECiM
zCp!)mXe}z3)2G1{uGHmn-`w}8^ZbrSi_2X+7lpfcE=+gvTzq`Cn>x$88SLScx9;9K
zdDfh}N?h*OJCrV{F7hbp(~x`T*7tOA@DcUSK0(#i<rDW_`f<-jSjgQ+eCC02!PbYK
zE)OeY0$Jo-MLOFBj~;IBc=Wi=#q-fT$p!6umo1oN9PIH(JJ=&}zvhy{1qP?D{P()J
z@xg-Jw!~!z46lf=w9RNb!Vvty;EqU5PsQR&&&y2<lpMt`FPGgl%Za_m#7WoL|M0?|
z#3`BuJ!Y(phRYNTuZh^yWO3OYlU_LOWkB_8ySEpPi&!Nz31(UTlH_~JBkbFlJV}$o
z$Bea8bJ>H$RhmC$q;XB15x1jlOTbk&V;|S)KivMGD*Z3F)j;L1$s5ORF;#nmC2eLq
zE+4Xpv;Jbl{G!3}m_^8gl^fkz4?86HbM+lurm*3Lh|0AYP3>EkJxHE=aVgi`rGCr&
ztxL>W`F`9Hc$M|>sPwO^ha?sMPq1(Nd{DYw+34_2vHYnf`jf6c@_og#+%xv>bkEoa
z8Y{hHR7&}$d)_@R8sz^-YvuBS-Yb`P`0+9y-#%scuJ*eMrERm9oGa|U5_;EBHtd0p
zs`s3QDg4VdZXP%E@_Quh6}G*|EA0DcvGr5;%$a(%sA|7!BGZejCAAq&FOHTpCvxYQ
z<aGMBy-nPl`1^*?tn)KVzbq^~Qnn-ehU|u~y#WoWJH(G=@!l1)Ylw2%F1_sl-{EOD
zGH=M0Np9=E`;eje>gN3qFY@*Ga#$slS{x|)!n=F*)Z%H0#b?ZN8ZFy&4X<yoJ!70B
z|Cx8U5VzB7hYcxuCM;W%^tj43BMhn~x^@X%_}hEkJC0jBYv&%3cPpZF=S!5apW!Lr
z!*ush<I1I<j1NDHNV>t~%^RIGGqE}%?}lAiOEaI?$@hmDSDJpZKK!m>>O-+BTsyVW
zx1YNrbN4>iZJuP_*~vYL+&yMForP_06Za(ko{@0F(?{!u<5|PY8?G+>6!z`R)^pcn
zKKnK%#wGHHCaV}+++e9Dz3qU;;b}9nZpbZ@+}8iHZSIjCCkCs(UJ2VY4bD_*SBGtK
zVq#Y6+Lh@h(kCbAno!^}@rTKx3JIaW8lGMaPo8cKO`d*@%pL>lgN7$c0$=|>(Q(K?
zbx}c1uLe`JQrD4Z9gh-&T|RC!T%@v5anX_uc8ivLY`w|ZIB!|^szkef4W8FZT*q&9
zC~Z(%<guntL++WIi2Q0LFClGZFD`8*FR9aK{XD)H#fI>1)>&kr)2oq{*{Q)a-&LgZ
zsNm7Vi#i@9C%SkhHA*gMzqjnc;(kq)i`PYhUZitneyP{4*3OgNC8}=l)z>LZ{NC)F
zR}M`Op5gXt)hdP3zKKip44ST}woTsEp15S)Go_X4hAmfue@wY@S;B|6IqH^1xoI%_
zM61ILLC;_KzhYuud3Y69&m>i~z)kI)Q`Y3MOqp|}!pkpFMs>O2x3h2FhMm+3j{crj
zxAI&{R@>Q+Qxm!080U2EZF`&8pZNQS(RU7|4OdIMU$(hhpD}yGcSDwM15fech}5jz
zJhPLRC$9E6yFqoE^tSfgwztXI76%l9qmwGO98Pb$%Q*Wm<Fw>NiPb)Dxeq_Pk#vJ;
zHcxcY;>7A32{-KIB+FFSJ)dXAy6x1JJc*`LSMu6+i=<?E^SZ`z%=nmQ7JOP$D_A;L
zW~J;i$ujmkT;+S1xDQ37X8q=!{dij<_Z`EW&fRTqAI?ksy+fYQ>ckZ>X}Px>PHeD#
z;CLf!=B>j{2i9KQYPY{3`gQi-S&79qW;u=OZMq-lCRYErx1rX?^o>@D&K>rs^mTt{
zg8XHe6S{uCDAx|2`RyBbeX|z-wCdWgTkn%@FvaplFPfcLZIQd>`QrtN;SU26k3^?G
zI}~+zvFtU8GIklh{sPOi){V2c<E71x3my)8x;N3gCi#Y3pX9dw$8E8l!fmmwlUr9V
zcxz^n%8`{P!%=QHLwYr@>-pZ$)}0druiSZ&F0)cLOsb514ky10Ygo(1S<>mevmZwv
z7PCmdA@rR0_F>k;-wH2oSY4obr!!%lj=9aP#F>fZ2cB+N`|Q-z4Sxew*X{dRbh6m7
z)-dw_w32{GpH)}mS;Fft1YFyfy86NQtZeC1_89@~tJioucx7F7>cZpLzv`A^vko|j
zr%60HB-0!l@gP`y+KYFG<l1&^=ugy}bEH7rFR_Jtx#6eS-!qE7l)PC}G%HZ=jKQte
z(3`IgF(t=Fh;G$elNBQ#HnWdg-SA>-aK(o{=H-Pa3+HluDcZ8OYvJj>ZK0_pM=x%3
z$v=Mc%Z1~PwO>jvsQj|b;QA|T(#!b%Re9L+mB0Ky+;G@G>({f}Vn+)8<^KI%b94op
z^1W;IFU3@KGCpjZYIsMH|5(Z7!!Pg7$osTzs^Oi*vr|@`O#G4;V_tdxl!V*`-=eEe
z0;<dx&aTNw<>`N+)|yqsv3K?rU%PEaZR#bHgG_&F?w!4S_Mc;?B)(npty*>RpvfiO
zcbgU4)}PS!m_OU`iQmDMH}jo&*iU@>()rT;oyeT&&1OdmI&%EyPi=hSchJ)^$5~5K
z>GtL2DGWP<3*7o{2pkUFWLs<ZYqIsvU3+cKjuiCdoDYBdRju6X)wjOe0*5&_?Ol?!
zUVmq>*Y@&DZ4!PH-!2WQ-g{#1rCob3n;j`=%h6wZ>-Fcd0IT%QD9x|)H>wuceLiq>
zilp8iQ5&v~6Pz`!uU7dfmG&_&(JN@VqRKaESG)6)caINMPnmb5GRXg-%*y2--&P+n
zD2Q3Yw|dir%^}8Ge=VF}$t?GbeUKeA-NN;ioZqBf{lZJ;9Vra*e<-nX`A1$;9wzG*
zi2<F516N5-HI!L6YvK*h*lfA7z)k&kr_4Db=jE5EueyBW+qLFi6Q+MPcKiFNA^W|^
zYM$$yQy;S}<hoO%USqMA?fv^bt|xi6YtDSkr^<atciPweQTyFb@_g5fjNLg!=fj4G
zYyUU>6jU{~S(ehLK1Jt4?8Y_!zrOxH<;;gElPs<Fd7ogK+m-s)Z1=e-XD+l(vfLH4
z$zgj^<bLB^4prkdi&Of}YeqikPKw+=Guu|xIA=jhU+)y14J#wA<>%k>Imr{PF*8|P
zl{-g!+E;teyM8BmtTkpP>#B0U(VF(vUi_}_NuKy1qvLX3VmE&0m27*pa&vz`=sLF*
zGQT-PA2?imxMSXXcFoAt$SG$EXG~%(6FY77f_2IngVsrw%Yrr?*pd`^{`<=*XAGJr
zS*8VTI<N-Bzd7ZMLHi`jXF;0|>;dsBUAx(?f38(kEnc%ArSa*}P4nKL)|i>7samYF
zFs1Q)SE}uO@nECFN?y+*JWeukgZR&bH_duKWz9NAFENV{o!39>CQJ$qbvl{#Dqz!r
zaF7ANH6o{`Oj+~HT9vydvZVal^zY^^rwsOIq`mI07Fx)qqqFN@%e?oyyBA&9-`f~F
z<;=#(lS0ixbzcA6H+Pccw!lqM+chH-LIcl#oe~pr#obm}Tv+RPdW+VEB_3BV7iumv
z(oqiSd)lJ4VUfqx;6l}fMr)Kp`mVNUZCK`U^>TsHLZdl4QpUEN{er6wd{hecKe(PV
zH1%N1slq7%tbQUZtA5Q@3TeCCa;mT`fc2c%%Bokj-Ya;Xb4-0~vydysU>>K~zV2L&
z%a>E`N0*%{IPPe<&T@sr^zFGJ{tMNw)qeGP_2kC%#haVCO11~>y;qZIyDqMr&syX7
zcRsBTSG>ONd6&`ab^iVFzS>ZuH#fqc9tm6Zyq2Fm^~K8tGSk-vzWn-N+tgR354)O;
zVoC%0Uh`=c9QOLUtMIg=q@Jb5@mO}z9qCJ}Vt2k@z{4*y<?+PkRaPH#|7IVvjEY`q
zXLSC2>CHJ8`Y&&MZM)pN);C(FHvE6mmZPnyvn;>NyY0Tc_{dE@?pu{Yj~Cy5`N&3$
z|JF~VWA59F?^NmtZYj0}F%Q2~ZZ6wq{dl6Iwffw*6^_>B$u%X`-{;uXT{vf#Zc}&R
zoMyW9$pv%S4efT#{p~kD<ia_|beok6=3YKmcFEDYJgKI{di(v-m`^iLf0%aLb$ijp
zQk8i#McZTdKAIW%aqH%}J{I916Q|F$n;BVnLDD}hY);{}$umV|=e|uzbM;^DpBDC}
z|Kr8Cb4rg*o+%m&7EK3<)`&h{eEa7$kd7L85cAy2$umW(=YC8{J8GG3Ju~v7#xeKp
zpD&c2OxK$)yRO>x*{huotR|f})0Mj}uJg<qi}yL|#@c#Ef4nH$wbSWb%$fdJ+4C`{
zugB=k^sZd~+F_>lPw8;&ncP2D-ge!7>164GGpT!yzg-k<`OGTdnbNy=S*pdn-wSr8
z=smofCw})7XSmKx?jOrQUOf84yYbco=X&jF85-+-9yCo{J1ZpgTmI|%8GaX6h2>^t
zUHxaBY$H*&XKj3{bLEwltM2oKzWrbJgWs5ILaNjKSBH3_@0qo!^%Nh>?<-Ecks>KC
z*0TSiqomkvrWD6N^LH8?7K$#=k^g_mLcA??8^_GP`kl>2Yc{Z+X#Nqt%iyq9bb*ch
z|5p~`ZKm5ecJ|e;Z8lo7nN_3dhkbcso9ng*E_VOE7V7ax=LmAi)`vf~Z1R-cB~d>^
zWRX$F{>|L0tNzP+7Z}OcAGZ*1TfXhb(!T%I?5QtOxi4@(l7DrGM>*$U<<B4O$9Ed=
zZ0_B#{IPv{_x;Ns>$4X-{z#Xd@^DdVtd7q;Z$mkr>AKy?2Bqt7C>{>=&Y9U+eb!NO
zUGyU@pWD)gay)nMEo|midmG{X<lvmQd6w}rHE$nwl&sq4d^~i<hWn99`e&}+n|7l4
z<*nm<lE0#oPWxP|<2!ZXzEEZ2D!z`72@)BcdNWouznPG@L3P_1lfPFSCEFq%Y581=
zo@S_j=HkU^+)6VRJ$^GGanDn)Z_OvvEi?|hc*oS)eeyJv<C&~`@Tb)$&9V~)SB0XD
zI%;M$8})2FGWCqRo$|5f#AT{Gmh{z3lQlZC?Z`APrTLE+@D%G7F8ws|eD}wM8Tp)g
zOO@jj+IhHjH!f{HvD{kYu!eWc%bF+3$u<)EHn2>;HnBdlooBc5#+5AlQzM;gQ)Bo3
z+m~3J($==}RD$yM$mUftXIdqf?KC(ZBQ7@M@gbqju{RFuxbL?xvs!V0jeTmw`vr3Q
z3IgQkTW1KpmN9AF$~Wtnl=jv8@6MD2v`JoD$Wyl=c@FpL(lf1=ZaX&|$Pk|<@%WJ3
zF{@uk4evU#F0)#3M1=jT!6nC9pP~yYYE~Is!ZIekAK$+ye=L@9dQ;f1*_>17>~Upk
zo1NeKf7Mn7A&W#sp5wYLk7u-U)Fdkkx$7P|TxONgw?0#$ppQ-H{-pzlBc*;=I5(^K
zT~=rfHt~48<YR4Mt?%u&2Ya?&`#(>qarXP0numM89}=%<S+(SAJKq|kIPqsMo*iO}
zjg45;&0YQC%^^FhodrU&u@<e%*Cl#Bu0B4aD5m_)nX55{iJ`SS4UP+oi&eZiB(y#D
z#$jdgZyztTPOf-xNb^qlLz8!w$3h;=N=~16AXYqW$K#A>@KV)B3+(i)GlZtgn6yUo
z&2l?0pEkec|CVjfl>Z(uab~{%>C&!GtIRmp{3@KofB2C6Yw>WaTA>>f?~iOyyw{mr
zyJzx&BNghMiXZ*t+KyTOln{GA<;aPO<sxoJt(O{FeOptorZ?APt;pj?b3<f*{x|*>
zc6ORf<+S&gm(F=P&-8Nf`?=X+3z$}_OikEbo#6Z3SuUW|Z|SF$xjs{){C|c{nh6J=
zcfFS_+*X`pJX7cY{;k{Ecef{B&@>D5(K9pj(Kh?o>bX(#NKaC5%gm$8BsO>Xa;AyT
z4mzW4qs_CMOZZXp**{6b_g{+2=^ps!<lj--I*+58+qNb*{)Oj;%@-yo9nar<dK#a9
zFlTLDXo8|dkij<7kBXTt3)>S?7PcpaENo9KS*U(shLeAXW9vK)W^P+UHjyf!FZy4W
zya+GR(qI!;J$!uOzE-)Wg{^X3H(TY}B3tJ%7<1d!aA<vTnGyG*({SyD$q88t)sIeD
z6nxNNrG}*4j~?AG0zP_HAq}0bV*9r1ZgP=`Pw6yVcVbdP#6;DjAL3Kj`-bV*|NZd(
zYwz42^|{_ZzGSz%eE4_$=Ig_4M`nM?kC8ga9zIFreT>jy_vs=Fe&@>sb;#*yI{8l*
zSv=j_>%wi-r7s>&nC1QA{Ui=Hi>y^MuRls#?zZ9RR25hI=|?u)Rb`EMJ;`ZJv8Uj*
z-Ag2-V}hD`^)!zzyPtAh_>@q3?yXXhwbQ~Mt$)k4-g#-RhZm>+)MdJ!Ea&S|{ri&^
zJoeyemhoyh$ftTlpk3(URAqKUIm;<5{jy$N>SnF^)m|0v0yyGsta8^-tts(jnJ@D)
za8HRwP5a9LrafgEiuWw0aOBH+^_26e9;|Mk^yu*VCv{<xGXG<b)G)kR-cz*6?ZWr#
zH(M)%wHJ0rtGsyZGj-AC;N%x?uP}Wn%2KSd+R9-&C#vV2pRS|%@@b1!dp~Y|XcwOQ
z`QnEQ>t&{@wH>z3oA_XxacEbwU+=?);K$Y+%f%jT3hw@JVCB&r@2?2mDbCV-7qul#
z@Uz*kh?(jsy$||=7gs#FQc+);`G?1@AdBVuT&bhB%a0X&H@~U6EJnD0<ww1!eHL+t
z*8XW)<g2#hr}jtldoe|v>3ggWX0G=uJhj?*&X-q=eidH@j_<8%NshBUmbiXi!lU)I
zR`>V*zZJY!#JuL@XW26~@t<nGZ#u9#Sm(<tp6)$W4XSarM}pSNCC&`*-*{)Wdc?!4
z!D~K->K`tR|N1HRq*wf>8uv}7rq?uN@7pK*XqA6o!BO?&7LO(i&-r{(^IVkoy)VJX
zH^m*w%05{<H{tF2qxVINUa9hYm*#N$!7HNtm#r<Z&bi@YopXm_opZ~^I_I9n`#(tu
z^?Y7FA!*O{Wt!J2g&!mzVA<c8u;RXh;mQ1j8E?#4W;`-)neocpWyUk}BQxHatIT+4
zzGTMBwV7>S@3H(SFgTsBFypPQQv+|D?Gd;2a*30}`#0WPtv=)R)nJ~>;r*$x8LDe8
zTzAx!I#^WO7;*K7!YeV(Z@XN(Z1W~2Zja{Ka9X$R#!E5fHwE2>+jbvixqT<8>*%>#
zizA->QG9<l`mWMJvF#okZ|WN56m>J2?K&zTeJ82qYo6gTtL-xq)<!?Mykqk>iD_2#
zC#v6MZ9kEopT4Rl@$nU>GsRhg&#bpfaL<iua`n?a%Je!!Z;Rifw3lJ8nd~NWZu@)e
z+VkR_Eq~+YCQJ-pKI7q4$+W7k44d~<b=-`zJtVVUE_q$Jzrp#{%V)e_9oJ>LZ~o_h
zyAP}u*7@>E<nmst!%6GUNpA{&u3fVJT=<K)x$7_NwTfR@{Z&M}_Uh>khrbu!I~ZPB
z+H`f_6XrKxPAZoDe8RE2wy3Ak&hnt#{5eVMcc=J1y0|6y+D@D6oPJT_+PYPo?0?vr
z*48l}<=fwwxck09!tDD3N!|Aa5~tr6NZx+`!1?C;2hO)_U#96-0g58K{f!Cx?>lU~
zoS$Iv)|_R>BlDIW&&^$Syf;5m@xWZA;)VH=iYIF`+a80W=);YCg^EYEP7TR%wnqZj
z%RTG}?=QTuTHWHw)nJ(``gzm!gNr<4`f6T@anAc|RjqYeX<EUx#X>Qq>OLRu=o;-Q
z=w^)DbyQ&eouroQd4|WNx6gR6Hrl7~x~AFmf^)h;bIPyH-_cNacCpZ$LUo_QgStjB
zCEbkcb{`cmzmwE5JJ0Z#?)DiEwnh6CKEHmmdEZWrcg&sBRrUU!(h`W-!ujanZ|<_j
z`R(zk@`|gu7Ny7h>Sg`>rG9d)ZrDN9IU9<a9*6lAo;fAicZ=`z`IWrJbGAQZc%EZ%
zBvQJs;8<JooNW(T*mEt8p1hy&HZ<X*y`ezj{Cx$Qs~@RMe|owqS^xA?#WlXxXD*r>
zhfZDIaCE<!@sZ#61ikGl*IVbTEWda^FWT~;uJ&?^gr_{qbJ~|$q#Wik&$T#^D$Q4T
zv`sl?>qCj_ITi;?rTq#I7yX-c^#3XK6|<lIF%3U|U-k6Q>^#f*V%_KZ!AF12UL5cE
z>*MnO8tP}QA4dGu3+Z+<cx!&^K;Ts`i6>&5$1H-E&Ukf2VwuHOhRJiIIz0V!54kKC
zOKuAGHn_KPsl@Y37274=Ug2=tspVTTK`(FOg3ZRET@(F!6I+704eqRLm3VeViKjHn
zu+3sCOY_{Qu66r0|L=SmBRrokIpT9PGh4)3=Z{U7qlDQa{@i{uyCqd)pQnb<-Q0zY
z-~NQX+tM`6e$(EAZOm)TH=a1ECC#_tlEoqe{>>K{oO!kOy*EGT#N1=P;)mLOCT`vh
z7ZyCSu8P(%Igr-em4Cl?(*=gbyjuIh&5t-S`<SlyaqNx^H?P6<1&^$!dh41T;A-y5
z_t)Eef#Gz$wtmnl{{Nj<ZQj?GH70z>f2y9k%87HY440#SyVJ$P3k^!jH5hkUP7#Qe
z^=eT+H90v{)h+#azEDlkAGM>G??-62Crz+5+_%3j&A%sq&3tQ-E^)rrhfAF~Kb%_F
zQt>uGX-}bs;XSJ<EbnE!y1suql_-(1US^-_zXboD_pSD~gt-k4+_Q83vYlJ-*UeUm
z+P6+kc4dmL`A;+dPTSu8>eKPGqpI)STjiRLpL)#`=Fgem<;%j~<tvik?aTAN%a`$<
z_-rQ|O*7XY5jkBK|88~)Zjw8?OvKh++e%x6pL_F>-^J?>E&jOf?(Q2d7nk2Sa$)-o
zkp=M^JHPiQ1-IlKT_z#l^;*;M`lBg9C7L$cJpSDM$Ji&GYjuB|d}{fjd6Ewz7pfom
z?Bw4f-a1c0pWBu*PP8g$PuM+vBU@k97>!K=bM!4HRoK6XSlIp`V`2LvkA>|I3l^#$
zSm@;6(cC(ZL!H~!P*1E%C`Rkck{vN6S{mm>K}`(pR=K9tt#VzzTjkoSTjw#9bK5Gu
z6RA?Vqxa>=j?fpL1)DESe&lv>Wr9WY6dw7Sb>dYV>qKR>4$M^Y?zrqajbnM2jv=2&
zq|h9VO-n5P-Tr^&RlT@a;nyX#|MHs(-~NwYT`%<i-^S#-{9A<oi>uWyThM0q*5Kvl
zPw}hI1}0nkg!tC$Urk)n<{|5r*>Nqgr7c4CShmKB!xp@)r4p+buHZ{H5xJiDCH>~K
zT}`v3txd{~w46UxAMzuA?ydhn_;0N&<(wVjupy9DL|Wtk_nv>7Kh3{=)<O7MZP>rr
zhb!+~cqT8B%`2ARJJ0>xEt9LAi{D=oJm|;!k@?+;*EY_+H~vL#Ge6_`bHQyLlLKLz
zyC2Qyo;o98o>1_a@T7h6;Ys_1!ygHBKbi5o%rox2lGyFALeskKQ??rE{Lfr|b=THE
z>`p!>%n$#1f4crrTC3wxxB8`yN8O(-e|@rRf5wL6Ej5$Z{h!x)T4*(omfcnR(B+Lk
znprP@NjVxXJY$jb#*^{?BEQA;HC$bPy<6+{r^vFco>NcNH{>pG7M7g*@`8&To2ALe
zum2bF`TkMuP5hSAb$RPeRl_rzyca!czpWa$)cMV%6OR&hs}xxrP&GXBW6huMd$ogv
zpLxmZytXJV5lQ%#{;26||I_UoPnpm8!6}@a^ixkrt?p?UXIZVP-r~Zq?Pm5SrCHi6
zA01a7uix@O!rWKK&Zp#dTk@O#i*Gy%E8N-KA$2!VoWt)=;G3(y3tJBJwJz9iYuNR+
ztTAzVEXRi1dM!7S#f4<HaBK42WI6UaYesB`;g|kLay@Dv<V$|vIhI`V_m0nsKjEkD
zMfC;zvrcWcGk+bxq1scf!P5NJec_A@aS64XtqhajI(2B4DIN^jJt3*dm!l%}>o#t~
zs+%nn{x8}c+i~%xTE{%wV|oHT+pZ?5y!yU)=~u4S_u{$g-hU=e3jDU%pl1s=quY&E
zfx~Z^^1I6#x4Z71kkAq9VR%ig!+yq<<9Y&Lwq6ZW%6i?qcAHRObnKhXz%QG*dH%k2
zYG5o=JmRo>!h+?o9v2TQ8C=}P7U`!aoSVJQS0FcgUaZ25{FTCrQ!ZRz_+ekRe@{|l
zY(hkaIFrsMZpCG{TR9HDb?Ol;Q#|Ogd%~jSARQgC3KAKsCl}qWdKADh@0Rdli3D*8
zz8kF!)o-0T=9VcQRNg(|(KKIy?vC`b#s@pswyf0nE!pl=aBZH%QSRLbK3vjc*^wdc
zG-nGpr{B$1k>$F^i)J{TkF4zZJgtRkBg@_dagJvZSuPx^Jw+NUm)~>-#%$u|nf}(P
zLAy-xNa${XhqGck3{UH+%*YfEI<tW@snqPMo`BEBtDcpmW+xX4_-s>mGrX;5(6f!3
z@z{-4f#kPNErn%@$0~MDNN9@nFubUC<d4McH%>jh)55f-Y5ZPebIgoeyt+p@%&*`|
z(Sv|FJyXjX6Q-J~b|r_ME4XutdCk^O0^VzE4h3oVZ#;8KSZDhu5pA6qpINKd&PnK9
zs&p#&S?q3yg7dS4jwWfRN2EVxycS*A!5b!*xOwXF8%a+&w?$QUS&QlEY24Xf)Wklm
ztHYJ|>qc&tziTuDZMGD(g@?&K*gCa+N8VGxyokykxv+T)rcYh|;_vYs^EriIt&W|n
zQO^zJ{8p<b_qS+;s?3*@EbKO!!7|@h@Eo_vYFI31dPJ~))`Jc1Pj^S8Pwbe{`|bA=
z3H3XhZY;O^bdrVNUf92B_Y<MKiqfXe{hw9*KOQJAY5Q$wdBAqQ%%gSweFewWkMDRp
zSvcm)NzJ@nPd{DNDc{;*S@-AtoUKvwUOulXZK~Y&MDy6sl(vm_GuJcJ6m?v*vpl3R
z|4ev*o#nxt`E!!`qQ$}^pZ`v2Vtp5t`c(7o>d!OpPPaMgH2;jjWp(z5H<N#^c|ZB*
zllPN<N*z%DytKjpnKsjQoo^n?@5DO3nc}zGeZr@@mF>H)o!-4{-oC}bb3V$>eYnP-
zui%_|yT$v-$}t~K8s`0c!g9BU)%)V#@^zNZJg@iKXgTJWUs`mE{bx$k!FgLWe^rFE
z?UOTg2=A9#wBOy=;K1T#5-)UwM7{eI?Peyd*v!kdvnBkTnNCU5^>fC)M>i$Uc>jVY
zzh*z*ha>9k6|W{M+k8H0IPdop7X6x{uIYJ^J{$Y*ZknNT|5r*=`8zLt|4D!EsIRs0
zd{=mV*9?{Wzx&R8IH=D4<Hcm>nolPMt$uG3TiosMS8#KBMVI%vqsuJ5<fWSnx!-#l
za#UyY<;BNtJPbM7d+e>;)P&iak2G3b&8{`N-&A#J*^O6$l3~?X7-rjMb@<Ae9<1u0
zl{7b4bh`4kijXGbbFVbwXIZ?R%;{HoX_>|AKuNQTD-6=MTK7w=ZZF+YQ+Rf<+KyL&
z!7+ual7g!*&D7qw)%Ib?(XH<v%S}yKzj@K=@PprfrZl<E+oIW58Pc{`&h&s_ztp1*
z?!5&k79X>C5hyJ4VTI;5>(Gr|a~=kAF0)>}X-}KX!^xa#6_=LHcorzRtm+CwuuWFS
zNjcMlD*dyPp1eP@J2-Uf-~HR)UAS>)<<5os-roQ4u>aMS>q=(ZvSY7r6MX+a<L}4w
zkFt9z(*J)vfA(ho@vV1^a`OIEFW<Gx@9k{q`WGs49rAzX#wyNu8laJ8Ez)Lr|LIe4
zueg?D@^yb++E-Ltd|Df=YVj(t^8QaV?Yh}zQ=PWu{Z0;U@9VYS_WlQV)t@+<t4SU9
zf39rP)%)jXGf_E{cd1a^pL-1~=Y2gso@wFn=RG0)Mp#(rj<Mp=T45s_69wIe6FE8M
zW=u%BEzfh}!<~j6{TkmB;k(tAR3tmKZD-^0sz`Tg`mVO*M}kvZKO2wtj})h-bM+<)
zuHwQ*C8miDd1^ct@3sh@o9*6Tc&<TkzQ2#hhZ`2|g87G+O@7$H$t+`**s)u!r{Hjl
z;W}vpy@#_nIrU~wc+|xyxlYR9;oHkCHHJw}UCwGL6-fuSv@BOssYr9`nyr?y<7@ky
ziK}G7+B)9t7eD{ObBB#Z$z}h`_kTXPaJ7Xc*2m-G%@&^dUl^aiU&^5GBk`!2Q+bbh
zVoy2S6XtW#!a{ot6^~{M8|^VlY?!Xb^YLPfV3lO5x?z>{1pcI2ACC*yTSWf)oVakm
zg^_KB!4U^xH;I%(63x6P*pDfysYqlw?fYr2c(_2AO(OA-fUU2@!#RN`b&kn0e>(VW
zCub$Qq2keC;ZJ_)hZy>OB_2)WRJJim>|tkn!u+FF_!H;F7Ljt_69orb81rTu9MKha
z+mU%l!kp&>`-j(RDm&7gx>l>D>_|GqFx^+;(In2FF3E=^R`-Y<V*enlrecxjWOs4K
zg!lSBC$@{KJ=x7E{K>b4^XI*T4K+a)2~JJAYD;dUJGJdq<JoY$MX_wQ!67~2V>dD#
z>@L1BP&`;B{ORaaPRVVv3=Vh-f9ky5BKU5G!LhgZvnPkA<`=x=zx715jCIH2^CeTa
z%fI`pxSM(IW4^CzZ<}sgeYkeE!Z!x~H%teecRLhb(KDFy!+D$OgjThWS9eD5->%JK
zF3WY4xBXDT-|vq+3p@qy3;x`=a^Xfk_5TyzDyOX6xv|vQc{X3E#gF!!XwB93=G$N1
zh?wU8x-{x${M?KF4L2emzLDP)8-6=&-{s#k^TS^q5x!%8rSr`8%=Xps9r;}=fA!m6
z`yXLgc=)4z=YFHNMjt{peDQx2D&N1DFZkb=%GKxROyiTCeQSGu&VeWPGb_)=J_?!t
z;gyKGZDj7{b<WArZ@xZhw%$}@^W}=?&bc<f4%I3ot~i`rFT~>i$8&;}%~$n<+iU-+
zWXQ7pJ2{)>u!Mgvr?afv@5vknr}8-rFXeX_94d{HuBgw@d$=N8-Qv+zO}^^VHs?6o
z1CHzE9yNsbZ}{&YnQmSZ$9&`a@;8eb7k;`|eMWPe=EI(76^rLK8PfZ7?4JhyOn4sn
zv*CH*&jrr|nPWb$P+Yf*`-pi>-o%HSqIn8V>bC88A*LKt&~12b_feMgyGdP_{Wf;K
ze{)C4(LDWc=k(RPt3#UP<fblK?w<PMX(02LZz~jk?cjE~cwN`%OK~^juiZxlnC~RD
z=;aw6Q`kNuVMDZ!;gLx0v%LEgR(xJ=Z~mw=n<evh$bWM?#l63kq6J=5{pL&JsC@pb
z@;4u^?^`LuJ5x7*ll>v_`JO5JmiHHy#nw6}+uB)N{=akQ!{=o`UT8%ZxA*;cBK7a+
zBDXbftm<Ey3Y7f3H1Xh>1HXM5o6F}gvAcd}Vt4Lma&MT&+9$A=OGdCt+#>0VW`W9!
z=m#Db&&R(M`TN)Ng3!HPw|t)|?1+7^U`OzSgdNcjI11Ju5PVeEsC=lI$-SqYwU4Er
zOGZ=f_im=cy7fY9T9&i&HKqS+W~q2*-cs?<+@<2B`H_mJ<|-9$&6iX>)=sbFt-HSU
z*I5~s@_hwwyo=m9w|DEXeDBf``QEMb=Zsk7k4nu=AB=-j9zI`o;?Q}&iLLYJD{<R9
zvwrpexJ^OOu8pTSSGJh@VDq97jeFve5_TeyOf@>2T7HD2bY9rG!o@I6G*ak|#-=4V
z;x0H#Y!g*E)INEwt5}n+t60}rSFtu<*J%vW-8zcXL?e~f=x;g_5uW0?VcUsGNxqJM
z*&|{ncmy0;rNn*kloI#RE+y{6pOm~CY+a`b?C#bPEEA1PdZV*R<wih?r{TH<|D1dD
zJydiOohG&>Bu#8h3YyrOm^D%Lz!oL%j+d^}IE=e=4AaCSg?e;1EtwG;@L%wmh>Flu
zgY74r4A!4;GTeXS(1ryH+YQCUEQEBl3YJ8?iMjUY$L0D0_Gw$*EQu=F*LZq<pytD8
z;&yW%w1qF<@$RbRx|^l@R5j{tSj_jIF`w0TZ&|E+OyS=-VRdzfzZOUR`<Z(EeB{&T
z*JJI|pU#i}qjWz_YtxDcLV7z~n?A=skxmHKc{s;i)#7oW=DDhnw%hZqja>^*>l(?F
zh^rs){jTqPr=VMK-R`3j*Y6}Xt<Ez%D!Ti|zKuWIg_iAfZF-qEF{v#&Wya4+?_{BP
z@!u<Jf8SBqSL<x}-Fp5x1O0lQHFq4f`-`@|3%S41`L4gL*Nz`cqg8h#gi8O5`}wxA
z->cxxy0qiA4+A;<p2@ld9eq3R+T2@Lx~(04&bzB+zU3$TIV+(Rt5pncOmuJ+<K+2e
zv^w<WL3gvd9<_;wcIqEZH<@eov)?b1bNaqB$Fg^O$~@on+M;yoW1bS#z>BUz%%8kv
zW<H(%tM6>Cb?J|#v3o0IHY}7~SH)|;_4wxVn<py@>D>>SEdOHnx8G|@v$B3JoV)tx
zVjJB>6-(Yb)ph$VV%e@c<<X*6Bb#j(81!|gycg3oImE!-Yj|PFqgGjNRf(L1BF;Kf
z5?8dcNu(`QY>Uol5fqb3UgG()Y}5W$p`L94U4~+(46Zpb_iPT>w{Ob@4q+XWV=j|F
zy}ho&tvVxTp-8aKl!e``Y!#{7?Dkbx<t`Mw7m?AkT}`w<AWGw4HTTjV2@84TbxjVa
zbMs!jsUv+qVb7L|<Py`pHI?_zI&tob)^O$LPJNNNkg+N<qvM{K)WZJOV=uCU_Q||U
zU&yjpXG&6UtI?XR7Z_gam>j9%?%i<EDLKa^Gv<&Qx9W|Yg(A^9QxaFVeww?vwe3dU
zLcy{Kjr+;7Of(axw+g-47SLrYc51_QC+0VsF9`hBH95q{-MjI?lA1+x(iYa7O<7p8
zF=b)R)RcvcX^|NnH^oks7m7(GzmwmjZ?NUUsU;Pv^%g5yr!PNz+HJWg|HimY0ZrXv
zUWEspB+tzb(Rrae<-wZPpg9`@+Aga-y?k1eTlGf%LXpopQxeyC{xmT-?!=iEt#MR`
z`|0wVOKNt^OI*0;XN1O46YkU*SwVJd`nCkv<wj~8RpCyZk+G0bEka{{ny$$q3+`US
zYfEY(WRn-xL?$d0S*$Z9v8$EsM$SS-v&f8=UNNcUX3w7+cHML0ycVf(G>SVlB00$J
z&)RJPO+UrFHePa)44W~9Klrrnl!TeBK{{Il+En*nZ=Zb1x%KlW_q!_hZt#8Xy}0~S
z#KS9pPVN(%XCJ=f!m5tj=euRN4koobZ9KBjK<BUXw=?q>nRH5rb$>q2SlXE|(R)$E
zi%Ak<Px3{!dOUIpdCGV=^`~-6*R@YA4?q1`>EOD~E@{W16%S4sw`uo&4y-#-5c+S$
z(tW-6eohTlxUrD?=d;S)u?jcRIBptlyT#h1yXwM)NvnU}k7R9P-8JEP*235a>E{GC
zCHrjTu9@*i@Al3xmXBdk&X>=>-4yWVTA}v+JG*pBcl=%YBWcfRrE`AScQn6Td6-wX
zcj2o{OD|i#XX|%IUSX5@VV0e|OKZ})<BId|G#p-~v+m-Q?GJLbxjQ|xOhcc_yBh07
zt33;^(3<utwa-s>_AQgG2QUBmG;vY-qsix|9!{SwcPU8C{@i*!%_7sc?ryi7&L3%?
zrLphqog3@+mEJZhRqgqEOjGF0FE`E7$eiHs!Nu);Z>4XWZpr+9U1(cvbb{iIf4OD`
zC#F5S_V(YkT`jVHy$Q>`AK$$9^vVyWTa%WmnO?8%(qXCoyj@+@`*ilqV4bbkK7~C~
z+3sQZQTnUTwwTkW{H{G)zeWD^+LX)TS=uXOVzV+;x%XeX92R{tN;!As)HNsV@7`4^
z%h8JO)Qazl{u^3WcxqYK>FCul_WJSi(Jy~h)|KY8PWxK5HcG8#dD`AZ>5qQAzml~w
ze0A!aYlhlIrf)a8-3r>;^HXnk(~QoiirxI%W6lL;=ltDvA*5aR{;Hc3*zE6Fc}c9_
z$`h(C@!j{^h8>~ruk2d)QodK!XT`yz?zQ_@uiKb=`Mj#n+JmkJzUQ}>y?QqN%B!_6
zW>&0NGH(~#*DJDmFEdv!f7dZ_Tgj`wX`505)xy^M=wG*LDhjN+8Gcj9UF>Ml;+CcH
zsp3mgSMCvc*e>##U+0ok)w7J8>C$5LsVQ2!Z|yyDCgiKrcPr!I(x*OxYs`&9m#1Yl
z&(wUlA}#B2_^SeqwA<I_KKUrnzc1`|Q|80ZigI;p&wQM@df&_CWwO$<Z<}ouTm6*%
z+7y-Z_EFn)AJ*~eHC3DL(0F(4LDG)kX6*xSrD7EGe!g<lsjjrW^JS@u&C{xg;O6dx
zQeM5TP}3a}Vb>mTZCJz>-tjbk_22D}9`Ww-bzWQ{_5IlOtncT)>@WY(sD7nE^;*^w
zqxj9He-AAGz27Y9<MmfZf<Ky={Rw@-czrE<M&#c)A5OA_?>E~O7W(Y%-WF|EzNXXh
z(sy^gd2wN)zL8!ecZ6WH`JeUktxDD$KDxg5-f3I=Et%J6)UJHgw3V%8q3`ahIsb26
z+unLAz3G|!4}rd!-;_<v|IOw9oRnepeXHTGE9uTJMRV`1_j>v}?b!+Ckbmdfj`1yG
zjJfpeZ`Z@)$K*FF&)<42-|~c<+x=v|`kl(&k9)qVbRSjnU(#~fZsvn2rtC9g@|e}R
zWmvAS`5J!j&^)8(%!(gZz5JaXeYeoHq~B~$`In!Y*S<Ph7o&3L_R219fxZ87vZqcx
z&GzDF`fX3aq*U|!hi96+pKg&i*QX-%gWbg|F?rvj*YNsz+*JN|_g{{+`XPn3h?2jX
zzY4_UWnPxwvb5-`5}SI-M)8e0|EHRFor|0MU~*}~>C&#_adRIwhx329x4OOJ`BmjT
zUtbyCtN6;YzP75E(|^rhv+JC4)umnTadRIo4CgPnw7UJri>t~tpI#YSRiB=}pu03g
z-28Rr|H!=eG5e?7?~6=)YxAgT`i?)_FD({Yv#G4xM>lc5zuJxGlQqBnN@=s5Hz#n-
zw-r3uHdzhtz#}eF4>!2?7M@tFX7M60Sf(J%ee#YPtBY3IDMklb=KC1lQ#bC}d`frz
zGO6ENEz?8N9>2U5w#`*=^2ZnN&qS`U;SBWoeQwU7E4D|>viNrDT582t9}W2`HFJZ>
zm6f|Y?$(=y_0DpAqg3|yW`t<r^j+N%*}k6lUEi!Y=(=GqoA}XfQZYQy*#)dOf||9D
zq{Z8&?C$X0Hzle}F!{{YT@RHcVw<~@LU{GsCYtVG>REA6G--#WL~(ej_{NKGRymz7
z7d(8%waj%|nV|DoQ<Lvcc6abzW8U&c>Dup<rqX&dtME|0^$O);794rAgS~Dlrm5W7
zv`x5Fyzp|2Z&Cl2?j4DJZ8II;D6N~Vz0M~$vXIwAy!24eUDuf3n+^Y&q+GZA6}{SC
ze#fuK;Av%owQG5o?CRc;wf26|gttmnv$KEPnYgs@Xw{_XGQsJ!M)zMt7Aw40>Jziz
z(2IDzeowbV(m4*NN1?^{s_rd~J!1K~@_e~q`rK7FT<2`ub}C1_@K%gddQz%*;i;Kh
zZn)|gZ(r*)wOmkrt*da*wr-0=TlGT$_grPRZ_8BNzU8IsGnYA$r*?NFe^Uwz>)aEl
z^XU~!@LsE<BJ0l?++58*<H=QLpD(Wj)hfP9Jl<Q?RA@K%y;54l@7#65KHp!}+<bIZ
za#__^hTuI_9Vg>#57n%fd%SDs=?Pz0uAlMV=d}Kf(y?3C;i=+=$8J?>WnUL^s}5<Z
zyOuX`r7F*tlPt_NMy~bq&lsGVY}_?bZfatSJFmf=#cdML0+o5bt}tw?xWdwGo7Lrb
z?v=)SCB7NqnyZWsI?negJfUtZv+b1b?hfT=Q<tAwD|yDCTu|4%)Zp?RSCc8~ifyXT
z^cFeZb<Hs^7d)i+UP&zb<#c(u6?a_UtSw!>Dx`A4{^@19n^txAe7~~JJM_w@X4}ed
zRT<ZXioL)3d6vJm>nTWi&rq`Y$vUObqU%>D?SA!|F;-{i>fqw<n)R|yn?s7P|I{v3
z)R6glt9MRn{l7(5-~W-@$R53Ft)_8wZSd=7d_N}@cA7D2%WcexS(EE0;(S`=_cQIU
z?=ri+@4ffkoF0AugYKH6ZW|VeNgw?amBaHnYa{E7=x*I3bE0wt)2=6V8?F|MZkk#D
zZEkbUddtJJ!%nAtJ{WF#gX7)xq{TbJyL%tz>26~v&)V3!Beq-j(AuaR!@O%r-XEf*
z*B3{B<G3C@-{*th?yHkdUXA@!lB;!!_oi{`=B-QDDG8q`JrVir+0T@w<=^%^|NZ*+
zEi1$6pLxI8uJ<blk3PA&s<Qg3clDH$8w7g(9FvKEJ=HoldEZ7c<@+lA|BhLuY3+XU
zeVV3Q#j4v+0`Euor<9soKa&1>bNQKoc`fInmQD1@&d9m_OMKtey&3l6KV3?$&O5k_
zPj~m*$XnNRibEE8iM3~+Z%LUpP5<-xi+LSSt^8|e?Ctx^`!Zm=PT3OYeTM2ceV%#0
zI{0nX+IODpJA<G9KQeRuzbmi4i|yQ)`%~um)Skw^m=LjV%rRM&srT>CYdzJpsin?t
z=7RNm9~tj#G5<Di%F7y&kFP}D@3lJ2zFzLZ;&A?pN5gHp*uNRBQ8Kpqa*}c0&nE)s
zYl~X0+gTpto<HZoQh&d~<FWd_NsBk!-?U@RE0*7Ttd7R5Keyrbrgdv=*xSu-6=#R8
z+_bKCt=&}Zmq`|tOKmeF1E-e0P0NZ@-PR+zGh5h{{W{y*Z%)_q&vb@;?%0}Ze%j)$
zf}XW^_U(7m7A`uf%=TOE*5Sggg{eF2^CNFhi`6}?zi-{E%=6oJxoIyf)-`MLpB6eR
zadAa-cke=fU3T6NtEOFXvRQjn?4hUbHi`c1jj9zXk+;KgZ{FIrefu2U?AuG@uO}$K
z2<z@$RIO{)Cb#tl)0Z_z#TJF@ZsVxU+IUnVpj-E#!s%ZDH#R+eoG{<#Lg;SB2`8^^
zed~Jqg%;1x-$LJ>eBSz&seMjr-Xwpuio{5N(~$SFxtG@oJ}cU{?e4p)oMjPV(V=^b
zey=#;w84A#{)v%Gw!Y1)*8IHnt=wC+St{Fk%AV_ZyFXmHF8BK_|CG|)-S4xDb8k<G
zO|Z<3yqy-cZ<}qFz4*_Rk~8xhGjppC)%AFVoO~4an=?D|w%vB0rB2UR%5S({xNn>7
zi)lx1eVb4=*=N$vRi8HO&03v(Tlw9UpY|UwZQ3TfY2P;6S0`LkbF0rRopycO@wbsH
zbeeuB9ak4#vpKv*ZTg2RtA%YozY;mW*Xl6$dbtNn!}&j+3b*O{YBw{n*`II2MfLWG
z*OQfVzMV8Q`}u@LzP6}K{asb2Q1<QcX<IWx)ptC&s+m_&+LpeJFH$lnF6!Dg(UqIF
z)h0&X-nOT?;rX<!xy`$_-OY%+&DFhY+g*pN-X9;m?W+2is$g?@U0XVrKilgqtKWup
zsz?88xVkz2tbF?S-P5Bkowb|)=g;mpsotJK&DXo%Us)LW;gqJ{!==H>6;H2d#95oR
znfvJ;h+Z!CXl`(K!TFU(cdWkq^~Ba9>DYH-oMAg$yHfKeCQgm!*>Fp@E#k45@|u!v
zL%tiY6n-n*k$Wqs=XqNCYVg~$)*rP}zD-oJ35;L#=1Xw(zVE*t9)I@hnBUi4Rhw@o
zS^BrUJCgcUc2(7$U;7SRYFMYb_4GfLdsjsMXNl=YuKjawt<aiZaj{QMWc_Qp+U3N5
zP-?z<_0Fuwh3yGnzv~{ptY71KcU^LE@NK`fr<X6@n=!R|b^f-<lBTV3bCc$Wr{9Rr
zbr0QZ7`?-8%|3;d-7M4h<!Z);UWt?48~;LaNBE0{JEC7C?g*}R_W!gc*tlfFuXXcJ
zyA-Ur;ZnHc#*qS*|4(LTox0a!`znyLZ)a#-R$p~UlW^YFeJzvarY1~|&ecfV>#lku
zA=~$7_kr!XYc=bIe@qJ&kzZNT&0;=BN&i*pc8`LynxC5DO1oLYR~?QCU6WV%u0B0;
z-L2mzr|0@cRXhH4T-d(I`{70jtNQDkTqfGsmxdH?*~un;aGF$%NOX3AVb12KduwY`
zpH9i2$8B4~96R^D%K82OmChYyj`nN0wsGy3uX|$eZ_Qa-68`k+|GV1?w<Kw(Z@6qG
z6Y}NNcV@X={YrX^|1|Ypy*{V(@cBKycArkN^xv)g6Im0J-;=v8^CIW5s?x5)xVedY
z!ubuZtZtw2;Ht9Emsf^rm0wvV@2%?6ykEU)`lHVERhgW@wI_p{GUMhZ?Fvty@$f41
zmrt)0?J7RYKU^H%|MBE%^%rk!>$>#zy-KZk<vnleg{#wTlwUmawmV=jUnXgXf1kl2
z_2UvRCkyv{I;rUve!a78j{T{tf2&WIT-lc!z44}V|9jo$eu=<SkCUf4`A7f#$hlh4
z`$=u-evQ|UwB7ga-`*2FH9Jh{W!a0*ds7+CtrP#cRd)L(W$pC^zbi|d{%vax|0?wC
z7ca}_KWtq;>zEHS?N>bDv|sUvQog1pTSaMGW1Q^)h4pet8^Zeyj;uaD<Ly=9Gap}R
zrtQ?yxc?$%``O-5=J>Ut`fU-ssxevxAGRhnHb3%dY<?KhsC?ihlY7VPe}{r%zDi51
z`@zeo_nTKy?-#G2-Va{GbH8~x&;8`pJhzKSR_f=YzU^Hz*QEs;3f%d&+@bLJwtw37
z<vwyU`CD^hZgnjT&$+ZZ{=JmnT&05svuE6&D)mZ?Q!V1?n;FV?l@40W%0Co!<dyKA
z3g=H+8&^D9^elUlzk8^2@Yk-F+LQd<&2|=D{i1qu_Kvg4e4m=0FgxA+*!@rUO}gFR
z<=-r()r&9t)y{jw_4+jX7=!j-B~3Tu<|a)GPoI%_{q~dk9SOQ;wJu&cI-hUG!(Wx(
z+PSaotn)i<KmRp^v%4<t=V8|DPuCWodQ|PBx~8m~W%Z|Jse;}SPXal`szSP0<)$X?
zvkn%~zN>VYYr90k&S;*EXLQ{nUWp~2DemT+w&SQs_uHhW{Ng_4-8H`-h-og{<=Uoc
z@_NnLO9d15|B0@AXS4r;g7p^m-}cY0=SABrI{cjf*A!j(eP@qF9aggc_up=R!15hi
zc5A)gclKSVl-k#Qr*8lG=J(X-tN$<g)EiN6J;dfFa{rwk_Ft!DVNukpkV8}TOOJ)i
z$EVfL*mKJ3MUaugQGqOneJTd^a*w7I%5+`5|EkCT>HXI_N2CsmC$2pxwC1wJ!>6xf
zE=j)MeSejtic)j(79OE_2YKC^80|78RcxB2dE;Ar*}OOWy#0oGe$&(WqK~t8>g&vS
z@ag%@x!SDLFFfX!R)3NAROIfOISW@$Wq*<MRMG18CeM#Ai@DQYWEZo~NI$Ij>{daG
zrP&$r7iM!3rtvPH@w2r=jpbRW-Qfh5%ljwHa&4VzaJGdd*w@4GPz%pwUx|cCoX$Qb
zMJ|R16L=o;iY3fB=+t8x(PGHfZFno8hChFUi1JjQ|35C*cU(;Fx^q=~Lc*u%H{Cl_
zJq#w!nv%4}rXfV*sEToD8)MP(wFemf`bsRC&zbz?Z<w`>VCT1u7Z~>PYPt2kzJGeH
z_F~mLTN3w${lDkayl}bdQwC;TlOs&ry$1K4e#+!7)XbBpTwudr%%lIbaz-v_<SeLT
z<J|>4+gsO)c^SA#elm(Wq_+6lj1^nYPBYP1CRv%BWVX42dCk@f0>L_(E;Di#YMM!D
zvh0x&?k!?jB;yVykLzT9c$XuiFCcr%sdD<ZfO{)-O%CnO_mO(s*}BXk>50yh=XuLp
zKN;1p6xS0;wM(30DUxcJ>Dl~f;>7L=(<__oTneNT_O!N1q%Raa7M0P%K5en8!~%ZX
zIm;~ao|r!}-FV*VXV(c2^GB(-b3YpK7#E3s+{C;6f`GHG$sqym-i_Cbwy^x|-Q>C_
zhnZLEbI=_#FTu}2GA5ULD>eBdGa3$yNj;jTdY8kTch-krvH?b#2Yd6ss7>vdW_BZK
z*^WHV84u^Rvc1SFnbDHIV|J_Ci>!r)yCO2W9No?Z&D(sg&HSn5@02GxPc46EEEN0|
zb*cB`L+M;MVeQ)!f9YtdtqgR#EEron;iAluJJu2NtB+19-!fCn*}n2^e52yMSu?vn
z9BVO*n{9AZW$%)ZHL`Ipc^xkZsZG(Dk+4UVw`+RU*&xHK{#z@UZMIi9+ibqT;je3Q
zjGNon@TkSC887Zj#|5S@=Fxv@YUm_i!>aqR)$Rw^nY+IvmnD7Ln=Iq+v%Fk)%EP($
zwyvDv_da@$qFhu)%W<(&?J3H!hI9QcRdb07KMCPZ-I4F9ctDt&*YNNpr<R#wQpwX=
zmsR8~(O!1wsoTvXSFW7)aOBx+`(<g<0@WG=A+g2p6}*LbnsrSM2yj0w?r3$BNLy&w
z7M;=6?{@A;gVmuAU5uqmTHRhGnj{*Qd^5?=YSUO;6%=UO-|N#MSh}Uq!f=t__6rQF
zdyA)hdKH<rP*5y7qbKy2gX>R=-O=)buJ7+G=->SG+|Oeg%`aw8?%k*9cHi^Y3-8K8
z^I6A}D*o?fWY#g*ES0pJQ#r>xu_sr}=VRD;J7MQfZnYj_Q~d3JP0%qonDKXxv7yTP
zE30RBDppR8>-6C{Ia}A{h!}V8hO17=Yc|U09+dcNVqh51Z}3Tb*~P7HGf!9V?R1-Y
z`toZFZz+-2Juw|COV%8fPMFc!HY07J;I-&Wy&DfX1^bu<3FubM4$NNUnQwF|CU5!s
z&dg;ua%B9rT+tPiN?6_6b|Z0NO?CD{!?MVXu7z$7kC>J04zw^7&NJXT?(*=LRIZax
zYjN-4&d!|u7p<A<cE}!kc;w5r;Q4!4m%hl8@jFwsTgTJjjIoho+FnaBsicLiZV@R9
z4W~t9bbWPucw}3_-igMB;_nQ(!tebrT_|?u`VqI8N5k@`7usz6c`JK~Os9&Sgs;J~
zXSHpXV$aqc`*`F^<!Os~H+tTfPx5dPdf)SS>7AMb2Fnlqxs#L@k#%L$jD>np89l|n
ze(25m=vb>Ju=dA<Lc1d?O0H|nwve^$trFPzcKLa$B*%)d`8+P_7CC7O2Ly%NEV2%9
z9QQfloh7H?m~ZT@`R+w3*O6(@=d}vWx&C|G0@XR&XK3oZSgs(j_*2r}BxXY`|Lp<$
zj%)$p3lix%?%p2)^NkuMR-c#pDZ2d6q`)1YEcY+VXJ%2`k>uI@XwyXQ!lradOR@Bd
zZLMuHk{1d-i^}NHp0-%^#bWd04s)KZcB?!3!qWeJX4A9{=QN6cM%`xfmJ$i?jp=YK
z-8V7WaE0E60J{}Y8uB5!CdcGXUlg)2J-1mRYrEu6QRlaE+^REHub;}Q`*32Q@KeDZ
z{dS6MkGSr{Dd#`px?{3fCMhB=X`$k@sEn3WF{$MFPcu00Ntr6HJ78;aN@v~Hx8_kb
zDyQyv$5(UuT)3^Vd52N?ffKDe*vc<BIltL<f#a^u%VQQPAD6wzt2k;_u=8Tq>Dvb$
zx>+U#_8Cqy(cqIlF1-72y4&7P&37wX+bohi6%Pe+_ZFUU3f^;Vx%$R8kqi0XFS;*h
zUZ>CX=hBJk8t)mom%dndo^_G-{0r&47bmyBn7+itT`uF0fcn|_?iQ(sB$oTmShRoM
zvKMQ$zix|<dsU+}D|Ag}*vo65CM}yD7qD?r<l5-d+_Um_g|3Owd#Uak<9c`f{U1W%
z-rr|VNSw&YJjd`d&&C@iZV^d`B)olRJlZmE*$$=xFZ=ILxf#TLw2vCu25#IDm3N3i
z+ead4FQ@Vv6UF<#W*Zzm`X@4t@wVCDt-gCJj21gD-!}Kvk-O*T#iyK)zL8S*Y}%80
z-ZRULzns7SZu?2bUq7F4q<_9%lw*{C+RoBZzx-OKMpXWKzYiZzzu9TMJI-mo{vNij
zqd$%F!<b#?|1r&2eeQ$df9XkKbHnFvXHooB{gs1xPgM`&y+5iIf0z3E8(x^a?*;?U
zr;vn7&HWdG8<RTfo}O%wSQ}sEmst5=-vs--6w`n`ALJSy?hNPuaAtLT#jC5zHlJP@
zvQ=kA=$vn>_$rca^HhBDfq?Vxk3M?)X!|=ZJ^jaRm41blPLU5Rk0xb)zY)xwG|~Ld
z?86M8F`~C|wukuE%Ox)l_up`O_3|5UFCM+K>-cKnH(x^vDg!Ie6?dIFeL7NgePhzH
z=OUd`U)be~NzSYATNtsq?!zm^d(~e#-tVdE`Frn=s>Oq=!E?Ts2yI+;^V!e65vu#Y
z_BcKL`bqJ;rOx^}?N9uV-sTm#Y`fe;XVd+PRUSIq`K!AH9(08B7hYNIZt?7Dvds5a
zoPHHwMbvF~MR?Eq^onJ<ZTf<m*>zj*I~X1=W;-HP+Gx(s!@akv!8LBKe$rm6`14hz
z`?h?2#W}6=tH^2FT|2hyI27FYw7ci?9c|CTpIMWtS8A+F{`&Q#AltM2TeqG_Xz%}U
zf-`LAX}6<ZMf-N=JW{he8dLsw!J|d@rOq>+`krOOt`W}>^W0qEOd<cLLk@<wXS;TB
zzT2uG`aFH_b`D0fimw9Fd#hS}<7|(mt)G_=@Xu;hsQ<>JpQ{U<GgmKfJ8eEutWqmL
zr|kVs@y}1$C67IiZ{GG=HRsQr>;*TTon<^~ab8m-+}pgeSR!F_IRD0@tKDzBx|*Ex
z`4#83>aQZ!cIDra3fankr8Ke5yCrya|LNkAz~9k#9#7vf+v2{hL+9-->6)3`8;+~D
z-*`P)`OW8(hR=R(nwBu7`i;nTjqkgK#n0BQvo5^&>iLfBy?0);@8Z{+^(7_#6_0Z5
zem;Xk>g_Y$dfOb*nJ<&P%-_%8PWc;=!*@2_C_h)AuD5OO<yxcr-+v4BU%ajU`RS(L
zE#1109n0-C^M7pH^}F-Ndf(#o*qrTEJ3p(HMYrFn6rR0u<+~$C<FdMT8&2CE6nmFl
z{P%*5`>sg3{dmG~xVESx(a!Qvz<im<8`j4O>3lz_S+(o5?l*h)yZgm%&sTgGrTf0F
zY`3&eWq$F6y9r0Gr7hU~Bq`na_Vk?$#Wh78JMAnF+037_pnd(lpflzFquxY{?RdR(
z&tr=R>%<E;R&3ZEcSQ8jyLWp!vyXD$t@N%uDONkLHfE7dclrLh6_2fQFZ2DLnv<IU
zqI6Bf4jZld+$$4~PG>(}9ny92XY{7FTl;cv3w^WfKa<+D*KTG~zkk||x09LQd^)LE
z_Uj3Ubxl#v+f#?ux#m@tS8Wbgk9c}jGpwq#O?2s-r@o=jE3=m<O`cn~LVN$RJ$t^S
zuD1VC^YiwV(_Ot&zb~6U?VtPV`jfr7>sNoiX8S^WwZP)r<zb2klYYwXd$YFe-sx#K
z3=RhUJbFYd=8%!L`i#`4BHC-_BrcuG9+CP~G3@px&yC00B(L2nXec$4N!rWnUXgd$
z@ZGI~CS|iZkN!QslwHR3S*t$5pvii^_xEL5Yg)wBR4Ou^y28~`Dsm4o<oifGT02p>
ztDG&lB7XyeJdfC;MF*8+%p-b)*}MxbC20DcJe<4P=+3)I$6Y@9o|?9BvCW-%6WBvs
z#SXvq?Dr}>HM690$K98cIm>>ebltU^`EZ9nU%?smc8gb&m1RDjtl2nafAYFhx`*E?
zuJ<dru=;c7?bXI_mfz(5y6T>h)inP%vXl1CesA)Tt*LtH8)pW-=@~5BKfiCbuoVgC
z?z^@uw*A<)2&X@i`9kt+yW+J>KH7-R)_5i=-<QwW?aSyUI@_s7?UGK0;nU3z<g|SA
z%;N31-)WnLr5)V+Q$A>;q;!<IiOKX0cgn?1%QcC%%Iy==_+qd|>kCUn><i9~%Pt5f
z=Kf2STD?53rb_j{UEkG<H~3!fZ8KBN`5?_<R%Lv#%|CQDmki5kE*X(%E*YL^ZW+dH
zq83g$-^HgTwckIm;d1_i?!^6yNAmV79+KOycr0$e;z7IpibvDdFYMG0e&YRXS>uDm
zli}BW_k`ML6$seq6$IF578uy*7O+%=J>d9wCqAM}ypH*>_kP6#+4~ibNbgrX6un>3
zP5!^7?}hvM9)<^Q*Uyr!J6Mn}WN)6dFhAt9-81_`&rj}qwf{u<f@gd+#ZP8$Jz{?O
zNclg1k=Y!2KbL(!6LRROR18aaRzYCQ>VroM_OgXHNSp3(y0hk>=)*8xy?yMf4o-dG
z$g8){S<B8*<a^iTMXE7t4!RaBU=u%jP%4I}J*$A#BBojU$V90a!M<w`x(e5@g*QDm
z-4XOg-_DUoy?b(!>YP;vT?=;oXkZi*pY1e9)6CT(I;X2}-Hj;^YBp*fZCzxg@m}cO
z)UH^T_ue<>8-3pC^&_CW_d&9*S(pFT8xmHNrE(b8U7Hluv2N=Uv4^3$E0697>DE1X
zG%Dv$&$WVe8t1N0kdQxo?rM^<MRa%XBOhI}w#!>@FwI$YRP0fT?lz9&*&C1Ui0Rfn
zI5jFq)9*^bI*D~xCrHSjTX#K4St88&cB+VT_C`aVl}E)A3Us##G-qveeG%5J>$X2C
zhx6C9B=3v6+a?-0td|nv_IeT7-J6i0Yu5E{>kWxxSCY6lED($C___6l(wVhK#ghGW
zx3O%_-neu|=;57QhMU`t91=>qlB7H%uDdrWO4qDy<<=WaJ}ZxkB^Bvz<CvVa@#u_*
zZry_|Q8}8&t{1FR>RGM0aYf6<tv5J!T}fK}A`mp<&%3yy$J%s<(4AEWr#@QtzA5M5
z_7iziqPG6Jf24iB_l!$({!UIP`dpv)wSI~{``Mo}zUeX^Jo4@Tl~@0BUR>B0yfXN;
zkl2x{9S;gVonQNJy^Yqx9+^)s<M}ktom9L(^+aL=cag-SMKW$XRN8BHO?9?gdn&PO
zwWn#nh`Y)}{n&LU6%UqLF4>{j&SU=6;DD|rPhm8la?aGl653A;4tiPoZ1g&%d^k{(
ztH7trNoM*Y4)rA($2>K?3cR|4=J;KBWuF?jcgKkhQLHT4lm6d&W$(S9<*Ah7jb(ye
zZ>17%ES$(-Z7P&B-A(DuHIE)!DbEez%9`I+wl0WR9rZ)<-g?1(Gp}*nx5#dJxW|pD
z#6MJMQM;Scmn$AUaZ;WaqLnp&E%8#6xVCaB*M>M&MY9zOhulPu+*s1YGCOoaQg_S|
zwT+F^LMvMm3clQr`a9Xjt3o=fW5M!wkD3?tN$h&9;b1QN(RXs6Qpv@@o^w`SA3V>8
zcl9rflIwE3^}gcwj|G4G%qM)eT2!%Ixh<|Lit*VijUzI$t~1syme^b}C1F`#(3uP8
z_zyeuvPdkLDDc-*XyJUfq%T)Jn(U+=df$E#AN9A`?bbh)f42%bYlG(seleDCt#3Bu
z@rXXQe3uZLm*Bw#TT(05JkHJAA|uo@(Yb45(Fp@TKIWe3hXwRkGv~|ZN0&b}IJWoI
zj7ay3zNdumAMprmSQsRdG(*HyLeJIE%_FdBV$hVNkgcz;U+oV3S+{jMm&1nzx4cg;
zXt9=3tXL}8bzLg4V(~<Vd{d!EbKR8oT=(eV&tlV*Th;JzA{UcQm}7^!R>y}BR>OHK
z6%H1QE~!v_zQ(gB?(%BgZ9W{!LU#wWepoucuOj=B;L!|O!=6il4HK=n44vHf7{1Ur
zcKFqf5I2$Ft$U1pE_yValzO<<PgzhcOXV0#uZqO_i6V~|O*4y(KXg1xeuesvkhcn7
z_xyWzG{~bMo=;QmgyLamOP&uNe9ku04s-ZFF*p`%=~EEQ7i_bBo%L%?tMJ_ww(=L_
zJ>u+U?VsY@6<&1WLlhtLo{5JA@}C+UvbOB`7{@1EGr`&Jw?}2l3#F$Mr+)DKWYlC_
z<gqcHPm=FMVncJ0#G?r^ZWcQ2hJ7azn-&+%cr;_mafaBXHf*Xg)AukQ<g{F3q1n!}
z{E5MVOiP}P{(Q=FrXH4fz9gn)hU(V+9NSfNkL7B56}Wc=-Rb>5KkUD0ca*vL|DgN3
znB_GK?Eck9|260R9M-RWRdVl{onM=#IsN3EDWrGH;GnAXvK=!2zlZ&IJ>;8|vr9y3
z!h=PentC%Ptam&7|I(^ZHtC;kuf24Ri-b?z!FYX%jY*oY-n!FjAKjy;&UiGlBuMJD
zq29zxC$ckYO0PVfkk4u8C?zi?ZD5!=^TliF+gr5dD<<Xq6rB8YgY7bp#M}E5v%bDD
zpJL$K^&@M`ExV)lFU17>UHaqlOzx)>k|xR+=}bG!;QhqlNRVaE1|Pm;o(YFpVxJhe
zhHLhV7^_U|cfI>$!h+p0pF%gu7=4*?m|^dd7RfZPz=n-MB1zjsTxTe|8b0$1Y^n^J
zl2mu;61&#(RW0|dlwK@W?%HRS^kVs9hQE{l?-td1aeV%*vNso=t$$k~{c6sZFSF-#
z{i|4aOMdpP@J~h?W~H!xY+cx=!S=dC|7yvR>)BS1E-&x<krJD`&-Z^u&cB7Zm(N>B
zZwrb1Ep*O9@Zif0PkXjL*Znj>Mo4Cga~E^bi4F05%x@+i7N~w|aLCiL=c5~+@SbUh
zMb<B|37Rv><(^XfDaC`_mP;!1+Ih}DF*vZ(fy>!uYN%cF>BOGnMKc~ulv%bz>*>VA
zew9x*KQ_vI3T=}Kx-;3iZFiBxgFczI9lGr`Rud0Pm_Ic*$ZP3S7<($YL3q-M^yAq@
zC(@fexHR=nDIR9E<SB^bbDlHxFvs&J2FI?wdbOsu<8jbDC7H>KB;1#392C^_Dzy0X
zKkDyyovgbXlaq^g?`k{NSHp9B+Kc)vzr<Znwm1i#p0&Gn?~OS<EA$SrDo8}m<vX>Y
zMYcYW^PpdEz?(}Phx2+_ZY&nOr)VOSINvSl&2^8avr?XgAN0KxHQZLVK8SrX;g|9~
zZ@%o7M;&eweOW3840}IpUOtgS-9+f|5;vhampr;IXDLd!t!{l#`=aNU^1a?^nJr1v
z+$7SnRSwAXw#-;Pk>j(8Q1U)EA)N~zU9DM)Og(|z2d!@^eRbb6U-ufvQK4P~ovR)V
zGo?5;#wZJhWvCqUGHq5Y3)}vh$>qi(tBUkc$EI8@kBzZ*K9z+P8+Ivb3pX)ewM}lF
zvLV);Wpc?8@utQ$iF2<s4oJ$jTC82nvApES>}7pIb1npSJ#LJW+c*DW(W&1z4BwXT
znJjx%@O`RP(v4+{8QM!k9xdoolDQx#uU|4HVSZoGo2#b|Z}8XVf1g*q<^IQi+oIiT
zUR)MD>?g~5W8q?f+oea$tE{>{#<+9Nd#Q0Wc&!cNx2&fRZQpl(v%dFL?sdnbiG4qo
z#k(`gz0f#PE9<&r`C^Ikt#jILEdDx`W4EbL@^m+$H&;BmWCKq>TfWoz__c_y)2Dd`
z9Lc@?%zEC{`pn3~(^^l(Wu1+GGXHwwTA}O7cjKc@DJ5+Tj9MQdwc(+@?(fxUAE$&`
z-tFyr>7Enx=$l3O-;4e=v)1VZ=(FB*@js%wle>PUTg}Ta({pqc7YXT|R=n?iN>SeS
z$%KcqWY~7-wbxu!Y8T8qk=RpRG~+>|%rXmwrxPEoQ~5OUVZpxB!ionKEtgnmwDYh(
zF*r~MDW2X;JuET%slmZKOP`I}`vbg>=<c)*>SMk$ZIQtCB^rl(HM<G}yM*RUUnDa9
z)5=EouSvD0fvhanpQ>FYH6AW<<Iu}!d9=q(;#{W60m0rDi^UT;mYWJap5i7n=ZZ&{
z@K2dYMUmT~-1di$n>rQvD2uq89@-G4%qW(na)hbZWybo65}!>bC9HD`Iupo!FkM!Z
z<;JQef#?u{#D1=%m_Wy-(^?O7oz8hoj*!+l>3*Q+18abt&$E`<pVk)l?eKON=`K04
zA;6tc?1jdW8rhGm^ZSx~E(JDOT6q~-@BcDaah~p4n?1E>F4Y~EKH(!9c;<rO;SyQa
z8Os(61eb~=cJ(FsTocS!xANK;t<Aqa_-)Tet*adC1AAR&tez+_d&?AIoy#6wOB2g>
zHihJ$JbNyG>sEuNNVdf@5)9fTuNtmj*YYyR#bB+KO;>c0^pgn*du4*wOm=SDS|pLs
zE7KOC(k>WwQZc^8d*^}~TCP07OEeCoXmS~Pb}9KxStMb+RO6tUrq{-ew=|E=T_zN^
zq9LJj>-1@koX($2Yuz~5vRjfSxJj^OsW_DPw!BzBk>jt4(Bk~kTLLXfGiN35Qw(x!
zP}Snt7{MxdZk58Zg0C8!Z7UUy23V&1CVhDole=+hb^MHow@#u#PVusWJl6$}8ps;*
zTn%hcwBj<1a2I5Isd3Cfcln<BjF!YbZcIIwJvuf@bsD%S8@gqy9MtGtA~7?5kK&_g
zG4o&TS~%}PX<e;{i>l9Bz4=FUcWM@S7)J6*s+~-15G;~NYLao2&~G<9b~3SPW6_MH
zo+<8K_a>ci|8lX&!@!GA)9sYv;oq+q6!K2mPCYE(|HR->vSrUl4?ba=DThV)SK02J
zw{Ut%#J=X;`jtQ5y<H{q$#s>?r>_fSKCv#8`6Rkf=9B6|nPWQ?+d0>rPVCAKl4Jfd
z<<p7s)bA?#_a~N44((c3bmD?5A9KmH!vgc4EK#bNa#%usNleQN#ksdRn3rfA<I(go
zi0umU`R!e{a=ym)C-aux)V1XN9M)fYb*aO?zg5#$X{Ky{a_^SGL22n_6?4;eFXJ)q
z+?ZLL;?Tsaw&X^JQ=9Kkwn-s*C--_io$+X?kokkwDecpui_WJC&re>iUgT5g{OV=z
z*9z^_B~Pc_*<F(#-IlccXWYL~X1k~7-*y<>PA@z6{wwD<<y|Kdt7|3*W&Ad;Iiv3&
zT<E<nyYBMTj_9JhtM-d*fAZ_J;z0(>B@!C#Jk3ug_}3LlJc=_Fel+b)tYuH3JD>2K
zX@^CoFO>=2Gs(qH?Cuojrq@Ltg}!`}>rN#$Xa^nQv0bw2L2PK3)0at$IQA~paFf^c
zx)9zK^rbucmqVTRySlnkHFp;N5|@tw?mXK|L>?4Qi9M(ANq47up!fcX`6t(|{dWA%
zv^$rxCjHdinQpbHVx4lExE0rjAa})kFEkD<&ib6YQ+4~Ys-uf*Iae?3NQkTJ_i|C)
zGtJq~>4f6ZXv>re^>)U4rxQE)i%z)vSoVDM<P+XA;jl>Ts?U|xT~jBWh)%iwCu|Z&
z<@`y`UGIucNY9n|6sF$3=jKVp{PLm`((`20Box~<!#pZeA{3uaJm06Ssy|;g{G{U1
zEX$M#<#xtvrxH6#izE{F${f3)(9UUgDzWQnkQ}ql^iL<!kDr}1{d`~alL<+yWj@L7
zm0`P~*REK0BC%y|kwo(JDcu5pmuNWEYjznrbP4U55|z~z?pWsC_hS2#X>2#Io)A+N
zp2n)1omXhyn148lRY0dJdRep4gS*bkEZHVb8^e@&UYiId>~(X}x#H2%EA{YpM6b$?
zH4{amuQ&zhgz4_-&bi8Q(5yEg=Q_t>zFw9aD<=x<HW5nP?w0iCqDRwSDNjRBU6#W_
zR}cNZAkZtobCu)%^Ng0n9d18X#VRYhWvLv}=>3q}?k3c8(W7f)mLii*sP3N0y_Y!-
zR`h<@JK0S_ElcG<LT}5AH4{04O@xwH%{nM>Iz%9GCs)#%0LP}OS{@s{?0ixSBlgM!
ztJS1N%;nZz(sJ5LX~!bvu28F_9m^IoTrU-Q)YkXI&B@&`?`4O6TgjA1d&1=Qt+?v5
zr$2YK-#$^7f(UmJ_mU$8A?}QQFEx%7%DP%CTr8npI_1HXzMwhl^r}vGtUtc>Q-}3~
zTknr-S*qOi(<&)q!D0sPQjw&IeM&ml0((@gyf%cmYfj62%DEv@oBw^~>8<xauG{Y9
zUX!~}xvkQQYeST~;<XnVhoWSUMl647azt!xuIr6eg8O2wdo*!Nc@`RG-25{o|D<ns
zw3_4BX?MQtNafYOJ8AYr`*RV?N@uJ*@^waNY{c{JPtvrfv7I?7KU?Sc&9KaMNxuX?
zPuXGnEWAEsuj+Qo`?AyYuT0y}ebVrt-85dusXJO0{yY-L$Z|Nu!O)47M>$kr!R%QX
z9q+U{E(Eh0R;^TU%oknqV)2tXN&Ax?EOv_*75Ea&=vpsoz!Tuu(5S^>=)o$;wp!ts
zfT)VZswR=`E9MlpF?)G3`Gh9f{naRJnSSbhGS9i&>6U&59-YBo&OQF5YGe23$^P{*
z$w$uFG*8{pa#KrT#!{xPnOX@m7B(?l4i!k6#ihg(?AW7OX?eOqf7_H9i4%oBFZAzp
z)(LpT@p-DnF&kAsgYeGaGnt+Bnenee4xOx@n%pGp<x?2bDcPr4*swTA=byoGLDgr)
zGlYU=f|A;ry<`$*i*)aI+z{Vcc|SC%Yp>Ut4ep)HZ>A-EEd3knb>?=fQ1F|8q_($S
zG7lO=y6sn#xp#8rX&WBZRZZKm@(5#|$HpHLe@a!=b}Tz05<Ydt!zn`SJ9LgdVtzM$
z%8Un#go0xNlG;9d$vl`N((ONeerQsYv6oL_RHx)Mt-=P^|FeAMPi;MJSh;#l{%`Y(
zT;k96YaOrg{jfgLTx-Af+0BOWtSp~H91O!*d5S{>61MTU+@8CXY2UUm#-lu<20r1A
z4Vjha>ND>8rIc(I63z)q+9wo})W+*|=6h|B&ZixIot$FYhDW7T(;{?_F0x-6l(a7)
zFsV({>x}u&Ae~?5ja1blRvi)Xo-$+M`~4>k*OzFD{Y{@HBzz_$Deke?8SR+P%3AM@
zFYJS-&PeDI3ib(0+P6RW5rgp3h%fUwz0S;T5en`JPHNleC6mx2(*4gks*}@A)9|Q=
zYMR8tBaF}fM3?D4S^rkbYU|Obe=c=!%-;Cx{-$Rd{~}gq)U6J2*bu}jq8)NzLkuh9
zw7=2YB19JaX?K{O)soQZ_T$JhLA$M)E&h32f@iB6-8i0Qw<OJTlX#Y;azLfEqwW94
z_)w=0j>;nMO%HwWQ)axU<x<jVE~QwpR<J8vDzReaM22!vC9C7by#h9uIgSSR8rWR)
zXgJO#c=yRfHx9Y1mPd_l67RBA4%oJK@O^ett}$FYhx=k?TUJYAhZ_@5SjP*iW)mla
z0A&$olS3Ecl^K6&y8L<A;qNu?==|-k7guUjWw$Jx?#A>bq~nKjo~?<~g;-^gyC#P&
zgeo)cIx#n>WXd@$g&mX5yNEh${8O*hkna*Iknr#S6u#hpyK}fr4y7mc2IySkIGohW
z60t~N;{BykiV@2M?L;zL5|_F$oeAxDaYNel(DR7ifShX_hsAnXZmbfxxN&=COG1;I
z23vNEd1^0<1W2eovn64N+mC6%$~EU#PGndts$}&|qF12jD#!fICWj0>lxrA3h8deW
z8AL0K6q_8{;HAuHrr}cZ=Bt!q#A?BP>n?K0JA7u3GZK2R*U9C0-EzUE?NSe&H@iv5
zWvU$DZtdv%8>3v4ag9TMmg%APv|g5q8TQA6)odOf*HU<)S{{9I2K%ap_b);P7VM9S
z^eK5$C#CpewP2T>RN{-Z6B*>6G8tUlSgj>@abtY8T$j1j!_z@;!x^t>x?DM;(<^Z1
z0>{ykUIU-Y9t|tG1ZAtc+&I*-Tap&JNgT^oIiS(n(RbHbxn|FG4*B<{hmKeGe)t%t
zxG1^&8plEPrD3ke<&@4R`;@$qlj6J(3lhJ~;TYZ<P;!yOIlY(V$C4)jC2#tr9%k$H
zelVTxCLx#IvVNzClGQiq-Vb5R1@CP%J=D(A`=M{`greiuINslodMF#MyeBzZ<$(3h
z;4U|Fzj+H>XG^bU>N3<ykXXxf@4*u<ewX8ND+QYbr4~x86KqSAdRRQg>6C1=GE1|m
zlc9$)53{LILW7gb@ptP5o9d(%zE~~TW+%mYAzE=!Uv*~7gSMI^rohwbCWi{5lo|ar
zT}s|emQu7>DA@H_D$!!uL<V<I><RV?$Xw$%TGneIbH$^985Au0-8jBwwj`~0llYdQ
zav-m@qi?UbGK;mT(?(}yo^}(V2NUmjx|rIBFdk$U4Y(7|c-U6-L+unE7vB4Uj0bZ?
z1MUPd9`+SwvCw7?+<86Jp}?0_Bs}y$fh#Mc-f4#`uT@0_VnP^?vWgna33qHLHWEmF
z%3kTJ*mg?y(}qv~jlW$>-1M4b+VgPh-kYIUmoMm!EA;&ua{kM^HWlY1??U!Y7no`O
zO7(8X8SbqUPM<kb4L`(h=Fh$-3b&bl_y4(MwO=`MT1w;F4X*w>bu|pmaB+#L{bIf7
z>&L~#GP#-Q=#&Kq3`{jXH2#biduRC5ZhE+?)z*_foE&N^8IQV{K4{)16(Mn+>wNu>
z#`4nSIc)+x7X`X5N*z*vE0vVEWKzev@|HG%H<tyv_DUVv;O?yRCPe6>>-!4=P3xqR
z7IshS$opv=D%|8R<@7Po`G-lor_1|~an2g|GC2-M^KSeQ<>}JC$+dFHy-TZpgamdN
z*jzcX@q>e>i~MH~XAZe+j-$f74~s>3H-7N(bZP$*<IEA4!Ew}^_u*%K-iN7cRTh1(
zTCB*DZ`$%`Uz@?6>jL*yO>rqHl{anK9>=@z#~Q_&duwtO@0refRGiLu$oPUUFUPLc
zjIR8q4_-5xZn)q*Rrmd@fP7xX9V@In-b-Cf<W00#q$t*>)oJlBS<0y(#`#B#kF$hd
zR!3{Fm(s4om!+Hv+?;>d1UpNd%jA&XJ=LXTp}0xQg9&W`F_#3|PD>qHuCnT5kjTMM
zQ-vMN*hH>hZFtxw6|rL_)1w#pD;W>wnl9L}n2o3WYJ<OYs6&s|`zs9zTVACIEj}w@
znjo>7P0VdYgG3hFO2&f@rVAt%u<`u8dcetERN%3Hys3i3DmIbgs||^LQV}!OG9|s3
zxs;8?_-aE^o0Nf1I5YR-5QiSC%U2qbR!JH71Tu3^4m~j2X*J{gGpia~Il~@is{en_
za!+J_$;Ww5yb`KE#_bYUyAf8yqAKr~kQ<;7AuOU1#?IOn>Ui+bsRaqit^oxbY&3R6
zh>1*kAt@46A!#AhwU&|D_W>&}2g~_prlXq|98kA)Ja}mGf`rF~0R<m4G<H--h)k*w
z5DD5Lf5P(cC5Lm7Oy8LdB@W(QaKL)af&&F=8WB50MRM-&aPj4_u!>)Ab`rYY<ir)u
zY`J}d<%DAGM(sw4N3qe4jr_)rjSrIoHWVmo+^~=rk(tBIrMHVUYGbc&<A&zm#tq%P
zjRiulnIDQ=+jyw=6W`UVxnCv1{-jRiNZa`B`~5XPPM6FITl%`9{(cD82hTK1hmXr-
z5`M&RERoI@+M##v(tLh_I~wd7dDEDA!ap&dzI}c|QN#BYPZ^GWC~8P_QGD<=J@$7{
zLqe^k!^Ryl2@#K2Uv+Mu|1ORxu3<sCrNhP5Gp9S*UAT7Q!tMimY<)FV9=^@2uyin7
zB$FU<b6$9i-Gynw&R<RY(>_<fezo)ktH!RW%sjSxVyhds#W2-!ow@yWfs@d^b?nob
zMVwDFww=7UZm+}a?`ux>eyflDn7(ytFQ?A=XWKc#M7C*!iEXRM54*8x!>$df$-arF
z4{ka%t-JJQe&`?3`&p~kJpcRZ_OYUl2XpmZKHd{g`tg7}^2ZDAn?Ig#TmE>%y|d!(
z34V{3RkNa`S6}9Qlf}ouvb&k-=)467HkfNf+z=PZdBe}e$H&4d-ahN6L9p1Tue%oR
zRSB9i#g)4{<>JAa-|B-uiaV|GPe?2-oShJuIotf<CA0j4OLMusy>FXMo4W0%K=7@y
zjf;LR7qwq=+TQM3*yR_s?TdA1XRgUUZIbj(+<x_si9(<DG1l(?QF*kVRrGqrlM~VV
zf4Cm?H;Hh0AK!H@T%~i{wPy)mWS71$61&JgZPDkGCX>JI+bj}$OzGEypb|UTWtZ-K
zpR(w5gv<Ppu5;>Jwr%--7p(UAltrI4SE|0P4cFY&<ND?1(ib&BFTJYo$93r?3)ZG-
zTFn=;x^!>*RIt{-u6a3+xj%fkw;f_sT-Us;$J0LCGoKEY{^q*ypwLIFl>twDkFsey
z3YKOSo=;k<YkuSBQCsZ|9d=7a=IT#*TC1<&I(=h@-A<9Y`k$V#?Op_S<b|M;dyOY}
z%M!uP=v?}uW}&M24Og%m)u$}_ymZo?1aYu6^6_2g#I1{(V}rm>%+a)pUw4AHEEBBJ
zaOsO0RVDKqsbG!n(-(bqZM%~&9ilNbXG0CA{@d!fjR(B~Tx28fY_3@4khej*yE-gE
zu+~M>Dl@sLIW`n*Y=q(CJ+7V;!^MsD90f}&3|C!M+rHKG=n5f`z~iEeKZ$PJa(ye9
zmoB>4Dz`{FI=n~AQE+R#;i}te+t+^uOSOwER=fRV+t!5=p-oD!8j`Mr%)YbEOl&d8
z@-M9OFLG+#t1L18J+~`gj4Ai!sTl5UKc@ECsF`*2CqET!icV?^<M^<&OW&nnpUl)J
z!n2=;?>X{py71y1fyYeb6C2|{D(UFCC+vtirX!!&3F2wFZzx#Xv$m!svhHZN!ETv@
z$G#eE`z-kEm#F@sLq#m?(@!&si=S##(VNDs8FT8>&F$hVzMtB=SKp&xuWh{b)F#e5
zV#2$MEap#~nl$N!@ZLRZvc4Tx*&*H$xuWf7<BZl>5z5z?T_-;3vUlg1{@0Ov`X5JW
z@xPAj<+tkBKf1j%Vd1OU%(J$i&ixm=Ysb_6XU`VreswSYd~w^4#i}0<$m`DR-*ysA
zUX<5u{rxXvWzCkaV6ybAsAzp$xVD(7aMx@W;iKgbjws)kHNI)mXHp;dv3b|DXC}F;
z^TKc5n6WN@^}4mEmlbE)on0{{^6&MVG3+1f>UEW81pYkTrQft>*}|0@&4O=F@vlf*
z`eKTz^RlIyr-cu$@w%v~T{wA%*Vaec!s-z}rxyKpop(TGb7Ml!O$WoPISCTEW)Tqy
zHXL24Zs#^!Iiag#QY78obLSwBa=GE%6O(78J<;^}=auDPdh^+-S+jDOHa|TUn!r-L
zr6+0KG{ZBOjkrH=J#&mnx7Z-`bd1iWO)6sH|8_k3EhOw)-#O_@icrKVMV8ZA^+6xY
zwI(^#%PL7Ma28=M?MR%!7b&sE*{m(&li$V|XNj<kj@GGCNy$^&EF#t_>Rrq55K=Z(
zX|2n;H08i<{i`hx-cCRDDD%<QFAnV-zv`DdihM8KDDm#)gjQ>-l*c>O-izd$v^?C}
z7E!TO(JU^jqgh<)(W8xRDjz+ZgDQSJ?bq6;KCwi(O>4D&^kqrq{XwoTXB^vb>VC){
z8;(nJFYkPH>e#GP(@vF`-JWu^WBroAwGTel_1VS9UFEW{*XUdEC-kWQ)IF-RSFZL>
zzqmc<OdE6GE;0Qgz1aT1Pd|^ZG>_=Nrf7HR<lPWP)eQlsIr=gsj~41CTP#c!^UIpq
z8@$VTfy8QOp2sC84pqo*GIVU0@wuWXKDk7r^Q6_3Ln1pv3smerXg@3B<eAVOD6f7a
zCi{`d?cO<w<!dAAJZ<i)_^&p)9(3-`bA8`A36ry)tNLv`dqT2orP1}E6L)5Mab7bw
zH4P69tDk!y(pJS{dArE-r<2$0v{Kjd-m~@Psz#wZ6P|BPoppe1XR}6f({;5o)``Mt
z71@Wx?#<lL`#t_;e|J*%!xfU-q*k=%vSlUjZnV0QxInK=GDB!NkNcEEez}DvoubDa
zgw-Te=1;Mk<Djps{vz{<h;7fDg}Wz4zesvwwrdt&f6@j{<C;I08gy%n5~byRZX67_
zyf2o=@S)1D83kN>_fPWs^Q>%cvF=pewU#B5mq(rb`jyjAdw#6$cGbR3FQXivhpbi-
zYJ8@pJjv-u<*AN2Dg}0H9a+>buQK`Usa9~sfm2R1!+EKO{KcKRfls!1aQ+H;sp)FZ
zocbbvff!rJ$*t3t-#d9DJaDC|&jtU^qkB$jPjEbSgnLH92F_p}!^Aozt$yzZCCQ>~
znJYEk2C{h>Ty)Tk)7-UBDRoEo0<m|JD|+48UOm`oqo@&Jcy#R{<D(2Sp2zv}T@ITX
zKKZckj7RGhJ=aKVQ&-D*xRUdBMcN_0ywk>Wna(|n`Y|afcIuVQ6`Q9l<GU%96Mn$&
zq}HSrj||I0{_c8|o){4sd{am5>!Yf2ChNsIEW1}N`RaLM(t#ci{)1;c_>Zpf;6MCk
zg--CB?o9?V9h)L7q*6B)>YRG?pkm6=qfHv#LYEimX!=a|f1R^@)wNrHrj+?jy>iNC
zmi$5?3GM#Zc76uuPG}yhUwR@T^!c<BweZOKE3<vBCp6XEHOfD^%$8fNPE|K}^W0V0
zoBjygdfuP3Zq?3W_ub2WNu9ZT;McNO>kVDsG1zFFQ>t5aCR|kR_|Ln3tAd{F@%Wk1
z*y_c6e^pl2nv+X!Cofx7aU^VKMcT?P_Z-pg^uA3Mw?fm5cfMNI)^>{JnVSX2Gj|J(
zXRa1MI5qt1yZP)i`iugauCjS;JmnxcZS_ixq`2npDW)$j`Y?6#PD$u&4AL<TXiE(}
z^+{-p-Cf5$)9Wf*niE`Gny2^NJ9wO{jw^Ai-E`lYe@pdut2G^E^ZNMD+cs6=)6z{h
zt9bYC+vF^j9b(Hr|51o}>T2nq0wytX|7+$Xv`jKLO_4IzHU0BMX62cA8LOK5E*<%%
zk#DB`G;+rA;;EK`wOiDxj-Oh8xv78R`pPux&evso+^;I$n^~tB+pD#JWm&f5K_1=3
zGghYZY~FI_P>$|qL-*4%XRaEFf8L_g`7>(TA*R@gA3wT^_o{(%xLBs-Q4!tbivR4g
zn=klE_ph(tdd5*)xA<e^?)@runUP%b{}*T)wQ=scyOAaC^`sP*{1VTo87o#RNKY;~
zaWF-;$S|aR(u`Hknm)mEr!tj3P)_w_%KxA6h~@nh_lU_4{~inp%|Ciwer@U5wO@Cd
zEL*Yb=B!&K%g-eV%v!T#W=yfwY~Kfm<-bf>(7sAuJD_VG+o=oQaY+SH_jj%;E>6{J
zR}NkEc<uW$#WfLE{}+bL5SP95Af_&<B*0Wx_u;H%$4siUU$5G?dB&Emsc-5+CoX+`
z`KqMdw}t1Ycgc!m{(CK49a{Mzn&aDwW$C3aPvwLLFOR8RCYc=?e7r2v&h10i>)%Vo
zguYyM?)tZCqapv^X&sEKs#fRcWrV*zo3VZ6r?(HCzUG96@|$0I{y6{Qk@fn=?yrf;
zFLVypyL{u)jY(^t&+}cy^=MuV_l*@=f7$XI&idy_Z(R6_UGzR@wA9A9sXLD?6WjOv
z)T*kujm)7-!_5SEjHET#X7lpg4XyP_jxJ8+J-5nl$FgOs{v`5;mJ0jUT#TFl{O&4|
zzuCd(R!?2G%ImY&o?YAY8uiqCK1A;03pyq;-)F|d#bVX!uNgzLzZtAQ&VOsiy~MrO
zlkTaie>m5|d2g1%QEB0{3SE1#kOQr5HSXCOb2#;%N}IDf7xTuQ`0md=LBD>pZq3KC
zfUB3d`f`FprOs>r&pfKn!?ykhf9=onT6MVwqTkDYc*iC!3fGmn82qznwt?si;irm~
z<;SzMS8tk`B@_77x6-}1l+Qi%Z<XBhBdeY-tg$_RoKsH1@@Q@9x9;ui_y5NEbDCXw
zrFm40IrT>1>KAKg89H7)Z_55_=f_ojL3fltRJ9a_Uiw?__cJARjg&x7Ag|Zix1YqB
z-BwqfXZmvMl40mqQ68Jk%2zu-H_qJtU2xUCv&}z~CD%P`P2V77u`Wwm)vw^t2~9sK
z%fp(=+Y6$umYZ+aHu>AQ%5nY9xidJX^gTE;Jy5Rc??kz-;)!x?pC`(3i%)UC5-@Xp
z|GrhLnO$FPZR<Yu!}sgdn?By@U&4-^4}1E9$!33h+^J`WWNUtPCZ0NC`NgVf-l@w<
z{y*1TchIaly?vv_*JIDUS1q)TzIjNUuRC-j%cIkdvWp@#?k_r}yq-aSbzfk>d&W7e
zSGy*!jrkQGQu*}j>Ev_k!{!{1v%eoQ+k3nJgH>56n$jHWRvvrvDE02^DvttZe$Bj9
zzxrdIyV)IGdEO*x+Nv!q-}rt^%X+D)(Qg?nep&nE#jC4q3Qt*Q<b=%qzVqD9M>CA~
z-a5j$Y^6}XXzq?>#>>LAKi!%2Sx$Q1qCO3YqbZ@YPn~~1{e-A~-kS~;^^+S}guEAZ
zW~sb;<9k7|>7$j4p<lbiu~!pX4Xsj=*T%>(J$-*=?PTsv1)H@Smlhq?Flb7Ra@!bl
zS|TiCX6w|bwB)IGX8qtiE$#ep-CwPrOU|Xf+S4T}x+6$W&dztWn0Z_HYk`AZSuYt6
zihYcn$ucdI<6u#0(Y<2(?@y-I$_d`NuGqzHb>u@pyUv{}iqie1Ck_hB7JUq9pH#8T
zS<_~H&~)LWRlb}?Yc2|Kdz&6P#=~1!;60gHe7mVir?u3iL$RuY#)rkFoIV6OYv^Th
z9QNehSl}hsY^(IlJJdqgpD*gu_8FhUr~gRNJmgqlcIcqGmmr^=@1*4ltnBkI#|F5r
z&sw|o<dthx^4AV@a<Cs<%E5j#wesF;%dW+5vv#_FENn9Ubajo``+w7ROqjlZR;g=T
zI*Tq#_+lNA>x*=Fu7|ouZ~5S-*C;K>*W@n9*A;j*js37krPYM;igICh35|mV%u6NG
z7VtPfy|>r(&drr)P11_qZU~zvT^Vtw^pHSh%jbl3M(qbbU3g(wc$}kI#CB(SqE%y~
zm5YJHR%`x`ts80<t~|hTFI(~`yKeH26{%u&nKOICqn<73{w5Jqa&?o+uFOcTyQQvf
z7vsfy)h;-n=BUb&bk)~QzOmN2BrCD^Pw^6_&4nSSW!_ve5<k9Gr&Bm;+M(2+o=0qw
zUo5w_IaaM2JE^DKeV2;vm#d)@dhM*9JlLG{=KF;1>sC{aNXvRXT)V00(#y7IWjhq_
z{}S`5i7>y-Y5sJ%n}u$#X1ULd2aTM;Z_E<go}V&$zGElb`6+?k->c_otv<5*{%4mu
zzpKvoRPP+0mBKCVCzo09kn6hhPf2n4Z`WF1hcGXBpLU`4tX$O3lqJc^?^bH9E?NI#
z(w`?@-XHb+&0<eZuXr6gx9X$W`P|TxBKe>1TynO%b?SOwv{kCq?bKC2A_`;c)+}Ed
z?3}u6<1W+L!qaE1o5{;k`y~5%9kXlx=EjB7Zze2UeluZl`^^UncCX@*(%M{_lzG{g
zpL5qMMc3(GFSByIm%HUmxPDc)^|zUR$XbPh#OjSTsf%9-h;K7rd27Y{L*LYYdRoY<
z|Hyb!^CZ;t(WTb6+dsAD-d`VQc53>%+WnO`vlj4JKjpryJ9pN`$bB2G2JOrG>-Fzy
zRCoE+UrVI~YW{icnx)zBzfH$%^|@t_roP>}>hr<+)ave4|4xN(GhZouKK|ytRjXv~
zPko#FYHqjQsptdAyH|zh<vsW1E?*)1T`ojO^8PBFSC3L(>Yl1epEX<B;?9aQ(;HfE
zyxzL;XW;r^Q%n9&Vx7sSq&{bO@3Nf!Q#gKFOwSjAn2s+2bNas+%<29TVX=Bmo6ovV
z`L~i!FN&?7IzQ|ye`EI3+f%NF><Oz2iWK(UQ!4W7vvL~q!Nkptk0#taP;en<LxF>-
z$aLPB$M|ln*J;lQUAIj$dal^?uirIKZ>)%{U*9P7#xS6(`_o=~$IDBVOp4-~+|F@d
zI8pgi(o)~<=d%_4)l1d?zuC03AUSD1*VBBTH&+$o60D9q@BB3Pg3YI>*JYvEx_4(p
zXx}&2|8wGE_a0}-om&IfoD;s0xqxLi&y@6A4wBzi?D`P1t2X;q^}z>eq3gP5BuwTE
z&M`@B<5kN^+{<}8BK;6w*{WBkc1J7EW7@xV)svXxKFP9qnwNF&=IvbRv%O+>>iU|g
z*8Y`eUhkR__j|``DYLHa(cf04Iqkcx8y>QJ;`_4GsaMaetJwW?(x2`e#=W<7-LDFM
z-X5(N(iP78H1Xf&J=wQww?B%mYyGb9azUp%w`R>8PR*JSPEE6OEh6m8ox8e&6;)en
z8C~}X*2OAxKbqPt5g~07c!$?DIYKZ<=M0ag*|WAwg$C+NcFYh7np7d`rQSMk>r<}G
ztc;AToYkvNuUB7Puz1}atL`-g`B6Hz<*xqS@MyQ<otWU(<E2lxg<sjc$F<wio5`PN
z%7c}SK{mz#`%26Lxb<J1I&0>0^x~c=d}bfI7Ccn*F*wtr**44I@a-t(Z3ag~ZXZh!
zE;BgM63eqj`@0`&OilK=N?9d~WzHhUOFJI6@I_jzbT;#QDPXT#dgAEJU7v!Mwp1)W
z9w{oYU(9kj8;kqvQ{MyrC^WW9IbB#kcUHic>jG_zQb~ym+HOc(@tc`>@AJz3wug(P
zB6cicGt*n$*sPuP;L$26l|o<MpdIU2d^vr>n42b^+9bYye`U~WwLR4r1-jg&4t<Dl
z)+xFE<ba!f=`u~ZYg&wlrA;?{h~mxJ6U5A}fAzpoZc~p(JEfL<SYvkQ==BQyJ(6p(
zN|S2dEBA7m-g}+bwuSrqzEa&sro~6&XWq{XuiF-Q@OF{z+E9rspOy!GhJus)m*nsM
zq_a3<m3CV44mqKmwcPwuOPBuX&sd(kXQ9?6-MHo9J=H-IbLG#cS5Gk%YzjW+!gbtk
zSL}}6IV-Y1F4MP&TkXiAUefVs37_GdON!jfOHUkAlr1W7YmbS!zEI`d%K)zJHTvBr
zl|S;e-O}QF{d1Az{3R=zs`qREjfjrxVh{AawL8JYEz84|*}nRiR^q=&viH27FKRez
z`eIQ}XvOEl?5S;^E?Cbv&(>_dwmvr9L|~7hw8lNJ=kE6u*6rUjIW2z9#G=Ua6WNLm
z?%gD};MV$fuGGK#`~nT;HBSG^uBtQTYs|{oViTr+bx&R66zLz7b|U=RX5O|xM^iR8
zKG=NI;iFgRHI?{lvA0{FA3S?+wq4QLsW(k_t(+CO&qm1NRkeK($0y7ATw>N6t*bl=
zJfl)LW_-TKc`f#pWp~elcCGc5(++Tj%05**SY*2-VpTg&_ty)DglxBLj1E<oTk>M1
ztyf!IRNBJr({8?4oXXdhIkTJhR*l-mxYI!q%actSw??^ba67FLmMwW$OLy~zpm+M8
z@(Vm4bnpqvTvP0FwmMP}(5@qMK~eg5>4}4OvPB!iqYjHr*v|1bX#q>@($;zXY6e$Z
zIG4>dI9ei{HY4$nSlX-&y^(E?7rjVZ!1KOl`~E{$ocNt%q-}YxIO;Q(UcBuv`9<~u
zO`pJvhm;R-zA+4F>MatVviy<KF+Ew4@5$w_6c6&*F1fL;o#*zK3x|yEZmf7)Ij>RZ
zjCnv8E8D3J7aVkTCbeDvX39FRenw^Yy$SWU=hHG@s;^V+)ViTE`H(<mM3jfv@#D%{
zpH|1$9WuTh@F(|F$1V+i$NH68HTLtOG<lcpyYIL5f^*l?suLTw#O_$(wPE2_;rs9F
zHa9+Kxam-MAt%8?CG|pier>0mkj^#dF4w9P8+=ZMa6aq*z2WA8?7KM&EV9fDWU|%o
zU7Tm$;Xe5Y>oe1>PqWX>JGS9gx#X?MIX0f{D(v!yCv~4s)a-11_#`+YO8P`=`ONCD
z3u^1K*7JLd9cxjQetdXR^U1`MN2hF<a%9VfDTjJCsqFitn<uqKb9#j1Bi=1FQ!0BO
zRYW{Wm7S&|!{==lHnrPIf2V~=nQEbd&e8$}-F?mhla4%}`(uff?1{DC3*QS*I`$#r
zl8nt16LEeO-Ok^WrXAWFkhVu_ub5Gn)n1KEu{_UNy|X7hd(gJzV{47a=Y3zbGFie^
zdLM3Da&w1DCf_!%S>2(EzBS1?sVf9#XtYR9x_GF_vv`Bgr5K&5TU4fbL~(UH&-(G^
zwUeiEgutl<szy6JjDpTgH{t%Qa`sq^XR(3%rAae%Gd0g}r5+QTc~j1)OaIWjsU~f<
zla7T4PkNRxeaX%nnwgq!I5Ho7-gsN3H=$JHa^HzBZYMo9x?a-BnP$R1+iBJhr#EdE
z{9-mvUis8+vqI3C=}OTN>Y04kyrP7%Rdic#EBe+*l$B-d_l&z_ta|oniKlmBiHESf
zz<iBNGc}J{-G!5;9lDY5UB_;nt$vEI>sF<l<OuO;I%{~n^}^bhs)V&J6$xW2e_88Y
zQ<=NqRLisjH77kb`dpG&#@a6NzW9;1%KYA!lg=MZIywD_lBai~qld8hY<5p6*K*Iz
z7kn?tluX^Cva7}9;`?(PPa|t0t5+>Ctl=`VeP8@&v&#Hl{z>N#R(lqI47)U`LOD}&
z4`1dZ=Q-z9&K|S&EH*SM*|VrxPBBxHhd*<X_Po1#Hxl-&+c7<5iG)rjkFCntLkymq
zFUDVz`7+r=yjE4W({9o<r}qKc-*>%PebsUCi%q|;w3xPCo^<|@rRU~C$4fFXQ%uCC
ztLS!~o;2;y##af;_Ucu8pU~L#=Jljw1>To*?o2b0E>}5wklC~NW5A_JJCrgtb9gf!
zbw8S|+RHDxu=#Ua*WpPMlhR(q2;CQz*UuDF^P1KBH>gUF&nrr(-D#$0*BKjL$)huj
zG_KejDL>}!Ijh%j(zAp)OH>V4s_l3@<woK534t}U{<vxwKU)1{y=w3J9F?<2)jc2E
z#`z|c2uwaNm)Q9rR%6+TyB9X}COH+TDpVc)epT`0p(h0{b~SCP?8%2Gb=MvIl#p~o
zO8iZ0%d`WF6Ut^vY^(B~DCy=stJU-1v%^O=T=o8B`9d>OZ<lwJ(EO!)mV8iL(wTW6
z+EckgEmOo_rFTBt!oOXL`Ae33yccpQrf0$ymA;m5in9(p3E0{3>z>|EiDe#k+|sJ^
zd*@Di_F&$UojbHMJ?~7}B9h1AANx!<b{ETW8#TL`HD)4_J-zCxx}AAJcSLrznHcV=
z<q=&VanH_UR_pgk&mPQO^0Cl<$;~~5d#0E)i67K+&fvT=wMXQ;YVX5cOConDX4VL5
zXY%EFMhTTW&HUK5;<@5tiA8cAvwGbpJ$ux-MD=6HrJxG2TN}-5d^kcUOK2_goYlH=
zQd)A?lASYDGCh5GGHX^G*b|Wcgje2HMfs?OXR?HLrr0r$S-lr0JxiFfMAb0pQjmne
zrJy~zU#FNf#Z5Y99pIV#LOE0Hm)ES`x=GI#)PHE2u;Y(`hK?W)-%%0OU78jq9h0j(
zEYuEP*pQMK5wXe8M#s`Z%+Ib<H&L_o?7?Fhsulmty`<*fXOUiUK`25`@@G)Qr5}s@
zFa22Me<^3pL=*Pas%MXCd3q-;U9xn8(vnWbteHy$&+y95*<LYMS5osCyF06QPZYPx
z*+WjAkGtJ8ZP|@g&K~vg^iB-(5dJ*da*~^YYKg)<p69DlmdsGk<oT?6_7Icj=8XZD
zWOOE(h<B^%cB)RAcIZ;Tvb~cZ`<&1?*LQl-u>#LaIx$mB_RW}R!v0)Uw{^3kZ_Gh!
z-AtC{PBXtv>$O%<KI-Y2Y@wJb_N--APlTD=^cuZwo>5%4oo7j8mwQPa)bm_?LpPJB
zTIKAaIM2-+Lodm^nQkJ^uA<x7tmqr_!dfYl#od|pE$_*273HHJA3QlOwEEcLN#_qK
zdKPc+zZ7I4e(A`g+*wOLUJSn^!^2bdecFy?*KKdOcDI?@r3kl|>E3Yfer9ATbNSGh
z4No2wS|kbaH&4nC`+i91{of|t^RpY~a;z(Nao#E0ck+Xl&PUgnh)o~WbUv!aL_Ff|
z*)Zi$#{<VMu_CP*`WGF}vAn*UEnnN**_mZ8-<O%}9#OPGS7+x9k!h1|h)xT-Aw1<s
zY7^r@pN`cmhYt%K-LT<^fq_os4tc+O$4+l}vLM@HQMY+wxX}7TL0spXgC;%yR~Vr*
zLn7zpy)7T2Znzm*%-}ZElo6VyBg5-nQlOx-^M?4eNjIdY1>KO%VY(OEKK<Y^<z<!5
zP17eF)ydC@*kq`q^RY5BqUfW^oEo9?hl9BEPu;(9%4A!|{*rCmHd);bySnq{!RPD0
zPMNQ46{S1R<448<7WsX8wp)Z3Jvyd;Z??ff>)2cOo*%w1wW2kB*Amx>Dg_qF2UygN
zm!|uQS~PBUJNKJOdAUXI6Q1QgcMdTsZ!bJ?LRQA4=-!=Po6f&(^A5!Ye+-H-bzNTb
z$F#^!Oxp6Op>n!K-V-rDiJiT#-QGQDo49*N<`d65MxR9TW_L}0*rjRZ{h)86@Ey~l
zu4=b)1@}+r+87i`^Y`94XsTTP@x+PA6**5dZ45=%x1L`1B8<tucg};E6N7Eci`vB9
z@*Xaoc)KF?316JFrTi)1qsfmaaa!-lIHadHqd@4n?~L<PjhD{faAL<=wb=NZmW@j<
zsx_(3ydU<*=+Ket&kSQ&jFWe0q#Y?R^yz=N$w0U5^sy@vdhEeTJ7RqL9(pAO@q}{;
zFV^lzDl7@AQJE=l*ww(Utve}(NBr;_2|e!Q<Q+LW{SS*aD7BqFdP-uQO4!kYr5mhv
zHA#rMsvkYR$kZ@~B|CYC#<imbhHLsCZrY&OcKX;c2|f1HNjrZ0(reT1JZl)EnbWyW
zft!2r<VTBdUX##k$~BA;xt+X2qU=aP<PFKgsmb9y)0^)a#^`->5nt8IdQpx2^I~7U
zXRfm+&5+LZO7vUyB}l$?<;mZX9#dK$6z{%t`LUEY(|RRa7JX$~k?+d3Jh8@sa@_pN
zmp6V?xb*lz+>+x*?|SghuXL;W@n&<ZOK3n%mseYVjlZ&OjhfWUhnp{Y@E>06(cjt_
zc=hP<-G&q8*cU6?>bbdAO_Gp&>6z$qCGy}0!&eu6N|@VZh1ax5i@jVZA@wp*Lg?j2
z1B*+^$pK4_AM8GnTyCgfG*{)9dsWa2@la7QJD1e<{<PY~Wk-*1vYRN^b#J0vTiirB
zZd+wrvnrP=nUel5J6?!|wwT>>|7y#3&owe;Pwys`k7}nT9eVxgHAmC5U(0s+Z2j;=
zNa9oJlFoz=*JnMvo9?wBoJU-q^}od1MK2_kb=%|&W5jkHFSz+a?C{eC**w#`{SEsJ
zJNpe|G;4k&r?3X{be$8Ezj)96{e=F^_enc6?j0*IEa}XP<zZgzE5_zBJLgNkndJ-d
z+@g!-x2Cwv&j{w)yZ_(suK1b0xuKkU`jV9^zLvhUE@g{mVt)1b2gk4Dp8~&hKTUoi
zeLDSN=9<SFE~<xB@l_rDwDX1J>FF;05&J}TT|d6UtZRB9-}Lzl?>>4nZT{le<ext@
zq)#9Jpyu~FxkB)G+QUkj*}Zy&r_LRmU|}ZS{P@Ns36bN|4jqu#R<-Zd?_?h7_3P~2
z!kumHvwt7`^l`J<wEjoMYJL5Q4r{*r5K@`ef4(;PrwmWu)5ni9*F1ipoTVc#A8c&6
zs&`TJoHw0?rswjGo{&0y{P?v9yL};@mw!p@I=(UTh2ZhD#pyEA?<YLI5%Z-l`LS}Y
zOf*;R|A<*4*OzD8&OiV9?y7px)WVOqGCt<r+TXcJV9%fAOvi<rDz?9mcd6o-Ctd#Z
z?umM-)Qum`>`>pB=JCL3N&ADEO0!j;RSI-&xfGb(aw#;rb)-P$R?5SIEhmpH%$VHV
zd{IrDeUYzcOuyOE0`pB_8s}Wqj#RI#zNpsp`=VMG`$e_3_KRxV{fm6f<lJY=*mRoh
z+##In^{8x%W^44st%nNCj1;FGIGZ7Sus1{a=+X?~!&@^Zx30dZCLO-Wmru`qc1%o<
znd(N{TT>48orv04pk}0~-I#k(t!eE=wXWEUYHfEfs&Q8@@-_SBHe2RRx7p4cl9AKQ
z{M@T#=5&48aYO#4=OdRT>aCBTa?U=cyo`%er|+q9#FyjuL;tAVnttF+g<F&4#~zW(
zk0m9S9W{=cA$2|@*~?~e^U04rdTGav)i$cmGi*J(F>69n;u@BpFLr3oo0yPnqkOcj
z!maJ=#~!h3M~!bqNS}X^;AykC+w^0P=bFBBpTy8LQYjGv+KzUU*7c;TL<pYu*l06v
zVq&Dt;?|`fd(7O98(T>To_~=PVv{VS{8%zrLTWXe?wS6n3i74x$Bl(}y3?0P2%kS;
zU^egKp&b=&(vKfY%KCJqmlzs`Ro<Q<e)@Srp3eMk%Z)XjK3z|}6R*j8zZdzcdw=yF
zc_q8wwJ*2MaXj|Hvt4J;bw%me{|g-X-n~rPS+UaDbI*l^BJ=*oRVKLn5&7IXP0;3=
zQP=LL*T1z%9M;aY;hw(rV@}VX=Dv^rTuui`tVw)yeMaq;o(0$Cz21M|Hn#ha#pm?r
z*Qcgu3P;u(oX?)|!}Tev@2p4Dr!KEsf3D{{_vy@8iv{*?*|Q+EX65qKnu7~d&G<5B
zHvf%!_Gsob)sHc!gCbTWK01D`dP`5j>}70w<15}>aa$6xDz)a`;#4uS%$dDsqn;&n
zPuqE8RccM;%G8?Bm5Gnq>w~xUod0Ut`s}aOhb?AGM{RT;UslxJTo8LY=gt))_U&8G
z91Yg>el&5~(t?P?NBqUlXG$Kd)Lpz|MJmtqEj`~`qtcQmPP4RF_tNbMzr24&q)_vg
z%w+{Z!v25MK5dyMIOk$X^w0Q<S;|3kt{Sx!N2NX7GwtS$<u9v`uGi7edaPRLb2?}T
zC@7|do3g*(8}qo&OzEhpZt{+GsbYB<lJ=9goH?kg>-}h3Tj%|ZdsmH`Vx!zP#+{Zh
z%b3~P8<m#4e_7Gd^%myYk3VkRJ#FcR(8EW5+ilB~JlOb2ocEAU<K9~xBDc5pB(_hB
zys<LXj4zAJ?azjixn}da??z2KBF8IyygbDAvdH>XH<mk#eS1Bjm)Yvcg9Us$EtWWY
z%3ND0(zk-Yr)%d2RbAy5>)u)KHMjkC!Kf|!)v1s7{@e(bK7UL!caKO__M=YA+<4v1
z7yM7>e7SDK&b;N!Q3qY`MYG#FSAG!CRhC#aZvn@&1J1sxohv^W<Sybly!Ff>4c*O#
zQKw~kE^bnJm;HI>A!c6T%Xi(Q+&+ZZ-s6~dfIZixYxb;bD$90%-*V<qcJ3^*dl@sE
z^=35*2H!e=t3tEpia}wG`xWi9!20DSCyvF*78N+P$H-h)v<ttmP^52F|E<+?)n8>S
zD&l)3aM)A!QL(ISQ9*cnjLnsWB66#X->>C*w_<T;=YM9|O&@~VbM{<PWY;e_akN&}
z>(M;Er3J3NN7nqC_G`vo^C>x3T$6V!bT(V}azgWJt0#{d_*6H#v<F43Ik(sR>%4V+
zYH9aAm!3EjBfH7ay<O(aHAV5wB^sR@vs9KH4=(9Q+{70-V~Mkw+N%l8oK{bg_VB41
z`n3nmSm~BzwKux`M^04x53iMf*6vhz#2eVI6LUpTdizxmpDOben-!n!`F{0ri}};o
zW!-KY{n{n2y_(Q!YL$|_mCrI_sk2_#s{kSG5{=fU^%}LQ%By$e%{ef0eJ@+n=J@G$
zGV4uL3Um)S7T6wg+-QI3V6<1u;X`LR+Iyn~`PjEB%jmsxv6!?&`k}|ec{?v?o|`#&
z0q33m0s)(jf&iPI0t1__f`|&4hZ{bs9D4Ymq~-9@a~$qMzm;S(-<XP99j+`E(rL66
z<ZHSs$k!Ds$k+B(kdOPel8jlIi-k;1Z@~vc+d~tN9OQ84YCqGO_pr&5Q@io7AYYTR
zAYa#FLB6(RK|b!|$}(ntE*3I#dJ1+}r0N++i=Sm<pMHi-eEQiom31?CHDh%D+qtI-
zJoaZwUgXQN`1Jnumu3mg5IO8>VA<B~e>Q1{gxisV$QfdXQ<JNBrZ-C(#^|LTD^NAm
zZqshPc~R8GP|HfwPU>vuL!}wghg}Wz+qx6$c&4|q8pfE39V@VukUIP{DS{_l=y39m
zAD=XKHA%R+sULM;r)n6(;+?!hW7^RILmg1-OR4Sju~QOyc9ne(i#A%dX?MCB#%Qhq
zwZ4Kut*<+`B=nj}4P!)JC-0Cj1GT;+4yPsu^Gt6(YZ#-q4b=MDcu|f0@gm=vUYT64
zB&RK!t)21zS3IhIdxV{5^`@!3xo3H0_x$&ji2HxJOJ2*tcNz0h1KTYITmC&4wV#%#
z7dwBQ*y81!pZDEvsXU)}NX+fZ|5#R=n6t8Lw<Z}k`u1+}+OY8>XhM25XHVEkmc=5O
z0XYFHcwURHYAxludMv8-Rl-)M(v5Bl)eOTHE;CSDlD68_vnOB$i?XQJQH$1726xt{
z9l0KT)L*_`Bcy2|*Hm-4)>8%%3!@~K1myCBtl(qQ2oe9AYC8J>SF6{CpoKwef>!W&
zi>zvW%60XaQR}OOjZUQ-udFZ9y{vJne3p~yj8y@e$FxJ*G`X%GN@>kXp5#<&5V&yH
z429KuYPM^HG_B>Dnl#(#DYsW^R^n!-r`+zXuM(C!m2O<U@kVK=`Ie5fyAz(uCY4%U
zzA@*(yP*AQj!m&&kFLAKKU?U=IyRQuuj(T{-c@+esd{0(<5J--`4fb)K7ZO8e6N{-
z#rjo!*vG{J8F}F|z0)sm<;*b&h?D+$fAx>D&am+G9}DM9GHF^p$*s`!l7!#&|2rQ2
zPCa}5|GSF<O?$uEul!*x`p`Q=R>@+CXMfWCHiI|U1-RKwjvRC3Ei8y}j*+=ML8ULt
zgUek+<$nDBs{&2sQcfS^oF(pEso(!7|F26;ON~RbiV#nZ#$0Pv!$#q!7q>1EiV0aE
zGF?RLaH=J{Nb?h!4<8-GbmvT5eA`01oA2DoMctn_%{by2>GRNO!N;p<m){;ezW26N
z@t*9<icM#&TsC^P|CnReaO6x+e)rEPrn}N69Sdgj1%A1z*k;!_Z+XLyzBIO~Rg3%#
zlrMao@`dHtYQ}>WrVAuiv+*QP`Mqq$x+{{)G)woT1->#{rcug1dFrliPcPffPA}V5
z&ZU|w<JbF#U)y%|!{_XcoWeSn9*5ogtZ$Oo#QHTp<d4H4Uf!#`oO>=AHAy!%>Kzc;
zv9g_I`<Dri`s9pau0Lykv~-%`o(o3Y=eM3YW~^KMA>?$7&BaYBaoLev*F&BcMi<-8
z-*@+#V$*IbmqPz`iFdCjw6a^JJYL9WS+T@fPtMynKxX}et)VmB&hYyf{fXdF`OGsV
zY2B<(y(PX(d!@ciS+Kn^=!;1}n;h@{6+b*1KK)Ew8Sa-*y0=q!jZsmTsN1;>L66S9
zEvm^oHvRe4q#N-`PguNr=OoRXXsk26vbJ{Cv{xm4Ax~BHv`V*e2A|>j!t|4K`HY(C
z_HTjwoOUl2kEYwEybzN3JnQI<sH<0QX{6oGX7at=&T;LPz)>sNN2h-uU^(q<b0Awn
z{)va~o(h&%QM1lp*=i#GJg)kP`p+h%gYU|Y&J2$9N!aY9dSgj|rkPfV-T4XSZX3PD
zB+E`MYL(rTk-S&O>V{so-nNrTLeZ&)t-25Im{)xNBdT@qX4%nhQ#;ef)mk$jba^e?
zv1XE_p5`}?LcfJZbHZ1M>1l*Wi;J#mzRY#?C~xbmBc-jUj?XVU`q_EKp0|Y))}7fJ
z(tSB^y`0dS-~)XxozBdzI+4!2bkS*()FV#HmsP@!L`#Vn9b}y9voT)P_zm~zn=J9F
zk3%xKUQd3#*+Tzj=Z5){-yGRIf9ji%8|OXBF0M^2jM`+JxL@Js%=G;?0^TUK#J!E?
zj=wHor><R^sCdZQSN2itGBw+-XsJU5(at(|E(%DSn;to6%v)F(?mVety`pAKz=S_1
zHU>IZ=&w)|nQhvV7`EV<#mOs`)sZW%a4ws^?kvj}mS-y&57wl5i5O3{I?S^)FR?3V
zyP@w&>lv%A#GVOz<#{IXmF5{<lU+P#O*-GMS~iX4-YbEF(yCdRkFsZ8w{rQg>Y=%)
zy}t5$-`9_N!)rRP+=-g+-7Q!W?Ob?G@KeEw_Oox7TFzy%HY+m``Mpu#{I!x}ez7SR
z?_aI&ZN7D4r&!FT)O}lbPWicZ>BIY<JCyws8g+zpCc1VV-E?Au#)VIxmcG<Ak6Xof
z(AsoC#X7c{kD?Zh701tn8s7GN5U|1O)RMJr{O&WBbb1s-2v2;X?03awiN&H$kI#bY
z8A?VnS6xzmc1&iT`g-l!qmmQyUp@XhAz_YOP|sE8HpQxp#2&d@5^EdpX_|TQbGp4!
zJj!94BC)PrtnKB(-i5qJxsNhEv;M?8$!$a2r5_?T2G6Qy?Qq*0rYgK9@TAD<P@}`E
z9GbsBsd*N*it!+q>4J!LY&@rzBqv2kWpp`ZulBlbmU=nYbS59CjB#SqV>O?`LoJei
zUXow)Skw!Ac{$dtVmvBpny^Dg!pG)<irku8ORxM)a$gzzCh!$cwYU1t>lco=h5mDy
zdv}EzOR-5y(z-T-GuH*UH=7(ew&&QRA7Uzxu5Pe$xe(f}vFoLPbGhuM4?9iHv`y%h
zTeYWJ_P7tP;*5ogB9~2D5*ynhB33AxiLLDG5RR*|E$vt^oiFgqHN`eNtCWT7`EI@l
zuQEE2-T!CKr+3fpYJWAboB3d$*RqNQlO*M)-KvgUcV6v-$4X(Fuva4fQ>+dLFHHaO
zX1kjDwZhC>YGT2m6#>V0_iTv!U&<Z-;HgpRj`;7dyXI#WM(f44uijc?D77iYU{#-d
zTEyBzFRbErO?tDmczxgY9)nLO?(Ui&`bWb>>eUgZkTYw(UHiA{(Q+%Tuwxc_(@rb8
z3m?<?HobmVYEJBqHAlQQag{IGB;<WEDDv*KQ>Xg(Dpx8@6@8d$>ZhfxbSi64NSM>N
zOFLDc&J{WJYFS9wk?^qUC7V74u3zKlk?L9z=^OAyKR!(OwU*XD8~d&=r^U=Tf2IFl
z_DFxvS(dix+c@2(Y}0g`woTG)>NedTz1-Up!MDl`wrzQv6q9M)`Elu65jBy`4u%Uf
zF0-gj+g4c~eQR08m3=$Tept07_hD-0?PJ}SwlzOrnk#<Z>$azjZtn6AOKKIld6>I*
zCvn>7MM)fdeaXyq{-pMW<(apg)?eDzJ8x+&yRFx4y<O9{O@0w}%kQDDA-5QtXli%A
z<I>!w^G9_eMCN<l=8^ZlEoL`mTkam+T<ePPTV)^j?a-EP?oNHbAiwbP(Fu>zgq*u)
zYx10~+x$rX=(37!sae6A4hCyqMvK;ma;R)LB(4<kjyq82bL)>ir^GjHI3m7j;|=km
z4JX8lHeL|-N@~=fa_CRdu^$QHZhKtMes1M>_RC4cxmGc5<vxM+VeuW|YxIw-z9#-?
z>TB+gufDbhy@)y|ak$6m(c3q^$(Ak`jvm)I_QQ#1ajhcv;u=M1<yu8{<r+ot!?g=l
z+I~7|e$h-nbLfoGqqX@R`Yr}H#1~0C;r@8}nD{1x1LB)5-WPxL_KbMZ#r1oNrISxH
zvTr`kC|-Q3Q6+3Dv*wzdU#UmM53;MSel>g5-kIrsHPe#6n)k5nb2E_E2%E)Q6Z@$*
z{-dKy`U>%#CtNK!W+}^vyj7Orxfvh+$L5Iuf7G^ZThgStPq$6e;C6hSuT_`0P36OT
z_bQHm-5&f$>m^?%{#dVMEB5cTM}O~swM&m5*!O?g@#DObt>>TR6X%Kio4jJxf)@f)
zdEQ_9_t=B~V7<u8g+KU}ZF&AXE;;TLz2vxC@RH+<-CuSrm^e?xV~KjJOGv0eNsm`s
z`IG-?OWGgY@Awk%=k-Lnw)&ng5kJHy%1QsXx%BvfyppY6o%l=7NAq2)f__wnghsp&
znaU%-t4`{r;t!=u&L1ax@E`71wiT-re7W)CX^;NqS04S{@vfo^d(Q=mvDbHP+VP`&
zk&fq|-GS3Y{_VXV_9rO&WYsd3Yc<NZTsM4^u8;q?S|gn4_M;mdd`C70%Jd{BTZkM_
zdsrYdyH~j|hwt0*jXQ6MAD?#Qp2aqiZ%0;Wca<6nJwAN<orPIbY+;Uw_2U~7Wk)tf
z-jF+<mRu<_yScD1NAK9NjjD!feY&j|4PSL7*7h9v@xxrJuQwq@M*3)ng<0Ff!W^--
zqZ@BZNF9Hc;2|@+`(R;?Cr?+h_rm<1U7I#2x+T>#yb(U`cEP%@cTu>EwDWljGw#2S
zZu~f8)OYsa9SbvY=A<d>dV39H_{@$L?7Sg!c<Pa966-{^)jehxz0bSz$n{NiYbVA2
zahtUIXz!N#Rg*aDejo07-<tCNb+~=-E0)k8qkG}&q(V2be(b3X5Nn@upw~@RVTa~B
zcMS(7f76x++u8zbt_ifcOC>#A*mk30fg<0#?2hhgsYyp-c|9NY?JCe%w{r1^iC=cL
z3B0)|&{ZpSXhXcSj?4uCX?D{i2Nih>3nQE-Su9f2lnE8*JFHtOVpk%2S)l2$l+(s=
z=mtEgq{my@EG!l)>YdB-5PEK+()#(;p^YD0WLx`1L7VUv!8YL~J#1;aabv9_-@1&B
z?$xiDw|?~EmDv-*EUte=q4RmxghQL%3h!$&oLYazYr%1Io*4_QkK12-#PW|{SnbDs
z-x-hU%@W)GUv1I-qpz0p`2YV_M(Y<zHb&zA*K(?Vyg##m>;K+`A)32pemiS@;{U-G
z&VTO0%YMw~VL$i#^t$^$X4>WR{GZ(z_2WO!m1F<27x4X)XD<C%FP$Oue|qDx5A|Nx
zkDd;R`7%#0-r~D7$Ff@IJGZV#3(XLHs4zqJ;er{$4-+KhA8s%(IFy(i*K+vaACC5J
zR>3|MF*l2#h!nk&u9eSJepGI-IOMoN<&fh>lS2nLoS75YrkU2<dNQrK*E6lTxihV~
zn={Q>$oNc~NLt-vaW>}pF8@!Q;H>-M?d;ekz3#q=G{?VVISYPxZ*F|J{wy1JeX7}w
zAM<WLc<?)|xjTObujilaG-s~=M<OnX*c+%F@b9rVmge|(CuhMA?#+!4-}h9etS~6z
zzHeG2ec!N%-JnRkKKqGh&FvGxKc<xkUp{|y#YcT*8J7RsN_;J!csw|*!F@11P^{~e
z26wY)>c$V>1I46G1I5^1YIJws((o244V)%&%XNzV(fy(mIqZXt0>zr_-6A#i^=&dR
zad|RLQS{-42|Wcj3Y2B|=5VxgTOXQu<h`<t=AVbVMem<73Eg@%B`e!F_tEu^^_vwt
zAMA|J`V^yoNa*0~H{Z4P#ZH@bgn7TeYVU){ur=$sqNLA9G@po%-X$1YoV0DNLhjCK
z*R)Db*Y19_o>lDp0@V*HuepV6&UHP1&gD4IY2Bt(aeGo#mrvmQ_3VgI_v!fMm(RY;
zDYWPO63E<i&+_8|VRm26FIu_6Uv!>n#T(fEaoqRr)ZbiJSGyOYCl;@<_bD*%?%FT-
z@YyM;^B)W}1Z+;!?SGVSyKvc@(|VSkDnDY1woRKmKV{;B#oq-a#DDVHPua$KPrN(C
zWG2hKpUo`!-Ie(#<xl^0nDHQPADhv><sL_t+a7zCt)L-1XHx3N!mi6&GavZulQDjj
zwD?EJ;TvJ~GZN<L>)0JKwG&XA@qoMgz1CXZ7S3tE59vKW&6L=*^yr2it4{u0;xHp&
zk-pBp+U+U=Y7tjng=VYO&z&qS#>U!ow9ZjwqmhQd8trA8>uQS*r^iRQytsEzUhTzG
z?(Rizr8$JZd~S7}S@&tF`l&6e`@4<)zIeRIr~3DfA8q0D9cIjbu{KVAqUIk7t&3s3
zdv)XLA56Y<<JP0E>~^}BZ#c>rf7||N%cFM2OE)ep+_cYBSL!*Vt%}8pc9Hfk6CQ4m
zi?X<+G;ek2fj=u}Uk+|^XY=}a!$ESM#ERDCmLHdF>c1AUEhJ5<aLZBaucxb)ZIn8G
z$TT3nS^c5ZiuIZ2OqN&n|JkQHrNk^&z3$Dog{lEtTf*NSPdIe%e4ah4u-emAe`R#i
zgjU?rTN`yEdZ}Dc$z|uZcU2h+-Ln^ToW8L7miR#~j({d>Hm|~S4w8BjD_Xq|u2Ma^
z>g`pj6;Y2ubI)H3;Qg?wR(xG!(A9ffVY-@OZ{LdL3+TOict2h)lUL=%@0U*>hJ9SJ
z%ST39Jc{|Z*53!8CU`xsoH1!W2TRHQ^BVkHs*+8P-z!nO7&bNY<kj?9A2(ci#O?5h
z<?%$FPoI5-UhhA4R_x=A>VP$eE(bYIy*kT>-6os!snUA$$CWEtxECxdPHud9>1##c
zE0;qN7pChT4dMxCjGOAWiz(~S&lrv4kIZLR+zpa&{+lIwZ_2p~O?T$?Gfr~57=B5j
z%4=5ZyGdz}gZ0)}{!qx&yXPGxwEolNS(~Q$mLItN!LKMXhGUwI=GFfl32VFTIud$x
zf_kR8#N{n+`ue^zR6=r1y}#(!`}T9Xlm7PeUbE-C`u{`1-`^V+9B%p=zl4dG_uBp+
zp2wyp{GD9$m!I=mecakZ4-x`}0{?Gs{_5cJcm7n9w(3b~5BDv(S)q{0H_vlcxAdfG
zN3JIP?Uq}~%+_Lj_H})NcVe2#yPl4j7ydm8(bN}sll|B4sCjqO_l-~YDz+y0I|vFd
znXA~E;O-zedC6PF)`VyWLE)uq6<ZU$9Rw#YJ*(K7F!{g2k(#{Z0EHV5`XASt<@<Rk
z&X5jpG1~3Kb#QHiQeRD#hvE#ufFpr-c{CnL<h6)2y=HXv*|NS>q-iyyYt7GRULJ}&
z-h|Ki-gsbwQ-R@v4&}K*K^$uAB85xbow*MFY*2djBgR9~LOQ@D6SRWTQ8>Wm=NukQ
z7ImgBjroriTOUL?2zF2Ws@R%P?jU&I+)5&d!;f7g@La4Fi)d3`-BF>Zca)cWV9j$7
zd}^bxMBod*#-cgze|*pDEZQd>;9_~7Ta)EGbC<?*cE#2Qi4KBK|7a``u;J5KG-rN`
zNYipg*D0UhPH_5gr=F|p%^nUe7X80XC(f*1;PBy=e#e?UoLn`DZ^d2Q?y<7&Gx*NE
zXqP)XtKF%etbsS>o0tx2{lB<1b+zz}S=?GImz}tdewlE{VDSzS{XP4WTnZy2DoT%j
zaF~%4p>W1vi-qskx+v{9p$P{BJe4JMGDY^P_9jkP5-FjPY4&T2n44?9f@bWz8IgM)
zJebazCYpXP^`^9Yns#ZEC0Fbm?a5QE4%)Qpo$LJRH8-KrNu0N6VUT*nk_)b%W7b^Z
zoTgE7F=Dz_X;Ug!tjQTguesNkIf<VwSrMczp%&h)aqgkk%!HOXUFLRuA+J~#i|l%_
z*iE}6P&;{w)%*6RZ#vH9&+$@|&_Av8kyC4C!Xlk+t~pC4aq^wq^un`jWs05mgw(*w
z>JX!Yagk!7+x=CA-<%h<k}KS0UU2`w0tbT?H<~N*XLv5CSMV`dU?G_EDd?R3xoslq
z&+RsH&F-FDJYP%g`RuOApBKcn_8w&|6u1(W=v=rgQpJ?zwQ6tD+$Dx<rkZeftDHTS
z<ypMJ>r%{`DO*&+MD%Bhn69^9yZZc=knM+`7ECk^UA}S8juV<)Nltrp=9tV6(C5w8
z-QzsLr0eLUV;kHq>F{t(uk!}&`C2B(e`x7@#(PBt<~0nue;D4e^DFH4+AW^L(HeT|
zZi2JM+Dq?PHV0i<y+M7(RHX;JiRB+UIx;wRtMn!<Ut;)Xf(iF+)w9RUz$-O=Sb1KW
zv_me3L*;^i9^2`_HGePd5YuzND6p<=@-yCtUJ;LZA8uL_7&FPF?eV0vhcP?8bn`w;
z+404j_hIS}1{Y_Gz!=fz@jq@}yL5zqm#*@x!*3q7T61>3iod8Ox9C#9y{|eNal%t9
z+Jn2pw=i9ZPU;U@_S(0>YU@&=8P~Pug<UL~_oF6tU#s(z>RD!gYxbpUeU5$M@4ij!
z+=K(tUVI|!MOoqkiZ)nm`gz~~(C-Ixxng^~+CP6k{->%ojAx~Lh(pg=_wK_kA7oXJ
zhZyC%9)0!w$*pB;DsEnGc=Yt#rW4m?HhEn17nA%Yb~I+$#&s6CvbP<De*Vz!7D-N-
zm>AQ3=wa2Od@bt$-XANLvxzicZAk2pijY{vX4Y1!F(*v!gZRS&-iO8NybC2(D)KD0
z61g?Wy-WS;55CsMeHv%9%#JiYxO@3%3txTcNB>v1KYp$H93TECvew$kaOcg*GH>$#
z-|fo3+C96A)jU3+Gx}^@r~a-ZxvBnH8Chqxn55p8Ds;azt1$Xb*0ZQK(RC`H9XD@V
zw$IpptLy*83N@Td6h({=w}=GKUaH8l*|a68PvRI$=IWKtSVJss2tQKcUCE+eyhr)e
z>W9_Oq>Jva`Qgo<DijgOC$L6Gb?U;S;Tu&qc%9k$X;ry+ZEwWfsfSorA65Msw4+A8
zcIyj%mAMJN>k1l{UJ}}_;o^R8X~m1DMLKu(&X896d0R^9-0^^{B_iqbBw{}ukrw|^
zXAmFroF{8f#>NdHn_PEx_P^p*+1k4LvD3*<YZ9t7A}9Hmd1){{Qnii@a@{ofi0G+3
zvp&b&u`$#T@ZsPvY5eHenBc}8Un$NKXJ#`oJ4yFlFvmJ}(RpXiPH-w%9kT3Uy6m+q
zj)S{`oFBy=|5enGP^sxxSEJ{<pm1Y|kgL+E<^40NSgwA1p&RMC@N3r3MUU7Mr|Rpi
z(tf=$kcZpxnw+iI+Z7h!8qc2AWU&9-^-Am%w_xQ<tw`6ndC!|FKVET)Gi&|i<PvAs
z?dlhI=K7DDLA6y(2WK`MSpBLbK*1t()yHK{z5BJVro|~%NQYDy<vVd5e0^!=`}(vL
z(6D4sSgn6=PUs4j+g`j2RU6+vWjM(9{)KB|#pweq+d7X&oMJloZOw_)$OoS%PB`>j
zNwM`&fJdT*OpuNYr>0q7n+W@Hr>^eDimIK$imI)X4>m8jA-LtkA$!pv4!?GhE@Q>k
zhdBm`ZF3zD9$L2`A-O!DV1v5GjvLY<lWvHM1l@SwyF}H|M*GhuOBKOA8Dg=E;=La1
zTOxR8vPswONyiG@FX@!z%3sSVnQX$IzGR1<ooAGgysB<1|3l}+6>{4?O4aCRvb<O6
zeYAFo;U3QSO%Ed@HhnPBxw%7jnv4yfx1L<<QWd$jr6O__#mo6>d?$!rUdgw|A}z&M
zv$eQ#rB>s~M|-1dy2CH2d^{q4U*xO(vdB3{lV&$xJUj3B?<+BzpMT^k&kFQ=m{4se
zD0u(E18ecQx&N}oLPPhQw)h;)t7Ng-S>$<1$HPDQKZU$nH)Yx}3!d*h^TT~}b3$56
zv;Scw8J^AmK3*5Kzic&Y&+=b&;)#kC5~&L-1X2@s{NFu4{LhWwZJra^KN)_L=b4ev
z!}<A@d7|C(|Lk_R*fyM){X^nf>-|-n2VHp=TC7v#nO=W-`aYqLpC&$FTl07BG~t8S
zUs{UR?44(PaQWZ9^6N)UT~cl5PmzBk5yH{eD$=E_*xDbWc=XW135VQQO*pjs>x4tU
zOZNv|o%OnBuI$ejF(IpNhnkr!`>DCxd!f*q;1x9r!7F%vi>_*AmaRM<9`w22T<?|4
zH4ZT^siRDu$usmb#h!W2>Yd5BcI8Irb*$}HA6HfetQONxdw(Q7MfGNN%%r3__I19g
zzP@ISm2(eC9jx(u{BxQ~TkE8>#EDBj)_BiqR-Ic@8lk*t&lX|%Io@3HCv>?vY7DpA
zXb7y~P?_}b@TV6O4*7qa;ACjF#Gr>;Q|wr~i1gtm>*W!WA`)S&tlX=cn|e7N4<7um
z;6aj0fJahFfJb78!-MXL|74k&4m$jQd9anmtyQGyqGD^3k4K_}bdXLDx2D-K_HsW%
z4GjYxJ}$8~7FKEJ=BDOE$Ad>dESPYlW5EQc?+)jVOfC^-n%0;3=CgRru9Z^JfBH&-
z`DR;p$?Z_sl6@-e-<4|%H*FP`54SG~6SjN)TBj|W)ia@;@8iz>e1SFZbgYs^vsU|G
z-}YdYp4Q=H*-aaK+jDZR%1F=OoyBojk9XsTjhiKmL{;Yho;|C>>|KV^t)Cs+O$24{
zToVwtHdX2Lmzs2_)-=;^!Rh%|S{`)nDwtg<ePf9;i*#wnqTBPQ<Sy8GgKgc*MMgGP
z6}hELP8_Q`8yIYJNuceiRMNw}yR>F==RVk_wy3v#R!6H@fwS<%gUq~z8zY@3y;!yK
zyvV%LZ@s@BY4X4NaPhOVdSvPkjg@-e<0b_a?EJpSX=|Zl(9z!KlewloYH>=nSP`Im
zCTNA3oA;_6g?IfI27L)#!BZ=;s`Vb%Rk!OKUfJ$6i(MGx6TE^aSaemZCfC(tDV|^J
zOcz1s@Hn}y9&!QA;VtQ6mrc_Mv3nY@f@ibns#Z&`tH)wIMftsS!d8fQi)bAVYRyWV
z>9p0*A?WC2(^wHLNAp&%i?Iuhz67igtI`UQ-m4O7^X6jwLZKSI`K>WaC02Ye;t5(I
z#-<%2?W_`N!)Ow+P>3gZg~(x1t-~CxS&2)Wwi<c`9ZlA07tuPH*m^2FiEHY^6;4|V
zd=}oa&|A5v-)d<9r=NC6moeAWhija+7Wgf^Wud=v(eqtv0yx)cgmf`;O-<}}+PcAM
z;jJB_dFl6r7p*=N_g?ejRj#Q?y-ukSs{?e`1g|hF^IrAh={Jp#roCKKljb`;&5mu&
zO6+lZTB<m;`Ni)%t&k>ZuBnf9Ii>Dc7N8pwy25Oo$Ep{C`r08)-ds~3EpkfTu^>R#
zCVYjN-OsD`+d{5YhAVF?|C4yM{O_tC57TzB-Tw2Md!f0>)s!sbIXQ2CZ0?d5?R=k<
zHuZbU(_eg5S&3^KZ$&I$dXM=lo7aYu4w`1tD_YmGWhKv^)>XFUwgcxk35}z1%v(3y
zcgU5w5Oi@<pM=Ii8|I}mQWn&hB`+{rmbFr1a=N6(!5rqLGm;nZ6!T6w-^p|3SdFe|
z@((3u)rg!0HF?PkYWk8F)T~QhU^Xp#rNn1_NsV|$DUGAr%&8S=3&iB4SM<6^X+7ic
z=biFkXJgPFgMhZ*Y*`PNHr}dOyEMt~<3R_`dlDK)4VhCd@)n5sNv!Cd9HsS4;W^Kg
z2YVWWVhjTIC7A?pyYpT-mMZ&o9<zP+0+w{1DUY@^8qF~Z;C|10<(Rdu=;Eu+%&HX$
z3q)4)Oi5hY7<D6Yfmv9_N{Q2HQW^(&n3vATTflRf=gOfJ=B<Sh-bX#QpLXCZlhQbv
z#hiL0Z-Ll0i50!PvUO1(okd0O9+R@&vcaD}SLTXiVVe9UXKwB<7mhi7v`G;B^fKvR
zo_GHLze}3DF8D7DstH<h;6vcTs2A5h=4)$pWd(DWmH){*y1naRj@9(MgTeoOSI6vH
zx7Jztns=1(w9-F;N56N?`r#3s>RY<CqGxlwx~hW25^xLaVP}0)48x;uU(=WReej&9
z{(j;jy)N5|ezCcs#~-{7Fio&n%O>WxvavTi>%oJCQaf&}I+rI@eO00L-P{mWr;mX<
zxldhhJT*n*;5)y4N89;THbgjcEX&|Hn!=knV~JwTsTGQ5X_+0(mQs(B*0re=#yAIA
zEYy?flFd4>!HriZCyZJ8_>}_(15FDGU3e#1tYOoX3E0Nf#((N_JO3`Px%}I`?jDR@
z`tH%jApb{OgZvlnf5b5BNV24`=-KU_HWLgl?RWXs$g(So<6sf*!ia^6H3zN>aIZEw
zax9CtaD$t3%$lncRIX)uaCu*CbvAV1mDshSvGx3;jTMqNUaanWxS(HZ$BU(Go?il*
zMXK5yOH+%zv(0+<{c9IyS+UY9!P(tY<;DwnNp7Y2!I~jWkz7-gwmChWJH=_MLHNR3
zGt|?2k|ygIotfxrCpg(vIymLx!H~!jLtnAUGxWMO&rB$qzxJOL^Wj+6U4b!`PUj0`
zJGP(s!ts61&R1R^*Y&cjYmd%u6eziTWZ{k#ork9<NhLk3aldbSN+~mAmFMTsX?%h)
z7ZtlsTOBEIYS)<)vha`1s_-2Tifr=trcPYYuk)!mLQGPx^|kTw&lf_=u08g3zyH{7
z-VMFr+fD94Ofy;HPAVPrj(pNx8<|p}*DW^BGbxzqbLzx|Mx7v?iLPx&H)SLSM&ztK
znNYUFBPwgw1_PTPTg<M9Y+GV@_5YQLJ2szIpK)2GH({6k#XZvwL`AAZsCSFJPMw(8
ztP^!Zqub2P({j-a#Y~>xs=eETJU17<iZ}c=FR)A|GwJDhTkg!I*2<YZCd!#^X<K)v
zpRasrTrak|OJ!Q?s#mL+ZccGq)OL5tG+(om&pZ~te79J1kK&&1_o|x;SR5+&n-n+&
z?g=Q!Jlw0wke9O0=zVI9;QG3f=J)sXm6iAP@Jv2G<(N}nhR&lE$CCOobskpCGZnkB
z#;|?u&tpsH*X;<Y670V4a1mdu-ir&5_wd#Fy)t-^^U_VNS?~6isH!&?W}NryWsSLf
zihJj(jUC!j-8<K8Y>|)C{+V!i^;Tcqe3Sim7W}inx+>aE@9!D^xYciLbpFP;-1}{_
z;pL3oVr$nu6Fz<NviIi`WjoH>&hFd!zv`rt!sWHO{}z_y-cXd$o9?Hf>Lfd<?zf)-
z-*ld1Pp2(3>A%hPxcFi~)PdGZUqjjpcPec^_PDF2<$UN*j;r5O7H*rmsbh!EeC20t
zH7<vI16Q0Ya2L}$oV4xI>_63p(J$xLT}z0WC*k$^$Hj!2a}r+M6*}AEc^SVyxwc}W
ztKFgJ`)XVcFh{o7OmRJ8`BTQQ|B14Y-2XjKG|#R6%A;R>_e971|9|!Vd)Kc0?ex|=
zYww(YozEU|Z}oduvGCe5S$!L`t@~E2U9WlJcluj>8NcQ6B^vt*Ebn|g^f*8{_Fvq`
zqtZ9u7tYa@6HimW7pP-c`*6eN$E~N=^@+>vj*d@JzjrUnq3n^YtbWY1vOOPWOy0Yq
zc+=;anW7W+7Tmc1@zB%NZS@zP*6Z%8aK4)qzkSymAM5Du6X#6UJ#IaHWB$^$r{YAS
zOD#5kJz64nj_YKch0M!}heeAXw|e=$?tT+f8_j*<+}GG?2j8?t{VLn@!D8N0<LZxY
z-;y=dyN-)qu3Qz7WH?vcI&KG#u2_)I^W$svHwmxL(^atkxT;S)>*a-yhmswyJ$iFg
z`ey#onDaTohWih1651@zr)(X!;<nmq*Kba5b)$XD9@(vBO;MM-@$pb<z;W*We>c0Y
zcg_53r>$H4umI%HpMBzT*Q4W8p3l4=<xn=SJCb*kxE{N8Tt&^~R5Or!F1uED9TzqJ
zoxAZ!QW}5Ro&w7YADu)m{Zg|2xbGU%CgJ?o6%RLUdfa-vOK0=V?nj;df8We6{kizq
zt94uRL-zj&3e649Ee$UHdV1^C^;fQa{-bMJ@br%N)~}~*s$a*K{Y+O?`yKje*}God
zyR+g~y}!5iz=Q8=82OyvFs>55$!sIHC!6=f&X4c*zx^bx%IM3>o9R;O96b4#`_^UK
zUa#J2YE%3A|BFxSbrXBD0xxX+xAcp8$^BpVcmG)`_cJNfJ2W=4)BoNt``v$}qhs7B
z_CLMwtF3D775DtV_hbLXwqEFKlAjj0TYT28YkQWMHEJ!I$DaRpwch_dXG6?OUWbLo
ze|Gx*EH5;xdu`3H*n)Tazpmf?r#r@{x-NRZ!MUH?|E)PK|GZf$%6rG}YxB#0PM-57
z?DpEL_bR<}gNwIqt=jJG9~=BTtJJyHJJ@+{^wle0kG3tlzG~K`{D?bRd()OW>hj**
z6?T2!lCnK#Z?5kvzASY!<oD)xTaT6%KVDmZ`Dr}Y#H+ofy0N>L+Gi}1I`?(Em(^5>
zuUVn7joEWKi+9|bX|UDfwa<*>PcQA>q_QU4x4A3C_s>C@1x5>*^rpsboz$>vnu)VG
z@70Wz**Q6@YB#<<->td-!?pO5pT@R2v05g3rY)^%tqE+2&U+S})w|wZY<FNVvoX)V
z=eoL~FF#)Pe!rei|E}TXd%sTW)vs$WTm7pt`ReOZ-q5TGbE7nmBzi3sn11rugk`r@
zNtG&c`K%IoWUw))<21|8kGaZjnU9a3wq3k$;m&;v7Y4HSOlFpfU$-i}d=i&Lw3S5}
zOUe28i-!3tW79li!lzy@eE)Rj;SJxfl<k}&YVk#y*GlHv!qbAXRWer>o;Q?bllkwv
z&rNG?l&-B>)|vE4$C%WzbyB*HDJ{#=N&EG?a@vLO`KQ=dKby8{+PkxB%>#UMy>m;u
zXBoLY&%2Qn68$`%UwX=J+3rMDVejgc_c8h!Ze{N|UIbgcy<)Pu($)8<{uMLPAMeaJ
z6<Q=4=%w=>dtBZ8(K6kD@j0(r%KU>aIsbi6%7kB@leT}?wzZztXM%DK&IILJoC(Tx
zICCleeEz@6>Gp3Y=$EZupZD@s!2PhLd(GZ{X!YHl^ZTrP$<N=iyW-xa7P;hR)O~J`
z{kJ`6QCYhD(+SS&ZHivbbkFLX=Wb{Jw41+Tp1b1tPa2Oh<&OTD;m+w_^fs`1Q_O_u
z2ZeH$Hm8)Qw^u$XRPL|Qdlq<LsSVdp#eOBd=YeOY+Ia1lmEC_U<XMx=R*93!?XN4J
z6e;%G<UN~seyt7Hj=AoN<)1Vj<;fkrb9!;h@15U%)J*37#J%Rca=T#V6C<O38@Hzu
z&%dzYl9=qSnEY8IDMs#S&-ukI4|jfJkvYN2%BuEk;^8GWL8senKFyfqZWsJnBOywz
z)#v=;4#rB6%gX&iRnKk-7a53)h`pHV&bRmTiKYH>m0!+Il`GV8Px@6C;wSX0Cd8<5
z_03hQcI}*3w_h{z<gyLhUZ!r<Ta~*iJHYzQKe5*zF1M>LPPr!*@p1Mf)8)&!@A&?|
zwff>}*Zo&_-`QTZ_2bs6`R!ryA5Oe-mW!@EBD^~M@Vcw&Ie$+~iff;`?aQh!{o6&?
z_sviEswcemUh<@?zkRm-YS0XmH@f;td0Axb8H?5aGZMYmpVo@5y86WYa@d|(oavE!
zPv@-uJ|p?7UGZAGgn3unc{cy*__ys##Tva>#iv`(OU>r{ab}tGwOb`etY-K9ys}K#
zZhKFr9<wg{>ec%WE|WF8wdJ(h>~A-6F55-tSS76XZP(fIvSX&1tnuk(!fDY(uRd;k
zny~fFtmMml#ksdq=lNEj*`7I{)lAml@G@tyn<Yn*X7@!VUFP)GwfriXVi}?PciPP@
zht<vAZo0GV>XEF=cHuh8?NMfN+cLKv+5WP_+f26j;xb{qnv`>y2NJw@U-|TT&(fT&
zBDU$5_3q!^ay)YOH_PP9jQ4MroXDIV*6lvK&oc8eC%djCuVm86l~GLfiJH;6?cZ;1
zIX&I%?Z*4dYWHn=*|0v>>WTF1K8u9QhSj-N52L;Pl-r_;q(m)JG_8v)axUw+=iGX{
z!uPk#=9dkR&18!XEmNL%v*b+iY`-5TCtaSAIBm``)~Y|fuGU|+WKNGWdwcQrvf5ua
zN)7~jZ+<+XZrkFkM(39$v)w2;bYQ>XbCbj-)#aXz{ko~@e9bwxp3Yl(v-7y=>~A~s
zE;E|vSUp+h>wag`%Ne)L<`z6(JSqLS<=vRuLH_qImH)fc@Mm`AR@1LMXL7%-mY((M
z=a0pco^RL8S+lxo;X==;mM<3Dzx&kwblJ*hU#ouY4gIvOeB$<flf%wW==YkpUo7_f
zuUqrYuZ3w_epy_9sa0s^KJ~csAMPmLtX}#x^rdyw*Patkm-?2iKmX-KjOgtst5=IB
zefJQ39~SyO$vJp?NbkATufF~1`MF|G;#b~PUA*hBnXPo|Kf840#)a9%&b3unzQo8%
zNzaN7+NWo}ZOawYRXHO1(T!ilUVo@ucQbX{0lwK~H!?5l?asNCyxsTrn~g6Uo|?&S
zI<QPx>{iJcrP+QFIVV@1W;=Z_OMLs0WwO(5Z8>c;``eEr%W9w9E;+E=Om@?uWy)c<
zO3rA__KV0px$?LhSn084vUZy@r_bK$-kEwU`8vDU+s(I^F@L*Jaw2Ya-;YDflE2+7
zIW%2<M)9l7Cnt$+?Y^C_8U6NA*{v<7ubaL7*z5aSX2Z*dW;5AOYkl2iHl?U0Y+fqg
zmb39j$5t`v&1aK@x7CMyV%xOo?$tHLKbj}qj5w=(b?eLH1yZk8U+!G;@TmCB6|7hO
z?OD2Q-?DAXewLaRUfukw+5Xih_Eg5ZQnRoARZ1+K?{OnFH}lXf`^1o|cVcFryZ72o
zW99}gyZR-|<eCF7_Z?hu@N$Apm}JkZ6Ksq1mK|KdooOH#oq9Q7LH*0$&s2{kHXPi+
zV<OSt&wFh7^n)xsW)fSkno4X<)c*U$clXO{zckk~9bR)UamUr&Jrin+uV3q**sJr`
z!fECI%IpIxF7>aN%DU%9@7nySI#st)ZLiyFM8<CYoO5%>(vq7u)?M;<yi)wNdD7GD
z*z3Q-Z`pmna_7d{ulC$Ida;jBIr7c+vfmyob!G3;hpnrA&APtp+Lk?Y=Ix!=yLA2s
z4bHQzxf*{x!aO6&wwo`LzyEX3m*B>wg0hR5Uh_`Xmz9V}UvcsD`lVOTznW6lm$&v|
z&CB|2wFf?IzLYclh)L-F15$@S`fk<r4UK&reCSn;T(^9<rgyU7My>eQO6S|xo=IQu
zwb=ab<$k?wCg#_!T@#rRYI)+}<xA^s3x))Thlk$1^z~}gwCB<hJRbkGvlFlXn*L+S
z7vpeQ!>?QQO}CV+4buLx<{j&_QY}AS0c+9co0bF@%5{6Y_vPuAp8i)Bl3QEbXtz{3
zv9s_+wt?PXo@0yuv#ZWNbY)5K5e8W+o<9pxeqZC_?h#pWc=GqsS4Hj%<jPrJ75gt>
z{LU)%$zg#YKdaPdj|H6l_peL6`Lyw#$=1LfYsD9QW4GtJ@^qzx?L5va&v!a7*9m2K
z@Gndgv}Kht_E^AK{6+iCMQ{Gl)jxJJmCjf${=hh*ozb~O!YHI&@NkKQaZEd>vdN4(
z)vvm|RysA>@xQ+uzj%KC+TiwLrqZ8r3*^#SUlqG8V7$+1@??vHvLC0(vn39~etXuM
z-bih{mvA+^|26B1xN4sTdhV>R3SAc1{brTg5WGNfH;dH9=mmz=EVDL*=WjS(v-jYt
z>vapo7gW8q+siP&vT8r)jMaxHSX%YWSbKOzwUy6~58vjO{B%$Ku=SBYOYPT%8Z!H)
zwVpQL^ua=bdw%mKjvrxSitQ<aAD8MR^_@_9_@Z4kdPc~Sc-IxrZ?vnPKB`o=cI7g~
zzq8Iv&wt)mu~yUe(UEr5)t8j~>^I*Hh+jT!hC1udS;4Va?|g}}U2doIR^Y7u()z&l
z2l_VU1ufx=pE`HX)KxRT@8`I&aC=K^mFJDc%Uf!zJR*AkJN><47PlblyI#Q7Oz{=B
zR&$5sUSwTW_Kd05Qs+X;zW+<Nu$%0^@=tWm^Ze;G&-S^9d|#clGWO_ZFGc+iTY@}H
z?ZdcMK0J9_b@iD^6K36-bjxO)%onD9E%wmQ8;SyW#qaA?S_KJ(%(h+r{NHWW?0ZFX
zYcI{I&Y!-f@-*`;yWVqmw|jqUQ8nznyK2_!)o~Bc-B$G%IaQPE&AgpA^hD0oTaWJ;
z$*ptR@w4ot`cv<m;#}|ISZD8Vf2ZwQcX!veg2NNK-hI6p_Npq=>T><+)l%NymLJu>
zSo=Nks6zY6sm(FVLij$-h_XD9eyd%zdefKt+n(;f^)9~p_7j<PQgcsF-R^DnC`<m%
zoh3zU+s>a(J-+4BwYfL=mtJ4_;?k4t*Pcu|YPF_ncgm5xH`8o;vuq3EUM9q?l6f|r
z@61vy*-PS6mM*=0I<!>x<+Oe4F2tNa^vUS<+~D(DzMl#S{<=DA&icQRtJ0>22d%vI
zC2C1lSY_O;sjsgZe||g3H|SYN<*MZC#*6Qmtk$i*_WHHt=eU`fFW0@>CLW&?aNMSH
zdkX7?8*jI+`jWjc{l2!O+-3c5TWWtkSfX=9$X6_Dsv7s!fXS`r6C+t<CbiDuPZ2EK
z@MX7|@5}F5TrwAC94_qLIp^|><CVQXV=hD-NbPNnxfpTe>ZSYx%kF>6`F$>Q`>jX8
zb6@69&pGVPG%MbJzxT~wsSCc$&dvY7TkroViR<4~<wY&NzWu-Y^nO#eX*G%-NBt^y
zKY6|4<%8?r3|6e$7WMb`+HJp{t}DH&TiSc~^{nifF}ox(-~DUSGW7j^>^sYw4e$0&
zVq7RIenYdUJyxmQ^6R=Iw%<BF+Eu&CeP|8wogOOmEkZMKzNipigx<pmTZQ^=Xg!>f
zE97@0&T5ayMk%eVOK092Yqsm(*OrVs71Fr${j52Mg%%e_=9I{F_nb>#c#&17;`#-a
z>3^49tE@>4vWuS`a^Sm~sL|Ds-VRMQQRACSgw*y%8zpQt3B78*yr1Q&h3(3cFm1u3
zf3LfAm(EDosP|a+TJoHuzk0UbXiyZBzV!X=B+IQww(YqW;l2OT+%)gt+T>aDe@%Z?
z`DOK_+h4A}n)WJu_3ltpu@~{X`ZAvLF}u7o3V0$X-+d>2!U<-!Zkr|kFWvUOJtiGt
zwRGFvBIbL#H`}+|&k^>TKYQ!_G-1|PMxG1gCbPaWc3r?2>@6?9?XJh)ueYk+TwQox
z+=}PM^1~DKOC>hOw;SGn9qJ|VweI)#wpVeQdoF%)Yg}D_+pbz{qSw9JUQ3$`Gfp4g
zud8|PWS8~-``(9wmhj#EecLA3`o{fBPv471ns40}BK^BBaYuBq_w`rdapymn%TBKL
z4vyV;s{Oy-d6{DwK5OroF8h@(seSFIcdzA}>oZP&+@GDDcb5C*{Eq?I_cGr<n{aqf
z(3P0j>mf&5%8OpG9(x{W`uNj|w#Lek(=%+UCSI)kBDDC^i&VE~f#GgXF8)9K!KSJ;
z@%@&sOGHZR6?4_f>qCXUue$Y4L?Wsxqf4f3&*f=H%|a4-kI95(`>Yi(SvHMbDma_D
zm$hcq#tBcQx@RoiI3rccJ7QM;tkVx_B7R9K^;+s&n{isHw^HZIjPp#rtY@xAoUrM2
zJ##hUj7+cUnRDi6lLPF|Xczb;^S!R0ny&ZS<k`lsWV`v9ItjhUoWinolBOO@3d_<-
zblungt7cAqTl|B|e-`dLT-3X>W|3k4OsUV2t2Q>MN^wW7+1TMK)g8HFV+-rgWvo4C
z&2=>l?CUcY98>SzdFJYj<1)QJ&s>W*pwru`b2;M3cByX56&qWae=ciXbKYE6=Y#LR
z3l|<{_wKA&YS{nxXRynf)A!j7vp;J*+$>Y&=qtB#Q(VD~>DH;gJ|Dep@@zwBvR<^w
zvyG9-cC$^yHUuUsN}Groh9w&^n@qc4f8S)f{-?yOE3cOuw(pZFe!1AN|DM$6mrFM`
z%#-4Nxo~60{36?@tfsGQuSXo({HHZUdvi@;)|K5e?L&I2?6b~1?L1~9mwo2>#A8fy
zSvpS|k14&&)_FGXn9#dtv!8vg+?SbkW%p!|+U=&#ioBEM{7s)3J6pRSDCuqWxg2pM
zr1z-L#fU>sYT9{j%;gVWd{3o!<<$MhV$?FuB=0#^b1YLQVZkw{V;MS0TaG2UW$GlR
z?8{W#4RWvaLc{ilQpJ+X4Eq=U40d^QX7LQ!$|)Oc+gEY(oK$W<xHC9xb>RC8vn!t!
z{5X4Op<eT+r7KIT<bK-xt1tFATlsU7)4r37JD4k%sLiwS`tj}THd#;K*uvKzUw@mi
z?AW8?ruCmsJX~#4baTGD-0sgO(!=Fg-<(-I;ccbJM&14-yT7H?cV|moRqNJWs_@t4
z{IjA58(v<|-On1x!W#Cx<M1k(!pND*a@s{l(zE+m?&#U?JbkR_!N!F$D->7Du&mK%
zSA2cS@yM+rfzOea9udK8Qd}}GcRbT9u=tghdH1q^*POG0?eB|^JdNqAm~&omKDVV%
z!NxyN^p2luJpQrhLGdCPmN`1?it$sMJ1##}c(%J}Lcz1M^*5G!ORre_yfSmztgN`_
zL74}#x7z$Jy&W4HefiU&*S(=<&)WPgz0ItCbXEMiRqNNU&G!4>bH0C5K=Cr2D@QcB
zO{-5i`7YeVu~WOdBkp~-Qrgeox$mD(>G<Mb^5O98O@%vi4CKOjj-_`WWLaY}V?rpK
z@WxvSN!PZ-zrC_zV&co+x%~dY7Xtn#U7x<oJT&#Z$Mik-zwKKcq$RC?^{UwVby^#<
zZM$#Rd;7k;cK>$#m46mGe{I+73h!1<-~WyO?DBe(tsmU(O0zE8VlR=E%oqH>`u613
zvap1Ce6ngUE~GZ{UA2p9x9cvE*bvdK=v^YQF{It_bjgelo!7jkXG(pZvCeR7)1`<5
zKE17HE=3&4>0Nnu-!Y+Q&mw;P$liHO#_p`CUbW7T%lES`ENqv1c`3MEZg0tp%hBzO
zwIvdl!rKM^mPlNVZ|7v*61Hp2g&D`adVj9D6mcM{x3y-?#*XE0&->nvIAh$aYNPMH
zZgSi@Cg#&olN?PK{mZ>=d!3QH=hu6yQVHFF>4r>SCA0&!{t(SLP|?_SMm*z4V&gFx
z!Hh$Jdk<z+u5j)@X!S*M(cy-LRy>l+4tG4X>XBUNyfx<h;V*7h%beRE|13+}e#x@Y
zN=9hKY1YQ77?Bm{RU6s<C|sCunyGh((uEnTnS6IFGr#e)h0oUS)rIF%_?Z1(8$7X=
zb(?cB@l2$wT1`CPT=PrSuXpju=1tjd+{|hxzTz-j<Eo`=9c;}wucU8wVBW@IlCs+2
zYMjFY!`rO0HaO>RIPEK2b?4H;^SQFCWV`vC?Jh5jn)})yZ7!eiwdaXd`D-sOJiM;P
zdUN&b>_)2^<$&qTOkXWD0_NwlN)@cFF*%oU!l%*gj8MjzoJO@Xn)CU)-aEX#w)w$E
z_jbGLQi%_(e9qrq8a(orJ@)2$;-T=T7iyLFZhtl{+^&`H^Qqf24>B3EyxI`6KyN-*
z$Xf^2SDS+tD6VFe+UT|*>-P%tL#g}tY{gz&cz*i-%7YEARVgQuWZiTwCLY>k<CEW8
zYE=?3KR>7}n@3>9VTZ<DH97(Pj!a)AbuKh0GVw}kUFb+;>Xp>H&>~pX9BX%d;c+(E
zUvJLG&-ijpVx@cAmy3xm@v_IhTuyZQU-V+{#CuYEOJ5kQ+A~*D#~^Y6-(}WUpE@0E
z{kX3@w{C2kBbssKJ5#Uaa`Qu}Tlj2`y||Db{!`Q=@qmS`QOX`ZWwjRui9vG9wjX1a
zwTd}ouDRPZkMqjIZ4R;TIIcWi=TQ5O)8xrU2jzJjA-ub*lw<T>UU<wd`|Hg)b?dk9
z!oQrD5Ny@6W8LAF*-tOjE5E%NUGieX);*q*IvYF}@WpdpdAh*CcAw~q^NNjbGNKu0
z4EOHRyIt~PV{E%!^{LCV4o%owm2yP9a>lna!d4{~GuLNaGcNxhww$k;<yDc#0<B1<
zP~j;K&S@MbkAxeKo%yx)8prf|R$pE$cHZiCa=F3VnSZ~WnDEc4M`FR@mj2U;6TY6Y
zv?`eqeQybm*bkoteA%q8Hu)`(d(Hal^G*k4w|{f}lHLB!4U3(wS|x2W?bU_nC6!UZ
z5}Ta*dm^T`XP%dtZ(n`OSpAvytgmP0F_rF!-sg92#cA8dsy`Y5^OrOA?ohrkBd)46
zR_*15<V}3F=blWSTDHBiD&@$ZB8fEH)!X@Ge@$7g+RXZm!{k8+xJ&eKOP$gDI;)WH
z-F(SxPZO)Qo_~2EG4|h=n^*t&EO>QIV8v;r##PbI3+$v>q&5UD5S+~-wb^?Cr}fum
zJ9VxtJZ>cWt7g&R387X!5o-_6FnwxpYS(Eq*;SfG{Fh&U+RG<<4wO-{>I7CCW^CM5
zqa4t`p7qt|sSe8DI8B~$@7<+$|K)|p4nKLPJI!yl;;~#QFITuo=2&FZ_h*T-4z@p)
zzAR#8qap8X^ul2I9$(4QnTi4ZFMsWfdH4GHCyS7KD@1<D=(T%>{onXBRW0(b_%!}=
znk6&h?RrxJbmy?V`qb)RyYFIm>CENMrg6_!&$?EB>c38H$y~b)nj2RC{k%8#Ok#Rv
z=TXHEftA+5EA%?~LY+!q6#BQ@Juj6gh;COjFI_QhyH(H5Rfk)&-_GaAYu2;gGOar2
z;*9fYy{tVKB2E;)l@UB|BKA2XnbW^W=dJT}(`O%?*A?EBFj6buxhc;ys$Fk!$qU1v
zcDubL5(Y8tiib-i3`5!tol9mISWG{;#XbH?)H<^asn0W4$x0izCM!-h6*KfqHWW6Q
zX0UF}rMzE!PK}P0Gvd}Wr|xdzv8oB)rmf-B9?MjEQ!}7nwrZnZ{Ob$J0XJ>uJI+7&
zfAg9PI<NUIpYop?IDIA4*BL=|>mI2}fk;!SRnGGhpKkb(lfHwm)@{n+N82iz_-fUr
z9e!k%+RRsLHtq1EU4`j4EoRuw)xNNJO?|4r;LnvgX(u8Yd!N4aSa>)>c9+kUg~ugj
zR}}`dGfpp+C~|HW>@Shn9NEsf`}Dm%J_}Dft$ivdeEJ@b@67Wmy{tahB2GBHl@atd
z72E8U%$Z%Rvv>Wsj5CSx>k55ePK^w!3m2>YRU*4<&9#NcrDRwATkLH5YkKb?Ngm1N
zhdUCjdS<RZ+`_tZ^@MvV@madxE!G&$SC-<oShsP)zSPIjr<B;TbkgP@6H1$|dg$~o
z;q-s*FB<||Sk<PjjqNf?nB}0XH)ZYRE|Z5rk!?n=HV3sUCV$O3+H?N!meV!U*Joc#
zfA{44{MZNWOuZF47h2>$U-epl!c=xub$Gks_EU+!Y_=SD`G28fd+<}<6A6uPpJtxT
zIN;dWCL@q>q^?mdN9%mz$D8^5vTR?jB%bh*J(hDd@z6FKpZ7l}|72Mb{=vnL@uq0Z
z%=L9;tmYghPnLhuzVYix*$xL`x99pkRk7kPrt(HCIXvO0RZrx)!!7=&6F*kIIr?ea
zHan%-kZsIbml}>U@m4Bbn32xpTM=h=F*W4uo~N7|c9wHjIhSU?Hb`2=mz?%8fLFJ2
z#<zyKKUqwca#}>xtu&JQu-3tukJIGY3J2l7m!ThCtahHyXvHJ3;&8`7tB~vjSv4Nr
z_M^||S)UTV@J(aWo?nu@H*_vc&}Hhqsdu48wo26I+^Y-eoqWvGUKu3q<V&`@ns}(o
z#;4lh{eS)Ni)+|<{;ap+{SmQ1v6)56IAQ^(^S{+$to_XOiyi0NRi!w@%esBJoaj?8
ztHz_ze)Rd|^QYJkKKgue`O~|LzW#i&;*;4{yZJJ@7bX}o^@6JK-xpsm{nlp1BeCr8
z1aLKdxMd>9L-L<FJ<=Q1f3l=(KG3`WV&(zg#<n{`8Aoy()$VA%XFR&R`|;OPY&I8^
zo!7lGcs7eqc-{2FkCcxe2Zc~kO~||k9<hp$xW7HCRyr=o$`Z&p($C~uvFiHi=j-^G
z-@P<=vX3u$-3x<<iE?S@89#G+R3B)rVcH~Tuw@T(y2*p!#<n#A8ArSt)z)b3zi~8u
z-uW-5*leyZj4FCzu&g~U&FOqmM2%I|{yl3oebO60%}O&#*y-SG#$}Q=*+JOsb?AqP
zwa)WRt$2R;v<qgJN^JIP=Y0Ly;4S0#Pn;QE!W*>X|Gr5&FPL${rSX`LP{yH@y<Bq9
zB`-FIwlm%?k=Pj9ZfIRHW5Z?{vHAM<b^RT<LYdO0hTAV@+{`Lv<hUS9T<bzhWtFJS
zvKIksSxQ%gHCgq{Sa*1aq?ON%neCbUJLdU2Tw|X0Z1w!F4XI4LKV23WO0&!|SpBC?
z*UoqT`s?0rSxY1~1hflwmq=`mXy^3)m;LhG?j4eIew$Z5Gg!Z8nx?ivbUWYa(ifjr
z^4W&H2*}-4DzU+<U2%1(#74Jv!}%`_64zeyn*LMjbHoC}`K?mHvyFOJ+Ri+tG%Z6X
zZRIhcX-^|=8DGyl^Kf#^<4rp=XYk3ky}ppTg3tEY>kH{ke9UUE3{ocXDXYCUNbBMg
zUY51CL~@~F|3<0Ll8X(uYF&*uq0#Hwb7e-<?rfj6cTPtX{<iT==DU1aYWhYd_tF;y
zKJ9wPOJ5W^wcDweN)&jtD=sgUC=6&fOfQ*H5SG34G*55knJY8STlBKlEZ;aG-&Cy7
zBiXRsbXtMR@lD^4nLaDfyT0SiyqgQ0+k34_ZZ2`|KWp{n=Ay$5Ypr;0E^{`W{5s(5
z6RQy8o!7jkf0p{ZV}arPZYk~^OEylBHxVn0Og7wYGVMd9q_$l_#CpT_yQ#gWBO3Ed
zUKqHy>-GPe&1P%%@<RG%KIUyN3{qC}DQ|mekhYsoxa`mN8tvF`8M@zZtTvplZz8tA
zKUpz8ODAdnv7~*MA`V@*oMy{?`l{vL^s=W?)9)I`zrOHLT6UMrg@wl*Wq;MIcHX-7
zQsN04S+_IS6VEWos_9&bdbue&S+3gT+2+7x#`p}Kl=;V$_FaiMQ`f6n6MC$xKSM0@
zOk!c=<0$zHYc4H3oF%(!&BcYs)2+Tlu0GsQYQ+<|{&2_n(iLJyt$c2*-g+su^O()F
ztTX8|k1^R@j5tvQ>5Fsqs;)V+G3WXM!*=PN$5OwaahA1;xxDanvTT*j#f9hlt#~R{
z9iEVG)l;$V@QnE-GcGKC6T44j*PSa-?+U$>?Y5hW6}TrWmYa$d`X?KHH=XujL64|>
z)>oa?#UE#et>5&$I9fh)u2yy-o2=jK3s0?OtL9u>cwSMKt!Dk<3B^`D7V8hs;I{JF
zv103;r)!Sc@MWKQ-f@hnFH7gijAKfD**ec!jtTiai>Nvub7{t5ey{^uH|@=4lhu25
z;prqk+qw%2&!@_=#av805ejL!m&&T$(YT$w{KC^s*NXbJr9SUmwXwldiraGaT;)gA
zy+`L<jyUwwD6EF3A~>0E`zcV8t1f-<p_MQ8+sg}&y=8yBxt@3++=}OCRJ-8)7Y5H7
z_=Neg)|TvCYuIls^?B#&jSbaO+&kBA>|mGb{u!3cSzV;FH@z(T%);_@g*R=Bz1p)(
zE-#ciTk@jNx83gW>AZ71Y&{nePk6|>^;}9klOe0tqxt%=W{KsJtuei6Qo)O79=npU
z%+PdJrVpGOb}Y(%Ms(QoH$T-LgB^Ie^o4<QyPk3B3q$XAyUnE%2JY>OdrKugu6UD`
zZt!d)pYXg4-EztGTkj+{9jj5x(n*+c%t<X<C#maL(y}a_#Hha1_phJ6nsd+Czqt4G
zyP5lT-bwlHV=uew%k_oF8DxL)TuD4|+=@qX38?<)kz91RWnt9m*m;?{-z(M`&Yy20
zcEMR&f64M=N>!OU&lZNoInDon?Hl*g*w@jQ{$DlQ8xb1Y6EOSF{n={|ue`tg@2v?5
z+%?&k`Pg%#-umW3X0x`wY_K(x-E?}Ha@nntGj_B6eq5RqJbm)C=DSS4b8kJ=o?Z6y
z)-v0D8#3o#Hj^#5zs&jF&5|Rrv-@@?U*_DdYx&h8(ej3N!RckPdAGKl=AHd*N8V+-
z>p4~rR{6HaY<$^q+D!Iyv#)y0rYBdP?|7Q9|A6c4vK<MR^`7V6dOXMXcTN6f#`IjP
zCq2IIHR&h44j6XxT4rq&bk{L^w&AFn%&*$p^Z72nl{Vd48oFM5Mv&pL#8>Zc|Kpfu
zxa`25Q;vL}wb^&-tdM!KaYNCB^NUV7GIndTx9Y5v5!tw<DB#SUQ;wX%+Hs=OGex<B
zj1RY*a^!og&Azi|wagR4l|>WIZ#w13XsyNGnzLR;WaHYRfHT)lIdVp8#fkR66y**o
zKK%?dk)*}GGiQy=lZ^|DCY*mhwVCN!I3Jf}w53K;?9&A^woYvpvRhpdT9X!{<8jt6
zTZ`Rt%{rMUn^qM~IDYDsqn)-EJ8MmprAA8S(*+&=TF;CU(vHrU5Gk6hlosC8IWyQ$
zE%DR)+y8zn6$lPbIQ>57-ow-PxBm6CyeNJnkH6qX8h^pfEdCEa&d5F3d`?ba!!<d9
zO~>Q}Hr|pG_`K6rA+g!?vfl4CtSfG2Ew**&?fk|t{p>e}{;%H{=KuZH(7^qzVZ!Hc
z4IRbb8fLtI%gC9&c4k<$SvF%9n^iJ@!H;ut4~lNdJt#aS_n`QaoIt@LIf0@(asq{C
z<OGVZ$W16XGAncb-fK)())d{4dr)vf?!l+Ewg(<Bus!g+!B*jcbhX2Y>)#qW)~~7L
zx{>@<araugr0G|WzuEGuMRxyh^Vok&FN!~(#N=!x_|)J_`TuRF<3qZ%4AvPZW_`Uo
z(_lL1T+YukZp<{8?>U!~d&Zra8zyMZ<?NnuYvzU-8|QL*|JWgX{Zwj%I#;mq`2*)#
zEO}n|%<%qyuBDRamCuaxTh6txu6gAXapKgu7S}Z|d?L;)pUdeTaj!G`so@^s)|ES7
zPd?XTsq@-r#_3DvS}Jv3`ph{0=v)h{&MTh?kNxLbTy@^~M4V|l*P?pn{gJJoBrkRz
zy7I<+y>TL6v9<6sqvghla+|G%pBb+<PR!bWdFF-*k|4L;>wLr3^Ts9Q#0ozb*PeGS
zA!lazxv28|o11DM_TA0-`!uHX`e@B#56|T5&c2xB)A)F5vrJzYU(w5-ySp6a`n9G%
z`Mjej;K1Zlj*9kSd|ee$mKx6@pDt*5F1o(!bU7%6KJ}m4EK?QYvtyR3e3;(J#o<pU
z)l`M^alHt()L4@LbV0}KsoHYI>xu#n{p<=q`ncq1)0H_D3yUTkK6=VgZ@LzH<(~k{
z6VHpDE@;r6+U&G0jIV1)n5D<tHQMZ|cUDw{*7$|$c$}>>*Jii8vr6Vk(e9!N$LF4M
zv@6$UXT7spMx<zeQNWSruAMv<k%nqd3Xaa`P!>&2>I?1hwD@>?|BFxQ%VxBd^zHs@
zUEQ*4)&Ae7_3HDwH42snbsXlO>J;PlXP;BY@dhtNJ7(=xmYNt%m6U*`6FLq~bxLXr
z;qsLDRbBlrHZSbNoLMK^LM{4d9d8Tg3asAir6{MY-TI?vm57kRt{{&SGrSZ9&ucwZ
zeU`U$LQA#iul>h+xms6PzOGv;(9S;9>5A5(ppK}Up<IElcX=shg@$XotbM7~x<WK|
z>4X{9Q=P74t#kTUyDnVA<*c7QNYxH5S+BD`w%V;LOk<V`Y;9UCvglg=RHrMi)&_aF
z?B`N;Kd_Z+C-2S(MWshUtsOH?iz*4N`}eMY_n$<u!0_k$0z!j>!>>o&zH+2F{!id^
zdyR*>t~LL~bL)QwJlwVJ>w1&zAzMrG^$PsO_{vis7P*VbZBKnzygJ0h%yf%Mi20SJ
zTX#ij&B|Jxy?bBO?I~T-PZtH|>d%%6DvTA^ow*}SYgYE_>{YX7XVp*J*LeNkafN8t
z+*!V=-~R4;yIy@-=NIGL{dy-8v!r&1hVDOfFMj%xJv*z57aM)$UB7X;&Yly}aSyXH
zd!ypkyo<WO^=Rh0zmnlB50^bUqJPUSduG?JW6NHJ-@N!Q+&uW(=XIu~TmO9M-e%7l
z9sb{|^se^SuQ9)l+Q0tf?t0}z(Dhea`c3wKboyxS{(Dbi5nt{7ADi{+b#^m3pS_eg
zBXSpyxp%){+Dqe0I?Qe}Q%_`U?vY5IW|(}|C~=Nqwcg*JbBp(1{K;dmtD(_N{L{vZ
zt~^G&W*l@|uGaluW%rlI*B<j8Un;+QUV=x|w~w#8|DV*5)tkBWG=JL5!kap^>t>`L
z2;I!HGi_Ryb^bI%^D{;ddki=03HQ$YIwPgT&b&93ZI0=#3GaLL9?iLUbdLG17Vf0S
zbGJl`?ns@+xBY+cZFb?($OoNAt^Q<e)brQ9_IT#eUp$qEbC|D1=bU&hCcW`sQnFc8
z&LOprcBj|O>OLF!ZAbPryYFX=9_)*3voSc`(Viyx`Gk(J-0T!9fm}0HMcK}6Gd@k{
zb*<LD_K>@~^k(`-x%j9x=j*z8f1FBk-nZ>WN4}2PvxcK;GA8PmQddV-<rsU<f14(`
z;joT#*{swfdYgN0=1${$oig)ZT3uuQ*-H;6%-QcFHY0T--)7xwseMPQ&TNgCUnwSS
za6ideEF$NKQ+MyooQ<5_XFE$QbEe64pShIY70KpfdU`@;nxx@fo#eC`sfT<f`y5}U
zn)Y(Tl_~pka-xzq^39K2b2_T~tA)b(l9{2e{kuc81G+=A1G+<32Xu$N4(RrkP&&_W
z)LweSnK`Nb{%0<w?~G)t$(p99ea0whY2>jrMyFe@Zt|&bjCs5BiHb<QY3+<T`>)0O
z%ja**+MBmA%QkCcmTT5VyUDs{30+6qe74@`$P|+{zMCYRHbZ#jx8-Tru;k_YI#>T)
zQMtS^b6KR-8KbBA(zEmax^E8EuILUu9n>BAI;cC8JGeVkJGeX4JGeVEJGi@dXU@i~
z(qHnuoh37K&uu!c{CQ&5`K)Q^nA1FU=D$e`Z_Svw^w#u~KToGL+7-p0UgI3!UHUS8
zqg=9Xn68g**jgXmFkN5Wu(iIrVY+_0W{LfG5`$K6+we?hwd|V6UpD5a8|I%eTGGDL
zNznGJ(X#20YG2GwmgL;Zo|YA#b}HV?tTuaN7Hj^-tjMg5a_&0Up07B{CbRj*gvVmi
zg@=-o{i1RXX%;J86}zT$mG7F)Rkmw7SN~nrx%%y@&eeBUb&|KuTzYHwDWktfPcSV%
zd+DLIW&O+$?uu?)-tV1}yfLdVYhzYvmfw=oUYkR#BQ}RfM{ExH9lkl_cKGIy-Qk;i
zB2%Viz0aET^2U~9I#>6d0Y(3<q>X&hy4O<s_bflX=J?nDIos^ab<7?tJKA<<(~XYX
zV$#LOlZ4;>OHT&5bWUo2Y1+%p_jH);%ui3?O_SVsQ774KX6m7|$YuM_L_U}|r{zt#
z>rVaW`jc7bMXx#RFZTM<<Mn5+Ena@~*OyH<8t#cnUpk(o{3|NQ$G+S5#sBNaTz1(Q
zq~CnJF!Gm;(dmZcX_B9g=qRt7nR+I8vrk3Zq?b2L4oqn&$+1d(C3y2j$&n=4zNj^)
z4ZFWu<ZZN5*D-sr<Y?QQ?Ke6ui%EZ;dsJ<XVfxLd+MB=pxTRCO&+v2ubDCt)1s&ym
zrl)5(r_C&gnD$bCftW@1G`{<%L9xDCZ1uNhvDZfN`_3D`-gqFXRxB##fKoSaWX?uG
zZymF=l}FWdCg-2NvTKfU`pw6CB7emgoNnk&lPo%^qg-cjddBIrnFU8xE^mA(94vHc
zW9ClH`kOblTuYL@7P;nhRrl8)camz&B61G6b@Sd#*(f+$*DS63s9KJx`lYAAo4-UP
zPP5ZKYm_iGvh9rV>5i*ulADj|2-{6g{pG!x_1NsChmRNiGm1=@a@4A4Tf}rjvDb#z
zl4_4d<{WV7=AD_aQBYXdEN#+JH6JteOQ{ngt9Z=3=PRa38eGwFZkw5Uq+oN8Wa2bV
zWnUxN*~?}uJscAJZ_~!i%{J3huRUzslYM&4Y3J^*6)79-`gP47bRKQ{ld)0IU)Su}
z%%f^H)9>&1T6@~0c$)39*-Ov!Z04DfIZbi%8Kb0ak;l#$o^IK>spr1O@n!R$s4Tg(
zu>DTFQDnk`qpJ$fCS5(7weeNn)`-&GQEPU^>xSLkAh!BlM0e=+HAh!fhsF6Xxwdq9
zWYrfV@A>!ABn$4>e02IXtH0+(`ZUA8XN?jYJeMV3b`5U5wDIMN8uPRu-3Pj1Yai%_
z>3Zvit@Q@yNwWtXM^`Ofd34p*l}A?{U3qlX)s>bLv$(UTWwB>Z%VN%+mi0etn&R>^
zL2u*E2Ia<`4SE}!I`d!ACQwd4Y-(9QQ|E&FzHsB$A66dSwPr&^|5UNpn@=S%hehX{
zkm~OJaV9DGT2#&<tDp0H*Pbpdo@SdjW9fO{%{)6&rzvhfYxJlu^4J~I(=F9%Gy8mB
z`+9mDUv}R2=jmh1WW`=@xSSMQ7P;nlUia6V$r~A~b<Lh|clX{%-)I;gm2=3aSSi+Q
z#?s?%o4?#lnZ|hftWipLq+5>Z=^43cGdKLT_nt55Z|@nNu;rp<&qQwD*v6G<FAdJ>
z#4ek?^f=GvFOsR#7&o6WO4%0acE<4ZjGbvS4bIQ7oxaiSx#77NHOHi553nreo|#c1
zIJrkJZPP_nAHy9b60`D4__%pIPqQu5{C`m6>b~<jSL2T1!O!exY<j-w!i>m%SGVVz
zZ@gfdCJ}ogXmNK$T8ZI&iP%GhA1~|X{kq!3^!dn{luL>K>L(m~vq)#dousQ(C-7q7
zwi&`NHyzNi6`Qs6e9C5?h@5GP-e-)GRz@DvF+Sbm?_(s}G4Fr%;*uXP?@NPfOJ<*&
zXOAsv6np(?<IyUfO%d}Cib;Ps6ZCw-!fDCMZIL-=3c7tI63=b&=HL9~MdmcSy=ROT
zY>#aFVtBe^Uz+6Q^E$%6P8-er#MNWs-5v<eL=%r%$!w08{#fjF;i05jzo?u8n%%sX
zxf=!VN9LT7?Dn<D_FHl~WAhh@<Y{)vXN?l(M7H&qpYGsHlQh1lBdj(v#mcNUe;VI&
zA5d&(i@h$mn-rTDvF5mM_t%}N8yUCjnmy?|>UL-AjTzNqvkUIe@tFQO?PcLXom#zF
zsRsf#^ZY!hqpUYO^^E9dpB-AKzpQ3u{WfFi;VMvq+;BHZwk%@JX}|8TH&Qp+?bbC*
zn0vJC&DI+o`Z{K5^N*_4Wcn>R{XFgE#)CSwX0uWcsBPxCnKMl=`ixQ9>PWR5V^Eco
zKaDSb=BMeszUEIvz0zdUBG;VG>Haz+d81viu35soqitul-{@c!liqwGNm%S8_k}CF
zYCzSadD_e313Junvr<oNPm?S>p_9xvJN1xY<g$2OjSUfgPTyF$r=0*5lvl-GZ#b3|
zYqvR~f2-K*&F7LZT13T4vD0QPJ#Mu5%g-Y^%xSYyPb^Q9+;~VQIc!$yAuUjYBQWjd
zh2x+aM=EI}pR?|@)EP&sc(zB(7Zj5=xRm5v6`gY=qq|o!c_U}?+0K%e+0*3yp1HJq
ze<T}^!RZN&X_6l|MjqoaI^A;ce_WN=)N@U&$0X+-uGo3uis+2QX?&;8UV7RSSvAMh
zd;a^gMi1shw#_j=-NBtES$t7PSWj~EbEAVVY}BOYo>y7SJtL<?F}O!BY1PG}KE}IR
zUb0Q+bGDb5dpOnk(k90jeUVmoOueU9r@bt^uTv{GBlUpuW}eEFX@dP{jh=Nzs{P40
zGw-zM;?Fy>O6;!p=snnVv9%_>M9{of@7c7As&~X<t#*r6WR>v6cYB_mbL;WUrHA7-
zm;5}WWBYC9((}{PBsbjGao%Tiy5nq`<mcW<H5r4n^QqkzD|1Y(=I6SJZ@BxysZ1jF
zh~MJwo2ez7yLt6wi}|GH9^T%zBsKY*=H?QM+-Z8#kF?gRU0vl~qpm(V>tgb>th33}
z6c?WfT3c}@NVnol(Ax5Ui#4nD+Iwbxwa6*4bMMi6u;OB?jPb6H$8O@qhh7Nzb?N<O
zTN?GeBlrA1w^J2{nKOf5%WN*0kvUCo^O;M@+aiCRF+AO{GfmRyypHm-*{NrkHv2?m
zoS7H(Tw*R7jy+<qIQX>;2se8lpX2KGe8Y_iTXN1+ehGJb`Qe66?7SIEkN2m&{Cq`+
zdEU&_6UCc*ew@^~YIHzHxJqjC^Gk<c*yR16dh+KT!(9!#-NcK|zfgK79eaj(v3G^W
zt}nAEvQ~AzJ-tuPD}UqjlywIZHkU{wP1AEedntKU<gYizryKOo8l~)ybgN07W*9#^
z^^p0oH#@%=TJ`U9dw%)+3#MPvu_qW7cS~fH7|Kh>9#Q}~k=gBe!3B^LEi<R_EkASV
z>6XZ<n)GRQ>1T`{tch%^$)6_p{EX4FJ&|fL25IM?Mlb$sky2vU-mCYZ<6^6f>8_4s
zH}TJ{7ggs7#Qy3%&LrM@?%|{vTh53^WKQE-edbc?*2t<ghTikfpD{{U8`-wT_;knD
zG|A0JbcD?$Hb4K=e6g}7uf)!~S1)1a#nzgn62a5Gde4Fvdq*S|yqvN5;tLrw$w||C
zEq9;2l-M7+>y4>*|K>-VlqO><mpWfJgzU;OOS_ibeeq|G>8^%cH}Oq(UnrGH#Gdh6
z?0rLZ*O%EntZLo4=l7jEDPov8Q`_LWj_k9UOHbEq{t}Te&5rx5QNqN?HXXCm9ja-P
zo3H2yPXlF@t1oPpNzOfAvY2~DVu_-0uU^u$i${A5cePluO|NCW|3YS(G{}kPk1RKu
zSyGWVP0xPjQg{B(mbF#0QXTX+^SsQRCRlsMXxaKmH5&nY^|@Zt{d;GAeUV;b$KRXE
zW@EH#!f`k8k4Ii4)tT&SS-!}7|H6m``){<p$)3d{FnQWeCgn4i5|>2o>M`=}znJ#Y
z_%>z{mHaJ+O=|A(<8IH5PrP7ala4(hu((?ytHkhdk6z-AgG-ao1uibONb`s?dsYJ~
zxX)aAx+k(K#=v`icba6uNgd}pgVP<S(<F<J>Ikos+Wfre&I=nqiMi)J7js*rmMAXo
z)q7;UxZ5(hgp=K6dfn#@2TT_i@6c1zEoQwoYw2OD%_Wif)3UB7PP3CfW0bHsvMmRa
zp*J7Z5#9ze4wR~;=AJiO%pH+gqPV(8FKO$=qiYOzwVdbClPwODn0vU)`O+rC=iZx3
zZsbqXi=Vml`1Q1xpL-+OzKHFwoqcjbT$<#?%R0$bGg1%vKf3?bbLnZm<TTqdsk!I%
z7IWXoEm5rQ(Mwu?@n}tA3Fq&BujZF17T?`fmi?<TIMmlSxUqZxg_Va}zuC_WcjBHi
zWy9fA&fPtKmd0(FwX{XJYL4)V^WT|xEwnF8FlGv6-r|t#$8GX3r0-hD)(}yP&kOxz
z&(%fTd(tDeLn*O+wNTkkwZ#6{Lf>{OCYmyMT0BYSbmy5=a3+CMok#H2yH9%s-iS$P
zCAKFDl}YL)_6rJqlhk<F5Gceasr#_QP^eE*`(aC=)9p}u(Wbpjk5%6oI3CioRekgE
z2Q%Yun~4Pn`i1x^wH|h)3-wj%C7O!VE!ckd`JRB>{SN$`);2<2-(ssgFUsvzeRJ9U
z5M!;X%q9Orf`3(Ij2#YfD!0CtD{$oC<I<V7;jj?rZk?$cjvI0Qu2Fi}@KlKJXUHKz
zZ55f#9)~zrw`^;V5otQiv|9De2Dd|c^F41QuWhNl=4p|zx5YWl(;{iog;^b!nR<UZ
zF5sMQpYwb5BG;(s^Btc&-)?=RV->R?>&5TX$h}=#9PBx*ZFJ_lcHdaqAF$<gKw}k;
z;EMAJjchzZD`uIoNErq!c*Q1^;q+g|*En*)b_dz2r$!SmGW8eFJh4G4Q02|1r7gB*
zUJ<zwDl#8-wm4t&wn&=Wl6=i8LigtB>!OljlN&CSnRc%eJQc9{MHc7oHIp_R_u~A$
zW<tS%<w9ZQQ(KhnCKjAA;#AiWz4h**bH?sjuG2YES45U<Y>5@~ypg=HrB=+tB4J;P
z^D|G2q;)OH&pa#=w_PZkKffixv|DfbhQo!NyJaSCI3CFPTV`Uxfke)BnMnmlwhQ%H
zYCLRVcDl_xkNaSj*k89peDU59xt6MLHpU;ao2??V!T*qAyhlXtP8FHW?uR&cw{B~<
z5pKGwv&;JspS9|mZwrOK-Ox*%pDV<7L+jxLU7@}kI$P8pt#nAP6UjI<`P=@pu}iYV
z-bP!=ZrSqQm37s(MN6Aqr9-O&Ij!eR*l@aVVa8T*(G^jTxkKK9Wtl>&0~=TEUEy#w
zE@VNL{;b8BYFAG^jGb%srFQ$Clajh0zJxQC{Rljy_g(c(VU*VG=h>X>HOdbsFbnlr
zXgr*euR5o|BV%`zEa$;2F<YI)c4eV5ORdEIWT9`CdJh|fh4?HrA9koa?YgS-YpTfH
z4|6Y+ZH*TJg}LNH9@Fl5Q#af?;~jCAStu;pfphiUi7i);IUW+6uCiv@1EH|&f`xDG
z_JYDQZsLZ+p`5#8CT}>N#`#-k`i3Z5Pm7e+7UeK6i?pdN!eQLG{4X?FR!5ffw#eE|
z-f%jKvwF?s4d<&kSBGwHaW?a?NLt*IZ02e4P<Y{6MO%=Oc@sAr4&&UNGkL=-SJgM0
zoewcutIBNhJ|wtXbxoLnld^K%uc>~?^SDhO-dyjcc70k-_b=C<cP{R7wbcqRRhs5M
zJvaKwU!k%eL5K8yw=9a~<G%8Ef<x^-QP6USRX1H02;S!~d6wO%Rx@>RrW&`5@CxVi
z+fU7&bCIdPXy%Cxw+?&Xc-q)vtLJ&+`Meh9cU~4x`dXCpye*zhYZ1=l$}NAXxFyzi
zoq3DVl_yoPhq5M2-EcgV^LNa|f&;0X?SB*=cJK=IMQS~4QFXd)TPM_X^~tV?LwwyT
zZ#D%SlH*o+V;p~o@w1AIQTQRjVig(V=tG>FTekhS5pTNs#47j@-(r<FMzM$FE~~sT
z4n4#etRiC+c}Q@wii~mKAx`0zZS0^F_rxmh5Z_~!H%4KH<dRk17)KpqG**$>6n;ps
zT194a^dZjOE!)~{L_t;uAL6rCd9x|@klbyRH=9EbF-EJ%Y>GT2I9o+#b6`fwk$$G$
zN~H@e$M@^Zj=4Pd^``iLj??$gUwCX?@7AlO>o>glTYvAV|8399=ee)yhhHtvUAOA>
zub|poUhez<FYEocSU!7~+5Vt}#)B4T>i4g8T&uR$ud!07Y{u_@^5s9BdBrP!Xm?uM
z2<$v<JF)sqefT50%dYFJ@_t7xZ`pljV%B@Z=tFk9?+X_kFwwMnlKgUtV6%#hal|3c
zz4y<?_TSod>lJ8}^-Rt8`DH)VmoEGG^!n`RRZ+XljkW6olAY)7>e5~R`KSK>C8zDR
zOphvaov8~K;VVvgXk;G$Fg*1As)yIApW2?+d|zpPUweJjs&3o&Z3kC)+DtE7e&h2x
z&l?Y4us^k3(7}D?N5CV#%dYQ=JfFyIcX?MF{e-dn)_o4GhkEn3>=%t}vNiL#X!l)J
z#vuHVVzG*hVf3Latw{xk&hQssU%dDE-M<_5Jhzm!eINI4!MAza?XG{Gvu)n@2hM>j
zN>}T|$UW7Lc~jYMuk*e#ukw8Lq}5<&xHlJ8%(9*w{igD~%9{^1*O|L^9C)r&enYQl
ztEkA%<6#qj=Ll9FNLx88i(e(NzgFnmPp3nS)v7X^+z(|f*L*l5R><$h%>8n2ZS#ZI
zJ>My7o1YA}TkrbkJKN@MSH6BQs`YST<c>Gz!*|^HT=!$ap68WMZS$|OKMnOR-T3^h
ziA1~P&W8uJzwLP`R5oLp^yjV}2O=g~_XzGhoiVZ6M{MVLuZiqyCaijC6naQ5Smlke
z*CEEqDl$fahXjRHWQ^kuaX$V8@_Owr$IA1ct*Y*Q`s4W4Ha~aW^U7S?{G05H3L><)
zr{Da^C0>2+QOx<zr>pNRin$sT`=*kc_gJFiJD<9Z&(BWZ;QT&@U*i#zyUV)|b5F$j
zb>DeB<wUJtm(7Eg5Z~#lLf>|%B+l;^;@hF{aKdMyJ`44SGv2GtDKM+Iy;XhhW6b%_
z)>Zd5f-HW&Ip+N5+g0~w#;|9L@=Z^DSfm>NFzNj6X|F3CE6?wq2nq9L2QBWLnyabt
zZO?6?vK^COGrGnk%swH@R<BYxol)t##b4>p-!<Bw8Yb@-T=lXjeAV9Lww$X==e01)
zd00GI*rL2|Qo$KMPW7Dg@%`WCl|M3nYODX^MP+hv_tyn`pRYanb<cve&wK0qMfet{
zJTx-eet^HrHs2+$U2xj>Jr8%TTDt4T=VQ`6vvP~mxw>uhm$k^I?G3*Niua_6);fYa
zPX|q`))C(6Uq6xE=JeYmM(dXGto$Zdtn$V<98y}u9}?tNk=Yz@h_f3U_O@r=<{s{w
z_dPi;UwYg3Jy&<m6W{iA&+(nQIjajQRJf<N|LhT~zW25Kbah<Fz0dESubTEM>HO|=
z1HSU&^sX-3_kAt0dC%wNi4->O+c_`r316+tyGzke<o3F}yBz$4(Y8q3?6KbVCAX^Y
zOxbW=VdaE|epMNxfJ1`JDl(sAtWur!$DHrJRejHY=REP!FMD48Y`#@}Zxu)^{q~&;
z*R-w}d5C4nPRV!Q_<XLGb-DLR=G{~GPw73}6~C?a{i3rbCE06UM}@|(owoU{zj}1+
z#@o8nHyl>que0}0?v4W+%vIkRggnu6c6nzQ@nltcM@(t2d280LJJYk?7d~6h`o`Ez
zOC@1Ti?f@jMbeU%WH%3s#2>SFW?#Mcw(igCJ6p4ID%NgWx$NQAUrfyROf1{~?G&<>
zTmIEpqJ7`aPje>KT~rVMc+EX`uj-o%+U2#k<hJUH?|kL1R352T)W1~ed!*8*hND5I
zu~l9-7Pq(5)}1Pye$74iysFG6pF@KFsxrm_R;h=U|IEI6Phafm`cTjP5g8>}!qc@k
zK2E$|(_A=Xy7qb9m*U06qWQG~W`2kGHWy!C{?_q^%0z1)k)5YmCRX=|?>sLvk^Rky
z*xqaGiJO<)sy;Ji!+9P~cAx15CrmiqeWn(i5#dz#ITyeBTV0`+dE5@wzmJw&w4C$f
z^(P@~IagV8iT323pY}|uD>RvY^V;zcca=8<Kh~dK?|(aamA>n{4gOE`;ydm<etqX_
zeznim@E-mf%AuE^PH3^+H+92#M^1K`2?Zz2h5CL(9Wspfv`DP~7q#_!cka*Z&`bK;
zq~{(!7t<-r-&H2rbNF3MWu52#9rIRKe4DcPfH0@E&AHh5N_qv}Px#DT-xWDOk-Oh{
z=lQG?%<H_amQ<=F_O}att5kT{@LPy)r~1Q=-9mjkl^?d;28Y$UC!ny}kyFBF|HSJ}
zMNjd`1R1?&r?|a-9c_&{x;b5d^_=&OhcWMdi4-=*?Vqs2*?;2hF9JJ{`|td?=tS+j
z?wH&y#fM9d#qI;8g0Mq&%T;9zmi?XlPSs+`{1)Y3UKY#xTZF6rE0wRcGP||Y(q7}G
zxV_Ze!;|lvx$<$s(^Uso+$~$TXTy?sn=`4~zA~O+=Cr<ZK6d^#c7y9K>yC*}+<oTE
z*Y0iX2F5P$jANfLUM|YauVpCqU$ysmEa&e#6AKRHa<<=@RB*(X^Z1<!1&6-=*_!oU
zJh*=1?l9HANnIB$ea?N|(&w%)na6GIzoMtEs(+K5^fs^UcR%KRBQfUPuPwH6l3GRW
zib~~@Iz|1CO5cA*JYi&BnzgHE>IV0E&fh)L3Jz#+w)adaIKshsoM(E$p$%Xgm_J=#
zwIqJdsk`@O&n4EcW4?CfT3L3@O#Nc>wC$^wP2aU@(YMY!54k7qepCBdzWisq>YkOW
zmQJ(X`C!?r@Yz{e(Kr64-9El5sxo);qTTm$eoOWpv)aBaJ2<!0JJ&b%SH!Yy2VQ*d
zy_DD!xhgw%n%v_vm(u_I&Yk}`Xw$k2A-|2w_WkVN^{2V)%GQ5V-}Sz!*!<TsXzpsS
z6>ooK<p$>l3*WY$HM5iLXncCKdyvTA%HOSbqs~e1-ny-(^@OZhdCUc??K`WBlXGi*
z4{PVV+96PCs=w#T+J$aY4IkI?TJ>C6cx&R``xhNp<JYd$+puxTMb~E=7XC5O41Rpc
zTB>BmFTZ~o)6Z`evb~mlCVlQPrfXR`PmFtAeXg97Hug-;>i+KcTlwj(ZE_3Tj{TaR
zl`Zuud*(O4+wq03F1&1A|LWeQfb*Nm|GQ4F*Se9!$a*Yl@8JvOPcL1)x@K)+#PQ4T
zjDAO5pEYedH_!IWcEO;Vx@XVceU%-rZEz_`)-7_)>5T5L63H9woOR3+=1f^1_B$(<
z_wiD-<#BgKN=tnzzU%M)Q#m{Aa9pu>aBk@KM*-LGT-fV*HeJ~J-2JWlvc1DgW8A%#
zPmg)Kr*uZ*#w^|7q}QunU6oky?)$S<yB_KO=sapAQ@Q-HntylA%5C@7uFHDz!utQN
z)B80Z_J;cEzPb@&UY?(mlM(dx`3-AX=72(<RdpYietGeD>C$a!nzBKD9d{lsIT7pE
zdFSz#6Sez<D-X;pw_-bTY<m29@6}P~Z<gPZ|8d<^wEg_7>C#cNW<~$_C%fy<UbCxr
zg3kB#wSO*EO@8vQoaIfyJ{I%q*H(T`y?m*4QjKWg>#O@UxZAx|Lvu1$t@{68+Iq8s
z=DIgLvpL)=?ikDcPxe34eEgwAwavGlw=+-9uC_C~dUb{K-B91sMmPVzDvFjzY<}ie
zO}=5?7_oH`tLFk<#;6-d7qPN<G~5vPT$2>9>LC4K^32ewQ`OJEz0}?G`S0^}m9Os=
zZ!!*!mCb$XB|iP?*A;WVyeNsiY`Uhv?U35Jd;eE2ix2zIajxQO*|bk<ODc{Z|8krE
zO4+r(iMqMUw-2dqwc!>GyK=Z@VP!`3z6MqPE6+A2rxdzKKA$vqS8_^t1>02KHS6@H
zoLfyJGm_piUp*SLH({+Z*UoPfKe}4Q?>-Z28TE3i-J0qJyS}%X#C&62Q@`NXjgsqk
zV*_vU^8eZOGF~Yt&wTdojJ8LUb;D0>-!iqh{7G^7qf^ZLGk;xuU{@j#w`0E)&(ghz
zlK&*-{#bG`@b0YFOEOvyS|9qp_*&Y+L)Kdv)h--RYt+gS(~xao+PcAYf!Q{$D+kRQ
zcm42KAZI7GqW>)u?*?V-1mV}4)-7wC%M|*<Wr125=aoZwjkj(n2e41i(%+?e<)~m|
z)eYqU`F0km2b=$&<+*X_SB|*OE%{BN5nSOcS&ue3=+@{3NYCfGaxk%RmxV?EzdP%z
z$2%OD=bYfXajfl4LoT!WX3-m5$62x-EppI}5na){m#K7v{{p>l+*b}WHvZb7aDn0Z
zX6CXDhSmwk5A4ig?K>3NILksgfO|P>*5fG-vNak3;`;YyFO?JHx^i5pk*(t8y)zB5
zduGdS$bRrNhjrZ{Z6?!?s~mLqOv^oUxcX-7k>kH3B_1^YUOh99$9mT7=<cO_T-jpx
zqisu)mws7p(3q90f3NlW`?cCvB5&WyydEDEuMv32_y4CCuM{Kt&ad=d{D1XJb3=`?
zvfwjUeAbF|r~b-c7opYa8|G(z=iT4;%l=P@jn+)eU;kb9#c$`!@1=gWEsU04%D`%~
zqFi5ud*&_qSHZsjKQrH%%$E1{U;5?!SErv4wYHkYt?TSwQfg8jc<@Kdu^apDoBfUY
zkgeS^wf}|A_ARBZeUE>wy2w?m6P6`)|NDC1|2h^WPpq}%ge#(Te@@()v@k~NQe<q_
zV$p)|V$B`_wQqm-z1(hfylCTM-RbgSr^-utbM=E#3|1~Q*KHB}S#e>;o$tGs)nBi8
zu3?w``b6*=m&M1X*li1PIY062TefBY0>WD}?XOHVyM9mpMb^WheACnuyS8l=IXSzn
zuChRVmTJ+iOQ-dc=L)wTd{Ve|yVMJHxm;Z~ySEc9R3&V$GFTtw&6&p9s=Fy6X|+zo
z4K;2vyJ@WKw~yYNWuaGe>yc~T;|V7;iv)Le$0~jQu<t}|jlw5}*;T7NU8k0d9ujsw
zWH)b0LBnzupXY~bIJIrm6NR}|avuJa+cqJ?eqrwGzM5k1eOKaS+>Qq@^IJ`-TD5ZT
zvBL)*_r{3WWnJKtE#kF#aUlCa;^VVya(rqZ=8JtU_!!Y_WwYnTfy2iy^V%@kTnzS}
zlqL7jZ&tqMgTwP)?VaJGec_tG{o^_hY!i2#emi%|!6Uo@C3TA?cDJ9G+WEuc(h1cA
z7uobg_Q+>HSyZrTVt1#$)XoTtt0#^gyXmIKch0n^uyEhR?)KBYF*PxnPlOWEnZ-|u
z*kwLhv|-mo?xjb2V|4ahK5_KeE;l{CYvx6T8y8OOZtu0ddRsws`mTVJ2crLM4-Mw7
z*xr8B{-W>0A3415Lqq<pEZ8#Lwf$?K@~NW>MZ#RoR~|aWZE@^zi&govsy*Aw#8n^9
z;{M*?$91isiM{`8oXAyC?uq(--(Im#`7gZn`lS4qyLL}Fe9*C~;&|-C35)F70<W)h
zyv6rFve(`J`=;Ji=hjb=?lNw^5`1d9_u1Um2@i7ebys)%wQ4J!65Vy`sAcb?hkM*U
zZj4;a@*^P3hdJ7$>rhy4QqpX<jUOhuEe!BjY;j{rV9c8f8ggY>BJ%SyrZDVIY5n%{
z?w_o-|9tEHpNhSj=U6ISU48R>U!8BPYSfu6v%aUE3fr>bO^4o_=f{iIwAZ_?y>s36
z!U5;R>in<%BBuR8c`3!>N3Xo?y0o{>^IUthv&R0b^Is<VnyqEJ_a;5sZ%OdovpcQ1
zD;Dqn_54hi)ZI0C=ceB7V%2$_9=l%nalfN(?t_IJmNd3^UYD+jh`4j$@UbQ~Ilj2u
z2M-@jt(&&wTIH1Y@6J4aTDQ&5?b)fF6L<DK^JCV}G;MW_I(x)vZQ4WEwP}x8*FJkt
zx%Szkt##8bUGU1}6yA^?+*tAU{eklZHb(Qa%|6uaZxFrrdi{CxRW}Z3P1If^va?fE
zY5RtlCuYyO?;I3XD*w3RgzXvOo&7tN_zm1=u?fHTdbcI=yx7h|DJ!M8rB!p1n_Dy;
zCT{3175esJTTAVli3JT;osPF(DxEvCOuzKl{ebA%aVCb}Hm+J;X0CrM@yuo;ZqcwW
zSKdiqThN%z6#Bz!f!aQi6|Jk8wiX61;L}U7PD`p3i>k2Z&h<Iz#6EfRg`@9uzHCtD
zu6=Xz5W{uj4H*ex^=v_0_s$=WT=LUeB|w;+HS1x6L+%^#70tCb^=4F<aqHSlZZ(^C
z&WZi@rVB?C`Mh<@lgqP?K8rr({Qh0eyH|&#ZNIi_7IwXgy4}{RVR~FW?o}J-NoA|(
zL-q{%Z&%u@-M)QR@0OXiy2&(;q^C8<Ua#!1R}DI_b;t6u&z6DK)-N)Sd9O@SnDR*a
za_ghmh$GQD+n*ge&K(k7XHmAS?~99xbD?`yoYTg=lMgZOxezQLTiS9zd|i!CW%d3w
z8gpK(e_t~<=|S;am%^3H?1Yb-cJ(Gp9X%e{EA$|EA@{}2HCJ|DS##Z!tGaYjXRX!A
zLv?dg9yj<E6$Gg7v{*dZre^iznwsU4nQGQg)Tr6Fa<WL?OBLbmrIT74<9bsbJI;Ob
zKymJqq#nOd24U(yC6-TS>bdT*tuf!^@g`BVS6(w`ESaq1bIr5F?S%?^aOtG(OskWJ
z4_lo)UNToCq0Oy`$7a!Fk+fGT!kbGbwcfNkdF=YEndLHfJXl3GirDd7n*Q@+xSjj%
zb^5{KUzIoKXK>A|a9?Z@p{<d4ooCH84X)KKdM;g!%S$I6s+pVeNONw=L(jP`iW~es
zec0j0^<hR@gj!e@*TrqWHuwe2SU%b3%vDdZXD?KwH<wOoj<h;?)MxIKhk|pTB)9o-
z8N?d<i-l!ywf>*1KX=InAN83Lt0wE{T=X=HyY9&+mi;R)dFL{Lx1#GaxhyN9gFCi$
zg`2j<>{%LUvu9;s&7L)ZOg5LN-&8x~pS$l;czwKCO~ale_UkN7MVG4TeXJI^9Aq8r
z$~$|B>H5hc%U-Jp8<$LKbuXFJJJYK3+8)0m!)W!&8I!r%w1e)lCoh@waXas`rFo^t
zg?{&4U3_SY+IkH;jVrO04?i4>H<@(ky4A@e=2j;UZMQmktlaA4!S7ZlkNVDi^6*;x
zrr@aWUzgtwI$7bx9`vzJL-l=_&F$rvMdB`HsfjI}xBJAN4G9l^#j}+LRIEr3U>8ni
zE8k#HR=_U(IB2E&-)?^H;ApQ`OIyW%zxq7m+^x^Q^p}{m|61;Oe0k9BC!Lo#ZNGm1
zb$ZuxXG6oU$tP{JO*Bh|7shB!iJHT3{(I_*?1V-KO<VC5ou*7%3!E32t>eCO(6n*a
z53dDs`$SgsuV&&cQ1!NDp3b`JL#u;tjL3>sZl<k;aSQm)ab7tZ*;r+v93a1(Rq8>=
zv|ns`oFR!pufDna`1**fXys(uY8bbG?-=KmqY;f&63PMc{G28SuHOt~VPo60uklds
z!RtqK8>4n;21wuMzH+dfsr2Jo2iqFu0QvdfuHJvzkx^Y;v01HWYS~Ly?)fiVrLDg%
zYL>0Kc(iQqi-*a3Up!XcE0HieY)MLKb6m)cMdH3SE5vnku63K4y>w-lf8i?r{<SOr
z`<Jfr?_V8dklw1Kx*_`OqC=j0Gaj|XZ7GP+zh$vZTvq04w_e{1SN{30UFGM$Jj$?p
ztCFl+``1N>6!&I4S`fFTV8MF3KdZZ9m&UAon#cT`Jy7)9$M_n{nn&+@@9BhA1TRp#
zC$yq<JFC~tJ_p$~0xP<wGJW0PwZJZn+vLD3ouyYQ*E)#a6I{{B%CyxWe1X|B&MOBO
zGnIav;b3c{7a;G?VRB${q}R)L!Azku^aF(bxvv~DX4?93jf1R>dVqK_%d3Z#jX@lm
z7Z~)@G;<Gdv##2(V*5XRA;GU3b-0;z-lp0wEc&YM;{8;0t%vrr)V(V{bj6u`Tot$F
z!>qV18zc0sDq{6_MJy7xU30nH?%M044ANVa*6!}D3VawF*ki7+XL{8Y6`|dyR3dK7
zzV+$fm1}m3CTNRs9TiM{^=jU}TcUcRQN@<0ym)kc<H}YzwWgk|7BtOB*y<p9Ek)bl
zqnlV%g)w*To(Zjd_s%(qpWb}oaF@=PjYizeYfc@o&WJzlbnDut3rBY8Y}sJPottyQ
ziCud0g`>M8JsOYgy}F%CIpW2VZWHyxB5BLH+s_<mj`XlBTDGM#QZg+ut?g!o%3+x^
zCk(_d8=pCRSn}E9Z?<z-B_7n3BpyqdaVRftn%?PkJc}8u4r}C{GvJzT+_UHj&*BF^
z=Di9RRuYSw&~LFQK4(`-iRHG`8HeQJt{e&L)5&>w;#j+k<&85FyM1LUe>5n|zb_Vf
zVB7XW>CMv)C;2{~H_tnowEJ>oo}4(S*cT)7?8M>aFD>7k+AjTL(YI&0Q#I$DG7w%a
zzhbpsm8JCjyTRK#r?#0*f0v;x{Uc@pmpe<=qZJOiGQul*A2XE}?5$H?8z8QKfBI6n
zxSi|2^@U5cN>!Nhip1?+|LasC56_<3_`4gNlM<}mxvVXWHcnRlWmuS!Ebh)%R{SK1
z-(Buo(UW9(cgAm<KWgZt`<Wc!w+ym4F|pHGCeq@}#9n{Pq7RbpdUnrG92V@Wk$I|d
zz|c%iSbK(WtM_rsAd7<&J15IT{%BH`UT#rTFiTlHzCY>2;YEQj=S5X?e!Y84%S~oY
z+L5DDZZ>ODj~ut|VTqU?cSB8Vd2GhCYsQN<Gztn!OK$w2r)+jF`N+ZFJv)9h2=dRC
z__%TAM27yQ?1>M*Zt?!{+|*6vnPF0rp0e08gQR3LWxh1SM@eeRa%x78lG&6Qmn~;c
zO!mChD%9OB893uuN9W3(h#7}EdQbLP%sA4~?Jlu!Nxz`i4~c~-`nDYG-k0_GQtNsG
zt{vw{t?3EacAO)%swZID@s4iRo`ACB9I0hJ0b$eSTDns+#is|^xM}Q7K61p%O=nK(
zkz<x_GIP?89A$O0iAgzfT-A*!#*hEdVd+fq^9MxTMAjK4J@R(bsX5xwo85CE@^nYH
zbWg>PS%UKE5}Y4$s|4)s`Rx9|wlCS`VYQpjos=Voblr09q#ZeU;BV%mM~-ebHb*-8
z4SQH5qJRJLabemsHBZaHKrdD!K;nEyCudK@j1wKb%xluvqa_MAP8H<8E%EWApfaP~
zzV+YQH%$mBN@LdVowVc7>BU+7Q?3^?=bvagG<#FRBi+aiAGYb-h|u8X3p>%&J$2KA
z#Hl(zB6PVKuXPwFJrdpMP%+zIOha`Vt5<hwV^DJBf-ezb8m`k=y(XtN2Bk(W_~ItU
zRHJaNqc!{}Q%S`6$*iqAHzg$X=|s%X<u*$@+0;C9lY{yqor)QX+;+!KJ2kW)mE8Do
zRz!o}zsyYw3_`_xd?vDTPfk5}OeM0wAW$sEX96q#<<x`67jJS<oMWNPC)UlX(Nhs4
zCNggVtFZs6rq<;t2anZ67JS&Ev!lXI%*IBW+iu?ERtD}Pl7%04MKmN$dY<a|kTufa
z<074i2)%7@6FX1T>WEf0q%O|-?xVfu*Y<hFQmzl*tk|_XbLkH`?P-5@+WDS;?zOXK
zWlQnOE1K~+M}LMSe#+dtnpHe#gX#6iQ`0|Qeeug@&4tK4!q?rd-#w!sVt266_G#Fu
za)})?PCew%jPWX6(rr~_c~z}<?NaS_ZJ9Rb-BJ9fo!z&X^_zT171OGj+N!oLbz$r4
z$c)EsVnKbgns#1GJj|7PNA7Hbh4B5JRouy^blKSc&0*r=|F<(wY|;L6zUyj#ZE@n+
z6JWnkF{omqvM{&hrVSm+()xu@9;Eig>^U^CJ>2rsM=5tkv3|dP_e3sfe%_CzVSRh1
z6{I9-x{Jv@I&p0J*Ya~tPabIZ#l$>5ak#Xv=FS6+1J}2ne{!^AlB=0qm)pL?ry6`e
zrzs2HFHCt@-IsIc$%%uyeKB{QojA-eyOR6zja!>O8YuHEGk%oBrYt9y*kYF8#`MNZ
zKSwzH^4*1zx8~}(Oy1HiC|oVMaYMhL^l!<+f(AixcFB(g9fI=hlAIqhs|3W3Es51Q
z^Q%X&^}M8EVT&NQyX3}?oXUKC#*ZGcD9ia7J$lUT#x%!^|Io1;Tew}_mP-a&9Pa4c
z+!JAOyrcJXkHwAy9o^kM6+4b}^q=lwxiLeorMWOuoGa~KLdzyaHx1sTmQV6-IXdYr
zMsjW%R}))`WZX1%Cbn#v^+h43$A5RgpN-Q5y%HoAo@o{Iij-Iw(<;b*T4Ldw7C|p>
ziG?*Sf?h6{nm-sQzI`Nl<4DJ$G&i4`6CJI(JvVNg>S)gG+3}-8kYC>TQL?o%W7!h+
zhsjB|j+$?nEZCa-Qud6wVYi^yREdp-?SkyZl7)u-g8cnPj~*MkG1<(kanV;{R9m`R
zMxsI~RwJNdlAy4^VN#-<ve~?}BL|Ipc2rCg<TsZ5xUpd(!}<Po-BsD=1tls1o^XX{
z|6hMV#M~fti$*}1I=9-glTEGdsRxghL>3r0i)q}S%F2KKWK)0aCV_<Q7RqYJI#^%S
z+*Ri0s!lz4#4d8fhIp|YnF*}y?5PKjDn@=Nh!Cr((c)&b6HY&JC}Jan?6vu)n+^$X
zRFi(}@-AV|3C)?}JKH;zz8iWzVN^@EmU>=r|62O&i2)}Y`uf&+zti%+D)A#PWSUlu
zet@t!Yu3ZCt~Sr)AF`+YgZ4i*$h4W4HGk5vX+ax>w+GJ*RGn%wk?Yptk`F5GT=7e0
zaa=ways~H2B))mi4CeG0zufdx=-jmv9qVc|uh*%cyE<!)fbjF|@Wo9U)k{VA#ofX`
zzY$qpxnssEm0y!yI=ofO|Lg3tWnpK`!-c<Bg_|6G`8;~}6-~#)?K(4VsB(+hO=Oj}
zPCa-~EwW%^tk{biQ(5KZPdYW^Zk3i>d3>*d+Z@4L7q)eoUToXuDyDI20xS3I)Pu+P
zA{WeY64Tf^f%TL@N}~{O<boP!kisL9oSXSKE;Hbq$a=~(r7=h-azmkyn1<s-)>FYL
zjh93t7p!p=)2I|oZ!t^zc-=*M^NGx4v7POIlm6O$>$Ev=@5Qv}YHP{6TLeqCPy2Lu
zcRSx(vkm7ah;}_N?dserb@Y&wa?N(_)h^QhKOWt>WY70%!G@dyc42R}@(mxJ$sgvo
z&XnnTIB(nY@6FW#D=r>5eB>RQ+?0?1?P`|n-Md$9`7eX7{8gcerxaFoo@`zrruJ7c
zW=g46>FF1GB@eq!acPO@aW_eFO=mgC)!MD~=U7D4i#}_IO^sKNCG0x1%CS^foBgWp
z8iRiuUoKy|?a_sIeMt+~#^{uae{i)=pKEdRzrU}&`n9yu?OUJRp8eiz*X^k2Tbf5^
z*c!M@6M6PRMYumrC3Niv!?{a7?C>-Cc;tWpmxI&F-Ky8G#lBmX(ahAHe`xx8iO?Tr
zf0{gfZbwBc-?$s5QQjV({O-T!<@+u{vl}mWE-Y9U7CPHfXU@5zjh7GHtTQn^I%V0_
z1B)6Jzd9s7JRoq(D{H~-PfJ~xpC95sma^l}&sQPWpXu~)zHCaY$^CJ%q%A6%(Z9&^
zs#ot>ud6}+ygWZEOICVj>GNfu4vd~5U-lzC(<8ViovrQ9A}dDGxE$H8<(qf-R7kC#
zW!18CPnKx7ZTIzsi&mwl6-InjW_GT-_T{le`NgpG1U6-^%a>LDv@t1jeRldZnTxZW
zyKDOI%PmPyO%`_L87(T%{uAQo^6t!Y>(5J1MJ;lE*yI+tBO*G=m3g|5^TUw0zr?ja
zdv>o~nwz2>=ksi_R_kRG)0kiN^N$@iRDCVuckfA~;{KdptjF)ZJsMMNz3$8Bu2Y8%
zH6!f}L$~tnJ#f2Y{cV?filSv1PM^5fUfSTi{c!%P!l;+$L$Aq;eoK!jQtbZtw0@po
zD{H1x_*+Xik$JKbpZKS8N3MzaXDAa`aZVugtAaZh^T``l(UVU9Pt85;vEooTr|iTZ
zal$JOeZ6&R!QyvrNg6e>Qn8iA8Fe!nbC1qixWUxWXN?~Zw|rPn&BCJx8qdvISfF9(
z^TwO!lx))B-dmOW>l92x?)mZvOA8-9)Yc`qb#r0G*?=1pB-G-(dAQfRx$W+{6)7Jo
zASrTJy{%JU`0$~tU4mObyDV*5F;`vq@R8uo#KgLkDUVjIcM)}I>pb0=_%P_>a^HGs
z>ANL-@oO>ypBih2x=cOq!NbknzWC+wjTd7#X!P9{T^ai^)Ji^7NRsQh(BUIDk8CJd
zmgKV7O3b%DMMK7yN4Q=1@Sz_o9-eriCvoM&!5t5OY?|Ab-J^ed<q?C8_J%rh)<^^%
zeyuI)!n@v^N4Q(~@S#sT9$x5>wi8}iepJ~`>Z*h!m%Gs6BhNb%A8zgvG_A3f3Qy6v
z<H5rvDt!3RlNAq7bV&bG$+M9A_;AOuiX*Fq4j(yqWJAG%Bo|)$@RbT#6`4shBBn`*
zZ9BrNu54%X=l!fY6Qk*`_pM$#<@mP^lgmS|ef+fH-;IEXi4r0+T3>6MWh_nbS{ia?
z;_<`JlAIrIJhEVerlHOr;W8DoUEEz(n~a0By?;ktiAv3k_vhg@U$iXS`}glIG1I*Z
zf?ThBIda6nP}fk$r*~H0^~&js?q<6$30wDT?TTZkLif*<P<!TfcumY#OHt*lA7x1w
z%A!{4X8kBy6cHh58dH8X{K&epD<8JpFf|cbrq<T!*_rro_YUu}D<6(e<yzDh@5dv&
zUZt%y{c)Rfvs$m)p4uP%v;OUR{qO&7_WG~?1H748L>L$tI2hbJV#2Qf6q>bVF9XBh
zZ;TAQ3=9k*`31iDDWy57dPONoZ*G@YT&-@p|NZ%wx^ut3+&k}CYWBK!vPI!7zuU6s
zWzRo(_x0VfpJ{XF-k6=U=iIwn8-uTAzEW@y2~=6w#-QjRDj?*vKu?9miAhnBg(K7@
z^srTY?2dx>cjo?>Z&N9EUfxFL<BO2LU;fRx&;7Ff)x}Fotl3WA|8>~@=Vkk!?*DbZ
z{G9)H^8P<Z=eO5=aIgRAUjM)U-y`vQ-7kObe;@z<=luUC@_!ER=lOdr|KGKE{=eVm
z|I75K`60g0{{PYVKabA;f2sfPhj|Rw(p|eZyj$wH%x~%$?=9Qj*Z#Y0|M%1J`sdw8
z=F8XpTYUfDKmPrHcr=ZkXap>B>Sfcl`XXVF#B?TNL(<_sW_7(g6(1fQ_HR&7Jmk_L
zq<n7wvHj=vAKZU(|Iz(t;~(FDX#S)8r|&<j{YUp7zyIL=bNdJOPx3#>f0X~c{fGY#
z|DWf7od2o+BX{5N?>`yspSb_w+kd+M=j}f&=YMF{x6l7r{LkC&`S%}9`%l0B%>GC5
zzvzF{|GfWo|I60*>;HWJQ~96Wf9Co#`ak4<vj1`Z*Ydyf|4jR*^_dg@U3&i8@_&c@
zWBJefKRy4$T7T01;rvhgKc4^b{LlM8@_*+4iT`u|kG+bg$FvDbilI)kT1q%2g|>O#
z&`WGj`8@Oelnvfa%UX0!wx`e6Rdjb+*J8u@Q>e`QhVnv(LvftHRo+Yw(0JI;(k#U1
zX`!>w<B%YyyQ<9Of*7ZbEkc}2h5S4;CI{#(blUXuREXD#Nd-YpTU&yK{Jbrc7rGsa
zJo(H!MEhn<?>SEk{fA90R-9jjq*P42GA3QndAO*>TS(74V`71;)9My8&T!Q&-aFJ5
zIv*<KG*>C{dNJvO?m~B`^(}Use}&joUK_3r+S4?@F!<1d7DdkODm>mhbRYJ$2y&LI
z_IOE5F7Q88%lTMH&SS;|1I>pETQoWQReZcFbRKrLEEh^sv6*b3o#=OHPYWw&x6m{d
zo9P>L9=5hj7mD+&(0$n3vRx=lWsO(F<PCa>&WEfyJ%c7KUE<+6b&{HDtXJEV+@NjV
zHx*NtPl*jOTAJc{a@r(i)o`zQQ))DKFMTsHNFjCol-i(g-kMX298NiEwl9&H7NqjD
zW6I*7K2J-%r4e48Q-U<rmrU{0oF1gOG{|e}l*vJ>md=?}6m?2c(=_Ou*UG6yey1um
z#g|<1Tsi%c!qPym$y3ra#h1oRDvI>#o}wKTwRDT;POYV}r%W|vgVuTPR9qVDHGN8+
zrfpEw(l4GbryD6eT{gv0(>W;5Q*v5S^eI_Q<)C+7l2eV;p3a-{JLuR_n+ZmWsX?cl
zHG_lXJZDb(6md#e(|_q1k4m-Fuv2rURBCbui7nOftkg@5JjJc4zjV)}Pf@3&HS?FQ
z@razdNi8+@RNIvDph+nzr#&W4)Kd0!+ttG(c{?R%`bOttev-RWQcj(iG*MUC-ECcu
zjpR?GveP$|7dsq_ll-0XW_qB;<A$DQBfgUsI*UDy2}-)B%1kbdaogA<B)Qbc?}WzW
zK)uCIZcBT#B-K+xPFtux-qK@il$A1PYM}PxIX$0^^iHgp9H_t8(QR>$n&ep{y;D0>
z9&hRqlMGMUaw=nTVW`{go@^tll$hy-&Th+l^d#>ZRi%76^<wfx{bYw@zLL#GZ7Fvq
z8R|UV)?;ntmU3sRp;ofbF<Z&+sXeDF6q22eE$L}DT9#rn$x!$4#vXN}d8cMf{OEIR
zO^>DIXCtu`ol_B$KE@o|)FW;bcdA15@zx%5qkE?!CT`S9_C2<%hgb4_ipojPX_J-I
zL;YsWDY29++II4$UV8hSxkY6sPfnSvsvhmvHz&rjvgp&(H`6w|`!&wlTlDSZP5tEo
z=NK*7pUO-sj_{i}N4co)l%?A99dnW_Rg1iy&Y2LbwminKb&i*1Xp!HkmD7s7&ShGr
zKe=+kQhRyWIZMmuPh%zot1pl9Yo6m~>031GY0Q*j&vU7kx<%_wWKO-T_I%ZxGRx~v
zN>1$5d)_w3&oZ`X*V8X2UQWHNzC8Y1!<^YgY)^Sk?o>^WIG1Z#{-o!`PVMxNb2H{t
z7ri?zIsLQ4xt2Memi$kBPFAX<N1aQy^nYSA)mZuY%sG*k#YNAa>YRw2vRUQ%&N-=;
z`cL*u`RsKr+cN*jo~fIa(nHV9np0{iU3BlHXV8Q-3a*Z;noL+<av6!_1aF8v$j53e
z`X*??N(FDnZA~()JGnlIJkh?fHle?XiM3kvP4I?z$AwMITzpy<OCD@!Qet%%kqIsE
zIatVgl*>zGik8K~1wM{Do48n~a;*}X6B4lY!IY-UT<0`a1Qvuju5BvjI;Xv3!2&<W
zy-jSay<D?IOtg2bcrdByG*^^JOyGr83w#fTvcBb7r}-kFAod_H>s+o~A|=`{0xzsy
z;P1G<iILS@lt)V<sKEQ+hNjzG?=&O=3&IbsXfkB|E^;T_VBLepCQjDLTyk17f<Aa0
z+|qQMYo12Mssy)##;lvUo{5~%jtJPWBEj=uG3#lrIE{*x52iL<=enm+u{y!^;HoB5
z*4JESB71@-EK!N{?3xs!n(1|EYKq3qWhuKS$$FJ(-CU{?>^W^xj;f_s(bOlJC&M>I
zpOjN&_4=lDb9u`CNlvQlQ)EJlJWeL6x_b3#Sgv|9W71=<uBlTrG((G=JZDZSQWf>m
zni`^MxpGO=Nln$|Q|AN*Eq=0QQk3fRDRaV$96jews#4|klA3CwnHg3Tcv4k$`qV8N
zI~Oep^_)Fvwb!kwF~OG>KG`)%+RJKciRR0&ON*C;d(NL!r^@V=rzII;wB*UONr|f4
zr``!PTJ&Vyq(IeVuXma|*QdmsWL6dSQk!ZMVzl(h#7UW|{ZnlMKZTs^nUwC8Hua3=
z%<xSMQUXs-n)KXj+SEM(pMp+mtFE8AC-76)$*xJEs@Y!Grsil=E?4n%-P9!_x>D;>
z$dc730yg>{Efcj4c@whH+jUu&j_6ITqL3%6P6SU}pR~QpR*Ng-P3T7dqjjRKT6`-k
zRy>-}by%w_L?*B><fx(O@lcV~8i9eU9<_8Ci9XfR3JF=YBB(IPb!%6!mfvcN#f#jI
zMv89LI=9kd{iCKXE77l7QXwX*GJ-Cyd9<j@TT5?sMqr_<>*_8u(eThMt9LA0<b1SL
z)I6kQ)r+8uYZtk@uJ5uF{j0?mawo)aMUux+UD3l@d8>CUPI5UKE4o<gSje5=!uX>*
zy4bbULVQ+LtVr@Ysw{e0OKx?=qDL#cBt_4MoLMy^*l>N4<IzQ3s-ovZbyim_e6+MH
zTq|yM#o|Y6yF^7-Yh4Rjv-(EBM?cp|vr<eovx2TJS?L)*d12b@S#Pt-R@_{fHhq?l
zX=K)>t4~&(44u4OE&gntY4sJ66*pI>&7bu*i|eXPKykoXMbq}HA}cKyJzFs=Ije8A
zW?1l|Wg%xdO;fW@T@6{WG9-BEvn8{#OqXAo6ISfz+dFG>R@T)y!NqZBSxrl`UR^a=
zkr{G%$+F0^uBOwk#Dra5^sH-Em}zd-x>cFs#lF7nvtDQAt#}!7dFirf-~L%~rnOnW
zuJWwdxiT%_?1Wj-S#4MEgcZA=?U=PY%k65<%AL!f?VD9-%74XY#mtb;0cWSoa?e_J
z)h6t-%h_O4<E(irXNDRtPm4R-G|Sc0J8RzR$gs~&XT43=U)dA(+3jq!sdiS_)io<4
z!#6K{Hg}c^?@6g3lO<UmmnW=KbUtjxd)xHQg$==n^>}AWZ8Ay8K5=ovYDItNeQkH8
zzGY}!*bsi$j`z2zNS4KdhYQ-ArTDTnE)}>O4&*&<B6B@p%|aLFm2JXOr%a|~uecDf
za-r8@Pu{Ciewh|)ANI6K@ur(z$+B4fa8Dbz)GL!K*%=outXSyjyt%DgN-uNAnuV^1
zMS0gs-7<-}dSTteS#5m0*G=zSxUh1exAXQkIo`cezf8VlOI#=jKD?k!k$1ZZPxg+r
z5Bu5#dCN_EvLr4S_#dw2eJmxHG2?>4%7+WvG<o|?e6lOnJnU>+E|q3tbJ<{ZqTk^?
zZLGZAQqxRqu5VcLu(fTvR9t4o+K0Vu+oi%x)?`Io-mosw`LH#wXVj#vOENsKPFkiK
z>)kdjH)>n<&4sDUr^QAYZB5BMd2P~S)o|~5(`s~gZ+&wyXhG`wX|++`vNf+1Ih=OX
zZQmkuEojNpj%kad`Z6uoEsgN*oED_3zGX_L=JlY3OM|?pPMaLHYU`X!MNy|Ebxotr
zWv#qg<afGKSA5Hr%$3(KEm#`pJ$YK1uK3oNOGT01-P5$AqPA|y+_`FL>}gY7*{F5d
zI~Ohu_MSd1PuDi8YU`KGm)DIJJY6=;QP(*tFH`bbQS@n9UFE2ES&~<cmOY&}?RV6%
ztu_~o7N!QBcGeA!lFOWV?Nh{QVO{^NXEG|6rG}lJGp$mWJ4$S;PG;r0)X3A^y82u9
zT>2DsT3R=M>za(ntDBak#-47QRvtB}MCG-|#fhtwecgBU@yOmT$+^DK`M96#?vj*O
zCoWA~tL*N+uFppHr&ZbO8;ch^9FLRzUGnC7;EKl$ea%*UFD=$A_Bbvm>s~5zxiH3k
zW1o=hQY*g~8kYmtEp~EW+NUL}UK;Y+V(H^8ea2Q<C3CI@u6{hH@3WQOixrmx*DrQ-
zU)-lAd)7+t)s7{PH}#3hhL>!4m2tT+)O~kfwv|;$%=JQN_vL+hvUjbjO1`{$arxr<
zWQXIvvdva)C3h|vu6ewz&)UkZ<jz&YRmncbZDqfg_PnlGknD7PNng9wvJ#t1hHD>h
z>{GXz_iDz)k3PrO^jXS&wh}ARc@=T#W6beQed1PeuPT;4-r8qwb?;Tg#f__yeUI<z
z<CT41qVm%7+T=y*q5iYxmDoyFZF_lhU3&Yxxm9H^PhOe4R6W|iZ(fXTW!0yzZ?0{2
z_ivoHx9Z!=o9mYcoM*IU|0;8-IKqG8JmspsSC-43@0gclt6Jstb<Tz0Wy@pyTjzP%
zhF1B#T6wM5>wKnd`j;y&ELSfNJ8x<G{A<jG;N{EX{F~>w+4@$^`Wkbk*z<g<t!~x2
z7nxTtFMGafUYYInFC{N_u6y1#&(AitYS-5<FJ4}~ynK25`G$G3tJuEsyxh4oJ>q<>
zZTXj;7duy{hn$}=ue$2pYsu@M9nQDR^R(sv>hrR4NqW@zWLy6)Hdl=oKc6`-(zdwj
z*;kzxkykb^dA@UAs;&N)Jy$+^ozJ$-|FY-m=0)kD=V#3;wUw^A_tMj7LXN^whgFRx
z%rDuDcyf$4+&#d@Y|Z<|XhNpKX@_l%GR!;KKJh$}zLA^I-^j#V&HKi9!+nQ^jm&I(
zQWhx>HZ&?RyYtAH7MwXy$b6K|i)V_IMdE@p4m%sUn5VL>;+bO-ko#at<7KvU5-SV~
zt~so2EM_|=y(3}4IfuQCY|OoEvv^FTcVs-6)OeaLiYLbKLe_$_2SS<OvaOSRVNh`Q
z059`gwp~0W(k~1zWG^`Hu)mR!*_@Y0O2Vk%^nneHx7prFNEjAeKd_?Fkoh~$9dm=c
z2aS!K%#+#Vq-Gd>IB{T0<8iim5*1kq#|{`XZ)SVOb4EJCU_(a2$pgjAr`h5pDl#8T
zZM@EQPr~lQ<>&K1Tz;~h<<;#!CcpF#`{&n5+OMrY<?k*3G5A(>o!37x`&90VQz9H&
zgCqQ#8y4!SYYF*%;Z^x{z<D_f|6Gw@#>z3zb_&c)Wi<KRl#|AK--PQ_rBXzCl)(88
zhLu{1Ua|L^*2X0I+cvd-<4)eCxM!vFjo{r3E6oyv+*ymJa(}Q={IJ@^;YML&(p07s
zMf;eq<Z-u12`iMwpSU9C`jxA9m$E~a<BTijA=wcJ!g@EXwMlgT+0^@u+y2*#-c783
zYnpqrS{Ubw$%W{8zX+XLuxRn2o>MLIQ@Omg#MXuUmMfXn8kf7#Z?eLY?xqP+tuj~Z
zQ#@Un`hT^uf8{cJCFXZU^URA~9~NgHnxxf|c(%67aeJL8+g;%cpS3?OePO#V=Y-Jm
zr}bN9&ep5AU;PxX=RWy<W901=PX1L>)D`qSrv>ciRcy$1Vh(TN*(d1rBWTux{m!4(
z|J3*9NZ7Ptt;xa`O{dGZSa!t<GEDz^w_?iw(+00My%9ch>W8-B>!vx|*}{0%NJSWL
z$V)hUz?#|9ZBp+NiT#h3hdf^YY`KZ@OE;sQ9OF%QPw**Q_r5Wjl&NysW7|ZT<fi#W
zy-y@hns2&&LQa|0?VHri^pyP*os`*o{?Gq(+41rJ_di}v_!$2Aa-y=UTc3nw){_|%
zAG>w+PLa?wEjr{eb7GOQsGC-Ah@@ral3OP<m6!L<F$_w6vSwnG^79_fP@DWeqEZ&C
ze~3C%9-Pszgz2DLWz&Qr7WK87LhH7q2EFcTaZu&#@~U6&XWinQE37tknU6%+CZonM
z>8=*rw_$1?ueT&D<If4v(|Nh1V5wK4k80Bjy9ZYi6N8RA)mu&FeDlL>`kUaoqpGP*
zOZ&JFYY91(cm!M*OWs;z?st`Ij@AFW7GK?_HY|TXX{EH&vR(I1U#SgsTGqFE-=vk^
zO~<(GMHl5uoOMoZny@J}e&JQ~ColFrSZ)$}Xvww$t=Op_*68li3RMkx&Fr@~sP1y}
zGW*u$Hl<Ey?K*s>O;{<asNe0>6vZmh%kk7w;lWGhnYogYr`&Z)KUha(c_;2-x%p(Z
z@T|=WDbJTS%u8*w^KP2Fi`A@@tMQe9!d0DuRlX6S-36<?ohCkOl{w4hTBXwPa^Znx
zoQ(dDSGDl&6%N^=cOxTos=<PsQl<@TENNd?3OSi5E+}xDd0=-)sMGgrEnn7Z2v57d
z&dcEvU!&J;7K5eSIbNb4Oji6lB|N=VPLCs3CR}YvX4KEA6TfmO|Bg8B)q2#2bJ<Rf
zCCeONoL^$qsMb1*<?@?fZBsd}y%bamUis6d!0y{DAw?tkh$qY)A{j#ajMX_-#?4LW
z4rFvY+_Y}hRnFF%t34w^=QFHtcj}wQ!lS!t|D&lgiMF2ecb#FsU%AHb$GK^DdG<`-
z#Lu-&%jDIn4XcD03))$>hi2?!T6S9gV$iGQ*NR;xZ&;q~bjqqF;;zuYt>JS6mT!O6
zB2%ldS-a`#wg(F$JEFIL*sW{2IzWBH%WVu>1shY3w`?-ynpC~~-?GH39AbBrXRP;{
za9=END);;B>i*jH*1xqAj_BI%S>!qAusA2Hgs@p*s7LVX2TRTt|BFl%Q$D1hm%aZ|
zBfr3hkk2Q7{@1^{NUl-s?E973^WV*G_{96CYLVxO)9junud6P3v*_9EC7&FR8<oUY
zU-M)XW<6wD_F`Yz1nyfc#kt}i3|Dz7oLKcO`&-p=-vh^!eVaUjSf;DI+_!Cmxzlbw
z&bueB)gFqwf1vOBESAM{gx7>=E_pm>LLdLHX=-m4ds&>&OjIg2<?PK8_!FW&<<*{q
zl~Il%y-lnAxOd%J>>F{?Z(-VP`=C{qzKd;BVtCQ}VL^ZFn^g<8G#@(0)w=kt@Uk$4
z7rL$y(enzn%On;=9(vrh^?qh$zG-}P+}d~A=d#5=?TDT}VWsNA5N@Y6zgXPAaV(xA
z{N}6Af~(VVa}A$vVpwzU`yI0-o(F=LH7t*wwf^hcnAsc7X*E`f&i{1N)3Hvyg=gBH
z>syX9osi2E_Na1h$ZS_Tn01-yR^KY&3A>hfez=g`=*xSk=T(bjthi37zRaq?hLFh#
z%d8Jg`o&`Qm7{T&rpc?WhE@3*SH=8d>+*v>?`mPO6)E}>GhJZ5XKYiQS_$XJn*!^m
ze45wtj77JXqebhAy2-1J8<tBx4Cda&qQ3XCYDGrB-NOLuFPwY5E~%TmTBn!jdHW0J
z?WoJDe=<T(Tq&z<+TK>enSAQH`i`vF9an8*ou(ga?Kt~N$ZKnKK*+9!<%W(4i+8m|
z&lP)8^1;?}Do2-=uuf@w!)fhAy_0P%x0Xl=H5n_~WcVDo&@o{_;zFlprp_qV@TpvT
ztycYaNxa0%sUjuLx3x8(y_G9hF=VsDihkCt?)gSMoKCAmCWY!JtoDewQOOu*dT9T%
zmex>i@zp{PLKQ{ctVvi?d}!OP7N1_O?NUN{R~1sSeCJPCP+7~=7WYE<*Jgi<z-5Y#
zfu3zFv9cn&wzzt*v#2lSD6&#wd3B+>be7PwQs0Q^g^CZux__}~?G-&&8oA=yrVHyL
z4@S51a(<g7a$>7qM$USJHExdf%Ui@^MU;#+dzN`8oSk;1gzIdiK-gC&jnJt9tHPV6
zWwE%La(e7iGFa_i;J=h%@o%Qh^EmBqO<Ew#`Ob5}dC$bS=tUtqJukO?SQgE+d6m7>
zQcgwR$4tw&HLUcL2y6earmQj2pT+wu$MaR9HD)S*UQar(ChcJQ*QPV)xZHPZ2CRwS
zaGkZ;Z}rc6&+UKS)fZ+-y*6u`Uj5Ib{PyDZfy=J0I=a8+|K|6#wI9{x_5OX~-W#T^
z_wT{?x_?j8<?BEE%&+<Cx&QM~`JnVA_dJ>>x+(j*%`!hfr6<Oy=%&Z%XERq#410Y4
z_p8h1@26}#J2_1Gt=l@umj*?5Pw*<wb=%cbBK^|vQudPb9{VRUDx3H6NJ$zMoj$Q)
z;%&Eg5|V~R<)4>aJ#ph%<fMte-6mgC$ouzK*xTj`zx<z#*M9t!|9fuw{r`!Njz8b`
z=id2!33pB~D+{}+_1c&ir9PQB@#Wdz7-jz+8&jj?Co?BTDi^yw>(!BnG})B$Waq?G
zW&NH#CZA57$X3qp*<-pXX^v5Ck-q)kkNgp)o6=J5o@kp`?l#FsWwwXm#4M$=F1tE;
zgm3%gm~T9M#7}s)Ps*$lMiX<Dj=QYuv=RQPRyO-a@}dJr;)H+uyfF{Vc+}9@tj0If
zB4^QwBZ9*2zB0yzcU(4h3JEV&^P8bz9GJK0kjv6eEn#)vkl7ZgkG6Cgt7ZAjF%8Uq
zG^g{kn%;~R#)0{Z4!SJvR1-d{rZ;Ox%A-x4V#47*TV`b#7hZMQ-I=Xs<r8CGc-Uom
zr=IX#wJM)4vtAfq%uhOS#8<dkt<C3-kzvlGZJpL?Za#NR4YQKY9I+Mt?%OlFA|dI}
zktLn&YRi0Vj0|%hZR}K6n>TBQ;m0#a)^u75e^wLo(U}!t^zqJ-O`YOuakDB?A8qY4
zSGzYW!f<0&(%B=sI(dcP`=~e?EpgCV;%Jp}!0ZN}b%OevCZ7{cIVT!pPBhot;H_T3
z@a>S@4gS^w2EIdz7Cf#6EPaOzE%=TGFfA=$I<-JXYk|<J2Pz?lH7$747qFdk(w)=%
zxq$K20y(J#g0mJ#>K#(u!J}Ki8nuvjos)G;Gv5wA^991Y7D!hu5dXD6p6!7e&mn<3
zO^G{r%M)1M9n$DIXuE^|@dpOA1R=RYA~sE#6+HeAG|wC`p3!PKqn$OPMYV$O^arN6
zL)sO*`44p09MD>G&?@JEwTIE<9JQl9t7e)Qzf3balViO3?ioH~>$7i+CTFUh_SrU5
z#&~Dir!!Ax-^@+xpUGrgefEv<=KDSiXELYp&9Y2+wqd4{vHKYr)8aE{3XPAZd7YUu
z%QA7<8K0dqxs0c#tvWNuBsllkl$n>)&dpe9SbWWA?abn|bF+6QEIa43cP5*0Z`!Og
zCbM^DJexH0bXwGz7{kk1%g&w&HGZ46Zstpa;=5;fjpwHAI#V+HrQzl5W#@hN&tx<<
zKg%;q(x~|KnGG{<r@fmYX;^&y%!-+Y#^2A}F*nY8);N>XcygNDteHliPn_8@^LX04
z8I@US$IciVZ%%u5=FIF!gUuOfC(jfcpH7RLQJMK{>dfnD_hwXPryV`BYNo02>ol`7
zdyFTiDBg7HY7ODe6uYFG5^*Cfad)e%SXtDKRK?3q(^_-5Eyap-pG2P6zTx&EIc`?5
zZ&5eW6Zf||akJ~lY$-T#D3RM$tS`bM>*0*n$6{T&QzA6B794V#*;>RcDyF3y5^0gS
z@YW$s?&Z33HU=a=T+<rG{ak0x_JV^>b6cypdBvo3O(HY46<j=|$~|3oOT><(g;$+s
zx2_hur5m&PLgK?+t<qvvx+Re>wp~bGc-?7!YaKVUSYDLG7K4<B(^?a`x9i^7Xpr=9
zU27nBve>)G9r=lO4l#2Ji>c|_Y%xfEII%U8yI<F4<A*DUdRo)P(sa*6&e*;oA@Sm&
zNv+Strs?k4@Zr)SZSM8Ddp3T!cBrd0lsjAOnr==+MY`fiuT4`$v{!~*TC*hj<c3XW
zPnBs~uX(d&(`m0|Q+2d&h83-O5_NL(r2N$FQ*Fbz*1Xxe>HMiW?ba~92+NG86Q&*x
z>slkTvFOSvL+#^hMWQt~24y{MnQEl{G)!wvNYu(rMVGv`P7Mz8i?&Q&dhArB_SUd-
zk(T*So2FW6e+`pbV-l6Q=~B+qMN_@Q^rAC279I6kJ=IJ*eC?L#ooP!CpDNWhUsDqG
za?_>UrN_P2Pqowj8^*Tg&K9GL)Dx$4wGW5oMej^bJ#s2mdvVyYHFq`_-9NQsDtnmP
z8lR}jjMP)7l(jF1$wgNtJzY6fQv3XxGf^`)8|9}SJhf=5s`mM{I?<JhPnS*&4~vVg
zOn$m{s;Ktruxo49MBm)->73W3-V|v~v!L81H$ApbOiZ5L`_`=N#*NJ6>AgPEk!G86
zpWHaHbz-{m{bPC3)j1+JZe&;M{Y`ng|HsYq!ra!{+cMqWT(OHz+PUpmVR8NU+jciQ
zoT8KF@!hbyIrprg?T(+B+t!Qkap4JDH)COrp=WWDjC_Z#w9w?L;4|6#B`zP{cH~>`
zxyXrcCvWGHfA;&tv)<blX^(gGCP}NBdF9U85SX_3j$3Q5mvpF^->ntf3Qrx&lupmN
za>F8f@wH=?($8~aHUy?GzUS85>n81MHY+z~OX105snWV;>uzLhy_oiRRd1Q}^_-F$
zJMtd4_4-N2n(fN%Tp!-w8z)_B_A8g?#*WP73&$q(Mw_+e-Vs~>UshV#?A<Mit+Erd
zLucm)*#CZW`n<&E!t=*!r5~Hg-I%e#F!S-k-j!#=?;hLId)#c^jf$+~W5<l8H=8}n
zIdeN=!^Vu{%+LRFb#7NAK7RTvJMHn;XW~bWMN4a&h2^ff8L@q1;^Vo!D%K~<g5E5-
z<+*usp8DZ)X4bdgzS*$(@;N>0nPr>aq})EaadNi$dB1&g@0NYLp}Ar6^>cRCzu$`7
zvP^isV6Jl+-)+rJ#YfHsS|5KSvpqOx`4PXBbA`)Jy_s@*<%ZzQ<)_YhT3;>myJ?yG
zyl1YIb^6;Yw=C12@0rV8_Ug@*+nF0KXDmPIw|Q=NncmHvIm?fp6SZDjcI!>d*2{U%
zXU*lazW(;khRd1DPy213D`&m8?AMzww<R|eUp}{BuA=q!H$1m@=05M6D`;K*w&#}Q
z=Hm0`YONoa$=#T_!8r5z!nvB({cn73SLQtLoV&a%?TyW5<LvZv=l0BHweBvP_Qq!W
z=A7rPbElWZ-K@-g-aB`DS=gI3w<0%h&PzXh&f40OZ<6d13y;zX&lK)Dwl(MSZL_}d
zFkyLfET55Vise2#2fP1Y89%*desY`r#L@M96OO!RNVPsuIN`OzdB=Utclo|qXcTO?
ze$bBnx2%Yj#e)Y6nw|OhtTl=XjvNeRKQ1Fv9`I(t5yzFy!hEM>rdY2i2za^R)Im@7
zt9*Wz7H=Q)G)u9k%U-dvc>Z8dGdJHWnJd;A1s7f{IO({#xtmYVa>ttmM-Pg!ujRWX
z6H|KO-Gf=peC*d{?-X2kx!|<p_GUTuy?no9zF12X6kI;IpjnZ9y9|%@j<*l`ng!X*
zWqYh7iVMyktYv@9CucFEz~JSBh0U7m{W3n*6>lDNHZSK(ld&l_c%5+W;GSky_HMpu
zGB)KK-aKe+p3WC%S@HHkZ}WD(Fqt)05yczcB^*9z&F<+pY3>pW&(cZHRPK7VP0sb(
zW_|Nv%JRvvenxXsEKinAdaQEYbKc|{_1$yd6b3y=SwFef@0+z|Nzs9mj_U1mWXgh`
zJn5Lc*sssh^4*dfo}H6})Ya!qvD7RNdbs40=hVrQ{Z`GLQ&e>8q@=p3-#M$5rA6mX
zR;r87xnjAp{L+IZ7d<CWPE!}38&gzt)3bZBwqMlTEtWf9ExCKrR9)6@o%PO#e|~-V
z`R983`Stws>u(-j?z;KsypNmb*ZkbOfA`O0AFJ(uCw<m<RdVU+lG~pBljGED{eI2m
zvDo=C<-*AclcW9G=H4kQI)1Wa@@_x3xjmLUpFi0*xlo;dj*rF6l1~>-PMPfPw`{IW
z*{35XgVl}w=2^}xHF}<M?_|?tS9Nc{dDf9-pAMb$R$o77PuZtqC!^K1{lez1v5YL=
z^zg~t$tvO}^@3uS?D8m{_)h8YQ8V$|v2O}CUOuWPK2vW~Ov>&Pg%e*Zop;^WeOK?>
z4vm71*N@tX|Be;eW%1zAf^KI$zTFx{g-4DCiXV@WDGz+J=!omeZehJsF;jN0C<uJH
z=+se9@vC}%J1yQm>gkpePmjH_%i{T?J>A@TuVSw3&M3I}V$n(0&E4I4dOLT#S#<QM
zsQ6mFTQM=E7vDXa)y*e<J@!t)#g~gtyKe866W^=%E9T2?iGsq*M;CM}if@nM*}dcK
zqrPrI@$%T7T@uBG=a1HkKh~4mF{8ln<)ek&n&SO2KD#U4JnHOTu9p^LQ*8J;>D<vh
z-K^r>dedTT$~V4w)Y?5=FK%bW+ef|K+x5a?*6fNX-uN!*@KI}V&%8-@m+bH?o%~Gg
zu5a7y+`MhOZ$3<0K07wg=x)l+lVy`1t6le<H@n7s_uV&z!4J~b&#uk;wp+8L_`q35
z^Y%M3Wx-FLb<AFz*SFL1-Lf0LowI|?)$dH%saYQUaM>l_sk0~Nt-3p>sQA`dNpsV@
zbGufS7N0v?X)b=}%FdPLmme&<=sS6Knz{Jhn4;pFzTLC6^P=u<*}3!8vb$$Z&1Lh}
z?cVut*=679v-8Yt^Q!KC+4-{E_`$PfvmMQy^YV5|mKEPVD{HQt_imSDsqwRC^Jf3f
zJ9gKm!1!U>rL)fF!Fh5!XO?}waaP#e|L&O`mCw?yot-ng(wsX_?5@tv%6Dlu&vKjV
z-`!L6`PNx!^ZdJOc0`tLewKFkY}@Seyh%1H)gB)wyiz>tysMpu|F%ue_YH>+`|<C#
zNvS&VX~J8@<Id~aZTNr6l~vz(yzs!`IR4)@Z@ve-c-YY1EXP-A@n+$P!-D+owlbd!
z?l^C37vf(k=U1WeIpE#GL(WUvwfNO-L#i#FKHSo7ESF_7=WD?0hjZFL%js3D_#E(l
z;X&uc?P~mI<@Bm{JbAdOU5r26W=mDZ=Yp%wyW6wntZZVw7aVq8-mb@gSFXzDOVx|d
z7v3ixIPA;cEZ1gp=aa#khuhk%<=kv`ZtCay_LJZI|D$#7f&9sG?<#k^PrP%OnO|5=
z&DQ3N!PAEm+cWw5ZEZe&xN^9sJzXx%_DtoB?;9Q@UOYUh{khyU+dUsXTso}HzutDw
z#}C&IceRJ|XUko)&8et(u6WXW({vI2m2sE$EU7;EVbj^uW%|~8-hA0~+I!h_9sQee
zMSGr9o%}rMed_k<wsBm0-hAD3{&bywYaCyN<%_2irXP;$+9UI^=*nqB{o{K@sx?0b
zy?WX*-AMmwoYtO@s+FILE_rXA9vtUaZTWcVvD1<ITjS1ETE2hUG~G)7Yn;>`ld8;5
zm)<;GG~GK+uR8N%(NXW!)6Mk5_im})`E2Ro)1~_6drGQae!BE_>2dG%)9v*C#<A_W
z^Tp^z>WS03`iJB4s&_t4J@WhHvYL;Z=jZ30N;_}tvclYW-^_Ps8}<v|zNo(~Ve@VI
zto>R|{~!Ie%6WGvHa|(nZEsKI&gW0}O)u2v-{Vs;^NZTH#|x)x>i6&QsjhtUv~&9M
zdq)IpYWBNJCoPfdmaI}yd%1JQa!$k0+1#5H+|mPlZp@gm@GR>cnJH(F-i(@=vr!~6
z$*8RB?Fm8UNt)MG!q0fkyD>dBDPxz*vGsfNe*|w-Hg|bweMDwU{qdlZZpWKhb+3Qt
zYKcD%Qf^xo(&M*PVu6;Sn`qL`Q%5{<kCk~%*%R^Ry5YuD_anP<x9xR!Qoh~eW7>q-
zM;%hS1Jb)P@}JeVpZJk>#(a6f=2zZHvSLSqcsf~su4aF9@AuqWIseMvUOBM6EUVak
zo7k(v8gE3cKYhHGv+nA(YcZm`Bel)8xW0L*x^Zp)ku7JtO0@;EKlN(<+;NZn-g&Q|
zzrU^&cwHR4WM6#B{%{|M?K7JF40+Ec1-l)2Q4`UtW@yNp#P{mRmpS6bA=+vy-OgP8
zntwLzX8HSz&o-T@5Z3<CdnWJQX~~OE&R$yA6+1&v`eW3aqiNIPJ6J3AuB8O(oE8<l
z&15L>GD#=sXn{)iqJO8D;{UBU;CVmtfy;{QeWt>*SJrR)Irnwyxzl^5x1P-p)%T8@
zS6%<pM5kIf+W2Fgv;CS^&F>>FiQhXSlGhP=N9OqSxVXy7w@-VgZ@=e1E#v6vRntxN
zU*9|OFE1zVqW7f!6nRa%pxPxrJ-$zTm^{1xtzFrVEm5UP3HHAp^4A+IyW4g1eciv!
z_CH?ne)5ywU6b<b#HWdGm5;lx>$j2rX;=39#^c2Yj>pOWu6gr4@WtbX{$@MApB8Tx
zpExck?_MkOx$utr#(p9BrFMQlG(HEuTYSiUX}^}ddTq#Wi>Hsb^c&k{)y(-C`1<jj
z{?B%LKURDWe82dh`{I5z`LlL<zji!%ys2MIKD=hjuZ+)ySKW8_XWLoT#C$J2?7qBT
zPyVi5Rn3=QFFs#<pM2oBuY9vzTg{zMhHoBk>$kRZtGV;l@Ky4e<F@kOYkPiIJV-ut
zd`W-1-Le{+Plj(FZ|qmMoA+zR$B$=@uj#jx|7<5#qw_1`)5kl<H}#9##r>*y`gm)<
zx!t{A5g#|cN<MphS3j@(`x=#>p5G=vQorgyYkrBnWZkx(H{YeV&!1aY_VeVI$xqd9
z`}fU{v9GNA^!LrT&By&4=kKli_VecZ<rmH~+Oz+a`BZ$vf8u=Qy1rkQ&z|p?pJcCE
z=k<5ahu~++@A$XQ_p%SI^ZT{(Tk)y$nfB>_uKcikz5LpFOZ(@4V?G2wUw+TOdA^&y
zZ{4iFF<**Lo=>&cty}ja^Xuhj&sWVav%mhQ<j2l;&)eqv*~ixH`upX_%deN8FTa1j
zVgBqow!b_-cRo$OaX#0+{7=u1ov+icoS!kjy6)X?$?u;JoNt-$Y0v-H=V#@U^jqhX
z?fw7Qd^LXjeCGT}`{KH1e|3IDe%buw`Of*N_WFPJeEEFpe71f5pFLkUKT5xPe%Aa_
zd+EA+KRvl7$S9~fWHoGIc*$tQl*7Hj_COzlHS-&;36cuh4rL8<7<Mv#VtT@ULpH&`
zp^2fI`3?65dxyjZW=1|X3y}u}4T~7unPhkibPjxEILhe7G=<GVaDk3PWkVOkRK`_I
zb9e$|AA~eqW<1BTg0sNPA-AEJ@f`aOfdzUFwGC|yy^OP%OxSlwJP2wy%^1ZL!+Ak!
zf$o8;3~w3NvA*CauszVrFqd%`QwjSE&I{5D^d0IO8X3%)dDtYl3bYRtG~8x<$0EU5
zV16K@VI#wLraSxwat|CEIvFN2%CXJh`k-;3q~SQ@JeCTn1hoU388$OMV>-hg!LdOi
zLG!?8hSQ93EESRuLL06#-eakdPEb9N)v%S}HKQ5R9_|SuDwZBz6Rs#^I$ml{VYw-m
zQawS|v5f7esEV;i*n~F<mX1ZuPgqa#Z?ZlyPl46(8{19sl==yW6xf?&c#1SmJXCOX
z>|?Q%dJ-|=v13>B6c$b1A|;Q=37-^19krT6SS=-&Se;m@u)KK=XOQrdoC&uSo;S_m
zFH-b~o$yP6*HNn3gf)||$neBch3U;(Sau36G4+U^u-frfa}4(-!6#J{q#doAOITm>
zT@wDYWL<Q=Xm#@!)|dQ70#DK=98_?2%wv`0E3!T@S3%kF9h)Stk=T>C3BMhWHQR6)
z38omGIIIxtD91XJ?~}!e$qN3>XILu5Qp`@od|17!Zbj;G_k%fA@z=uyZ<qz1TfJ!S
zau+B2cj<FE-b-G5o;C6HTDyGH%AhAD+Osdqmlhdomb-?$?>NBMeS@#_kDTDyn>shr
zCL6nax#YqS?DBD!>(bvYY1J-u&s`JJU31c1D&}{bsk5$~9r{0De^Y$u{<iN&f4jYt
zx-b6zO8Jv@UR%nIUrt?gb@stM>P`P+)*M@;QNNF)bX`Z;HjW?HX5}2KU;Xyv``i00
z8Q&j~S<_t_A?UqPA?)$ghzX&An?*0~3Jg57T`6O|>z%l+3%+%;&gPdFd0i=W68ZXb
z`z>pYSvCs4?x;uIRr9*1x9XnOqFowH*7`}-+C_JjgYN4p-Pdf|KlAVB`+?go`JXOx
zH2HAB{pa7+yJu+|{fLdV)p&JRS1D4TYp2?$e+!?lT{KyD(ah;C_U7sfJXwr`x72R_
zAf3dw?bLqnBmY;O_$qnvyxzpiG8eDDUbOML%Y@S|)xTY|j=P$@@0`=uxsI=U(LK@9
zx8i%>*2<sHoOoGt;x*5SH;*qm*}UjHv(ggdMJu=$tt(!%W%k~`JK80cI^VnaU3ZNN
zcTKzB^<<ye&sxo(J%8-B%`W^Wa65mBnd_C(f3>g0TyAc=G)rG-m*|<Oz@uwz@}`y<
zp1AZ(mpzj2lhTRP3hSHp@O@G{5gmBtY|grlkacX4+?(W5oK?!VuXx8R__<tZhNt2r
z=Ory3yc5N|Qp{{!+&Xd>uD9A_d!$dm+W8IFL`fy>Es>A!U(OOL{QFY;{_l(V6J?du
zUGh5i2>etmYri49Na4smf!|JV_yZ*#Idn8D^0ivXEYdhKQNZ0<hP%+lrLbd?z|w{C
zYX5dWRFD7nXZil~odT(fr<_AtR`3LhK1%6$C9vFS4qu^~i*Ltf#VqGJ+=X^WTF)Mr
zT4d+q{31VR@4<U}L_Z$*bhJ5>=c34>%Fh$??G6e|ciz(R+xFkp*#Ew3|NWi1@6X=*
z{~!JTD_S4C`tPeQ`+qmT3!VSz)p1QAS8-ii27jThi+jgw#k`gmJQqcOz4}}KDBa|{
zg!%eEm-YAkx!7O-WwXBhVrv)wj(Y;NiocwBT6Rb#85{}dh*oTKzQb3je#E0=x1yVK
zPwNixM|B+^1^AtOT4wNkG&mB{;jXyM*@o|<%8|<g#)|V=XYd+|C)piw>NqOktvIhe
zg72f!k<$X}o%Zm3R6BB8KwB})c};5s|3<+_u^mecP9_E&TGHmpJy}jo`OG$h+lSw9
zY&Jf#&R}NZrb8+1CpjletLgjH&A6NRtwob#v-z2Q2EPxBv{?!~OPJxD$k(pPRjhL6
zqQUV)GW@|Z%T#<aX9y>rIy9wyB}cI2GOaTw4X!5owOYzP^O-TrApP)_HcRnmH8Z#q
zUmd#Ap2=}pVwt8-@r>?7z1E#F%T&)yHCUT?>rf2uWw~cjGx`j!AHKtJS#p`SPx*{_
z2742K9s1HP$x&>4CSk@xgYAcS+IPx6^P4fzp!{%8n<RI!{+Yi9j}zruW^x!yK1-aj
z(xCs4PkW`zGtU{z6Vndaa2rdf>7A*W(Q440IPH)P|7MwIt}~`5#<f<;KJ%TiJu&Ri
znzl&p&2nkVXLcKS3Qkg9;^NUeK}^xs$*m<<aGU!L!Nl~ISV1G@6xS1d6NDAbo#I;l
zaO_ro(-|O;nBP(>_|09Tr$FJ*L5_AMnZ5v#haN491^Zkr<Q7^udA3~QP*<Abs?i@H
zxX{Qcv}Lm3D&;v{1y+Y<a+nI9b6e3{pm*pehq%%e*A@L21Qr@P1-Cro5Lb@rDzJ3&
zZqXKuQr_aaLu#Szp{*RUg6rIO2re{s3U7JGVJldr{KfS}zk$HRw3dS$&VqTa5`6{M
zhvsr93%+xc=rs^~7}xS!@R+hqhk;<C(V@c}!GdzGGx|PQ9GcAGuYAU(LM+kjP)y5D
z4sJm)WgXWFxkSrD-5mPLd%8YY9h%LNue`=3qIZK>qU|BKmU6*Ki&We_J10r0>Uvd8
z>Cw2oD5rmu@~LwgyBDRno$Q(<tE%pmH)W5;&%iSGo5D*KPTkY^z35GUki=7mDb0a=
zu9h-OHBL>`a9=FbU1Z}`IAxN?(m+2K&F&z%rAl6@Q&wrHFAj0H6n$DU#W*l)(VX5O
z>8CMMJ_qW#tn3bwU#jSpJY|{2*+4zFogz<*rcBcaU$n(7v%ARDt9nXypw*(7{vu_s
z^eO8!?gmyZ`r`Jo`;vUB!l|<w&4F!;?sOT+JT04I9q6{`POp(vs?Mps8s8W9xK|3K
zDxFH1(jK^MkxiG8?9;+2>VfmzW_EtkIh8YIr^e?%u|+y=kzJo`P8CfN4~%oG6n$Dc
z#XRtyTV&@ZsZ`xlRa1I3-Y-%)>d`k*NZHgas^^P@q~W%sH{_Dtd*&LJ9X-)AQB>L5
z&9CQ<M5W=U<TrgA)!iI>_8NXWdP9D(!LddO_GFo^LJPOR9%aM6V-{kMD|#MDs2X}D
z&*=yhTWsUz+H*=G)X?wPioQavV=pDrldc@GkX~$dY^TKY<d}{?@x^v-&OOH@d<|zM
z$Mh6x9(yXGYq;)6M(;(j$5}n!B(5iw9N8iF*sbTBM6BVi<S$2F^j;KSY=6w5XSN|*
zGSAT+qRAG=-b$1w^&HtDoosR}qNm#M-EoQjj|#_JdQM94C;J?&5J|Q=_E^F{$)?v(
z_;F;<O^IT|XURH8B6>E8Jg)3{DxsgWr{|;AvDXs$Nqc%X3MHE!i|Y9*A#Hf?s7Kdi
z8Ff{^tT|gOUKScX%IV&0d#=yI`th5t$&%{Yer0p!SnMqP^yta)o3iQtbDAuwAHV6|
zZ10yihq;jNn5D?`f;o#U+#kvG7VDh*XmRwTf7r**@#`P05C3?5^^ez~f4*w{s}lVm
z8s~cU+0Kv8H1g)9zcM?&XS-hQ&zn2Kvi)i%JUDxP-=8<>^%H*B+Iu~ka?Db2xsG4u
zoGy#0g{vOT=?Rv79x~^0;khF#JB!Wya_1Bmo;$u%V7Z=O?VL7?-ojarOpfoAcpfz8
zbYaw^n9j>m%XQCPwRl^&?&!;oV%u}Q7IO=CJt{f=vh%X^a(%!0IgJ+Pk9m$sb`@)%
zE0}Y;@ZAx~&SLX(8FMyTe1CMO-&pRs<D5>5$%S&qW_EqnI9D>~c;UPwl~U<y=Qdkx
zE`0Xr%<;&M%@XOF|MYKEU!K3_mP@>`+Fj50URFE(c3pmc*w1S2oVxjy^PX3}vrS$8
ztN1Uw?VNe(>5PS9Ap(ISj%=v{t}N<mg>J4P1uh~X0fqB^$C*FsKD>MPq|27OSAF}u
zP;2UTjSI)O)_pHsn>{n>nfSc_hq~h{esZs`d-zp<&(GHF`#-!BzxV%8d;E_d-1F-m
ze%99s+30aJNz^+;Cv>CF(IQdp5RnxcA%RPhmUbnHt`1ofw$bfql<4b_HQ^f_kGhGf
zYI%j`1Z|8wDkhq$bt*I`G;m?k>@F|S+o5j)0+%K&?~2u075XMDaPgwBqjI99TCYM)
zR%C>13_ofo>Z>&?bjym2(2en~v%8qJ_*P|v7kaq1cOBNU3N2Y_vFOo?u4Jvg)fNjE
zMZ5NQ#fjEx{R*8EVz}VZl&;HK=T=y(el(}+vzFcpiIBp;qpG6QLwQ#2Sd<iTG*t9@
zNKCllqNI?cyrSlzcY+F|kIIUchxV-8u{<f}XssxJh|h`{As+*dDvS1q`mCH0_R-~N
zu;}@aGb<|AJ!<Wmt`)bkV(p{euI*a)R#vQk)ZEptWw-LjnxuuZT(iVhM=nZRIV&}5
z+Um%K%N%?c&QdbfzACaha%tMuS*BUnRz)sP+dGRl%WRcqVDPH6$+OO8ZCjxk9K0@V
z^(-#a+gCzX-CUQ}K1<8g`s$mY;I(PnXGxiE&HA=tWpMENWsbg!XYI}UwlXv5@|tC?
zzN=^b&AN33wC3G+_blfut1B{Lmsc+HJ}YSIepM#8IOZ&;>D{cVD_>T<48FYn*^*g|
z&^7O8BTb)QnG;^@cy__8?kv5PJJ+OnoE0@aoV9M%&SlSb%@Q<SoRzm?=gMc(X5G$u
zw_@k&G@rA!rjN7Ut*%^<=5%(+toAIqm6dDKyv}Z!rJgl!RpqiYx3k5j`d9XZeD*q<
zZJK{&Pv~dAv(={ZS875&d!9`<<u=v1v?1cK5U;nX&gBg;hq-uJrMOH*G9y+lj5w^t
zyV`Wk#SLMHt$1IX=3Lki=-k;B#5>z0=h_BW=b3FqywRp188?<K3_4uKYi$yed1Gbb
z^tLSC-KJMER$SfS?mV}xikDYP%Jj|E4gSuP+tPS-rJ_vVT)(jFVMAN9)Gbq)OBWVC
z+|cI78!Oe9VX^ArjJC&8ei<*WU0A#@+<AUm9k046Plm<Phg;f=rOv^YytA3cTq*E8
zoXYDgwJt;AT0!VxQ{L;QJsA>L3!D%8@@_Y|bFIMra4c`K)VoZH>jm+LnSC$s|5cb!
zf4-&7lb7Gr=F*3V!&BNadHqdnu4<Gg?cZZw{Zz{4vWBtI;)gri#HHf0DwaOn+GZ|w
zFKdeRmPo&Yc9z`NpS-P%yti5WsqA0B!_~b-`@4VtYHsV7vdjFjCh*;t)?4x6t~t|h
zm~Wi_NH1<lC|ml*X{u4vvLctI?wlqX#kEBwYwFu5-SBlDr@hu1)gFxs%bXe+z4mY2
zwKR7hof}eXi)2bp9CnhC%-*`@vet6Xy*A3%vZqeWpWM9P@wA(+YLwU3Qy=n#?nnL!
z_&9g#sUPtZ*&8E%7p>iNM*hhL|I^8jCf+G1ywfrD^~B|8I4d7@6sNDA#<kYy-=hnH
z-aC9(=PZsm;iY?f>#2$^&btejdY$&u-Mu9w`{vrEZr*EuXlyxk>{{kZ@%;&Fi<~-(
zR|TGqTU%7|_VT4AOCxJ~r-gl7yJbtJ<$|XRrj_ZoZz<`RzZAS`UOB2S%W~P%9n*xP
zc5VHV@$%{=^?jff`D|N2EAsz{=%#O-bGaz)G^=iNlwMcA$LUmE=csiZ{Z6Mtb+2!U
zxo)&5HRLp}uKCtGm%uCS%eVGq?p&T4bGlZSe~VAX%qyP)PAh*5%$Rw}XzkO^Y0IPL
zWzM|#DdsfFvid!jKgFG9|Crfr&!;<otIm~;9><epy-ReiZuB``B&%H_@<QWE;F9E}
zeMz#bOV(W5=yp6x_I1gc>l+=9yUD6rd6ni|+8B9UOg7c(RB6uDz=g@P`@Cdtm%h0W
zxHNfrU#!)t(l^%v7cUMwE+<=R^{Uk5MaGql;m7S{eXVAdZh4V$bz{8y>^^2IzE>I7
z3q9Q1`wm-Km6p7;SoC;BU$Rx-Ym0@8quu-a;$&;BewEI-Vz}V(l)lSW=U!OAR^&@u
zDGWTWDm%TD=jDz?$q~mxWv`dSTsK^l9CDmj*1Yu2rNZdrva;o+Jui1GPmVcWE6ZQv
z^J2!8j{(P(W&2BgUe37o(dBrs?D>*2FDlkOZta_H75B1Y?c?6Q?N;|*R;+*A+}Ceq
z_wvV@<c0HGtHfSME=pfHFSTmg>&S)69sC#0Q?k|mD)KsVY5LZArd8KoMJ`X@JCC=@
z?3L!l;8p39=bf$E_CoV=@VfNX^SEqpe+hX7UXZV4YyI`jrQo&c+viEyZms(EV&&!F
z^~)Xo7taGN$j`iVdChWH|JC#UR^9p{bD=oEfA>7+DyuIt*DkMI?tNa+*8Qu@<>Hw0
zoVItXs=j=A_44xN_0N~gW3*lVWzMx?xAT#<&%eyMUhH^&!MyG&y_Y-Jq<fqfwLM(5
z?$yp^&v(rev|U`4_hRSD=hNohu6p-k=jwEy^R~8+tKPk?T#)W`e#yM{D!G@HYtp^W
zZ<(iFHSbmBvUIoe#i*<6Kl`1pww3=<bLF$=`E*-uULB(iHx39fd-LiTZ@6=Si<y;;
zi&sQ4B6Gow16s_hdDj?jxOTvb`898j!G?<tosB`vvw3pNHXL=B*;vFJ%^M<dBW=N@
z17*zCJRy=dG83jZW-;&Py&|!~bi?rlbHYm5p59nxej#DO#RGB7zj;g~Gt4d|Ex3B1
zjyad@=?UwE2MZi6zaHVdk@sN2!_yzHCqCHF=*Jw(*4MG#q`;?U=8t?{=*oKkKO)UV
z8S_jG5(4~g2ebJ}Pg(r)^~~yH2O^oD^UN{VQ2)f*T*SpM1zoA%#uH;`kn><wV>a75
z=_$dbM?O6DkToqhe89K4sIT7kUB2Xw+y{LRXBYop=V<xsIM1nz_2&=lX#CA4Co#jo
zAoIaO$HX3?PRV{A8#9B{gj)xM53g_dcx8dXF=J+9wpNFE(iMphmNtg7#YtBrKUmvX
z&UQ~)#NubdgT;;hY<AKS87UJd9(9{05t)@TbK+CCFbPeApo}FaPIxIFbqkY>%>8r7
zAiHOc>85ihs+6UBa!i7fmRvcZraZeh$1o^u$(<8n%A#)DBv*?3cUHFU36Z>+nKFH1
zmh$f2D-tVBHy!twJF!Zc*G;PTjp?TI9+M}gDeJmL^}aE`l=h@yVzb+=Uao2xqf3cT
zHca$Wjzz30_mg;Ob}4zub&vTI>y*`dc_b`TpKO_E>~>CS_P?(+Nk7;Be<;61Xr6J=
zy%Vg;&2D;9J5!!)ny9E8-oqokGb`lBldUbnj%rTzEOR(KDl`{9ofXJ9J5qXAUWkt8
zk0}q>4=T1!Dt7CMUz5I1e(uC$h9>>#_dF*_nid^i;c-gm42Q|C%#eWRQ@*TW%rvPL
zvRSpHjI(K1YKYF8Z4<5C-bqOc&!050Q2BeWje${O%B2&|%KbeyW=5$gw@wIu%r^UU
z=0wkrwUU*IPnJ#$cZ-v*On$O<V!0dZoM(&A-LusGbMDru{ZChace9iDnek-u#Pe=q
zvm#QGHg>8m(=XX_Wyz1PMw1^Mb=p3Cwup}qlb%A>v?(m^YYqOkOh5HpV%Y(gg`SK{
zl!Uc?MP^5&2Hp8K^~&>~GO7aETVICvt(vN5|5Z1j)N6Wt@-L>qxtEl#&k|W|qxE)E
zwp=hLv*?biYIC=Q{(2ei5S#vsX~k>bu2`<VTM0>vJB21YMJ`n{n<>IGJ9X{kPk{&0
zCNG+^{E2bP`U_Xp9p70WyPdS?jLXi>wU4f6Ce7~j625)Z+$1nHX?bU?+A7~SW`W6z
z!v44jmp*DXy_m4*;*q$IGiPTQUCdc@bjbzpr7V+@P8zRO`!-v|MRUp;rH-X%k1z_e
z`)-+Sk+SIUk;KVOL5qbZrv<+4TB3PSy=fWC%rhPrUYTfKF#V(J#c5Tg{PXR;6az=E
zShc<x7Fj_IncXc*eTC+Dskq1*7v4F-iL{dO(UKi$K3wMWj5LpEym-CEbKwzVwR5vX
zdiE$x{4}TY^P_%;Kf)hV)%0fW$VobJMD%0kY>_G(ZNV>FR4%PnV`X}+(j057nNWFm
z$%SXRozs`ABwq89``wkRtmby~`ivc!K@4vuv}|k^I>RzAc6vtD=_9$5n{t`%z3a%&
z2CtQTtoClUNL;LvXa4pjc8!%xj;!zZP7l}>tMqiW{EV^;zHEChA+Z)4?g?urg|MpI
z8)#Z+Ic$FzU7$N@!!1?ErSV0FT09wN3vx<aSIOb={va_)z)0(5#<W>_9uc?HR%Y&A
zvXWiM@|bF!&#a1!pbe{hgig#*nQT2NNY68Ar()yVWh^HGIVWFNjfk5%q0(O|b)w5|
zrp`*HZ!Ej@rv5NjeEG3c<)o(P)P9vju5%(aKO81K-|^(Cq34ovmAP`$Zj{A+x*B;h
zYPo7TuLqm-6q{?>H`hcL-6}kp({zWWkL#1jpPxRPUbvrJ`d)Rh+)v3Lx|46HHGH1v
zx$x|LrAx=vmifdl*b?FNa?1|)BX?6C&Fqw%%rxz|%CCPSlUOV5%r&3<Jaoicc>R$J
zGb@rGt=*C5yGQ)7+O!Kt)P?8!>X>XkaVE*w`;3n1<}+uCjJ3~*%+NFmPDxujGs$@M
znKfpckDZA!etl+*`R0RX+>BMzyw2trZN7O%%s4ge)Y%-<;KZ;wNwa5q8Q(tp#vnK~
zZTZaDv{h%{m}w{Mj4OH&b+To(s=SzY*qo&SjP3fW1?LVuclMn3d1JBHr?SN<@2gHe
ztX7$P-NR0NieAT;jV-sCI;W=!>6?4s(wX?g@`v%+m-Cie*51!LcQb#lXU3F<+p&|r
z?RSnpc(|#HRsH%5(VRNH!e298vKx;yolLh~eeH~#acSDCvnDe#O*UUYV`uD}HtXz`
z8JVV=@B7RKF9OXpFFxVZ9+`Oha9V4h)!CAnmPyZ6%uIe{Y_5GIT2pbA6VucKtAx{9
z7qBFi&VFgAeMRfW*6Tj=XVw|3pXG8k^O}(}L1VSee?x7T+EZ%+u2u0aKO67xy=Ug;
zv~x2>PuS!?Ynth}`OqAGm7?<=ul7M!ingBBQcdToS~H=4+bfk;E-!hb;-hCaL_Umb
z%qlnK+8k@Dtzz@L<K?W##BE`oB~7o_%@#c{*<cyN<^ySr$CT6D&fYQ5KEEt)*3Q&t
z+ae$C_2aCI75e#ZhA7{j?0<6ej-R<>Y@8RiBWlBIsm_T_lUalAnSVa8=0W+?lyJul
zP06fX+{@+~Xct&#hW+@naHe}&>s8i`_Ga20cByH%*3396Z0vv5##sBt{#c<k0sWGj
zoi?05IyFD+$djd!8z+UQxoX69cOO~P(fOfod)mF3mHA<JQm$G5T6(d@K%3|P#We@M
zsT<Ef+m$-SW7<81olzII2J~;8l*xKWZ;Qs1-6swuaeM1@^>d_0W(7`3nc4bOEG$A}
zLqNvD6Q5jz(gI^9Y}+8`_(NUwE%*GW%)k@-{a0NOUFjMxA0-wo5?G}_)oaHAt<&q}
zw%l5z(J3IT$YCqxTG?W>X5)ryhpf0?>*j3OaPiaX`l%5bTQ{6Ml*S#cGbM7LMC8ua
zwPIR2Ih!?-f-=ENVUI51%MkHjxoN}YMKiiTOn-jqL0P2RzgO-_|Mz}6lK+FfMQ(~n
zY;NF>%I&U|DiO&GuYF>j{oKAnWKX$MSo6=G?Z-hYUAvnqnbu0{*sJf|D^#0)aK{((
zu4C8o=iAo#YHglyCnIo2?RwXZY!CT<>+H8`z0j`Ky@>y;*ioG*o%`Wa?<?Klo4F!O
z^+lcP+c56mN8cYh#Q1UcrVDw2H-1cL<@>0fwD7Xi^c`DtWJK$~Ow<2RbLW$`&3U_)
z8s*a$wLLGY>u^oxW_rI(L%@!E<MPypvs!N)ysr?^c-B^|^-s0#m&g~}3xfXajIc<3
zxTV!t>|B&czEE7jxlg^~Zzg6H#KpW7W7Cb<QgHH6>c?)8{o>q*A5GV_)8M|Y+Y=$N
zwczj}U+(QXceWKAKNJgIf-50@eM2j|n3|4HR7J+aiLJ-Qmg)FJS0p`L`NMgmLGr_$
zt>TZAwtVo4St@ofq9Xg@+}7`px;A|XyyN(2dRF4XsjgvS(UD21E2pN0O^c39TzbH3
z;Z!AU?X@D&k*TR$r<#Uci;7H7-8+>x%q$ADsy21<)U#pRA~ZJ#<)yBk%B6jKO-R(u
zywvuoTH4lY-)suXP2E0KN_%VAw}_RSgYuUi^jbW1Z`ij;(DK@)pjEYh!)~pS*-&)B
zYxh*=Fsn5(+b(4;J$*`0+kLIf=At{NIJNJFRjv6F^>Xv2{HIH%GHNehGiO^7XvOaH
zHFLHX9Xz#QYIm4k<j$Pb6Q@MA4~MOb+L`ur*Hl66#bJ37J2Rh7n|eF!UBu4p)HA1S
zwI7GQi>^#aJ#=cx)b=pB$jY45Q>V5}RS%mNRhgE0Y?Vyb`L%mCe7bZ>TYLT5JsUq=
zJ7v9k)3Nt!Yc_nkxJstpw`iAr<WymoIm+|bp0eC@;h2(icdpLHjaQBtNuSPLvmr2H
z@r7?sPiSn?Tz<@JcG0b_QlaY5oaCv#md}o?G_3y7CA>Cf@tnfdxu+z}t4q2fqmz@@
z_LiEZPPl%1in`FF6SotR7xxNDFEul}c_Sye+c(nBur1Xr)%A34&Q{IO5unAsUedR7
z-)uOmf93y=tpVXT!RvmrW*3#8Z+qD|f9uPeLb3Yg50>6(=`NE0c3RWouXN(C6*-sP
zpXA=Q6JO?EcYE3Gl-cuo9~&33m))M?xME{qc5;8O)$R2Qci#J^YEnPxvY~zYdAH|m
zvxCmdpRUqlTXpeRob>M;lbadaE+z$UTOC>xV0P<By;ywC;=9KbrDe@h%etPMKKkh|
zy-zI5P`3Kn)0d0#xl23m{K?(qSReal{<T;4cB=m0*mgdz`f>h~m(HKLzTVsE^f!6l
zyE)odK7amlOrC42#O?XdUUC<=Ry`3{U3q_+KL4F5?>R$OO%Z2XcltZ~u@JBO^LhXF
zr_5UypnY_w`?cHC?X|Cze%|!{NzCbgADp+v8HTTT{{6+#&sAS?cE%a6kI4_vp8Dsw
z)86Q^hm1Eney6(Mvsxck|MrS>>T<p5bF1o><jvH+wRV$f`ANUOv7PUxKHU|z?Q3h+
z(#`dg&eleK%|7?<)~55PcKk`6CpYP1RfO29>`h+xryBm+#&g*`b&1@>v%gmPT>73m
zZ=a6!S4qib^>0qRf4=GKne&^z=4_5z_p@?g+|s~R-}_yEXYZUBzhe72TPwZkJ=-14
zUPnIJ{cW>NteWtL|L-SOJ?MXNWodoP@_pLOU#>gZN-t|q-TyCY_3<P9Vy80~pElbc
zaGp13U%+$jQdHvW{Je$#j=$&nx}fJuJond7$(8M?%j;AHQ>Xd-GvWSv#y)as{`u9H
zzCT;_MNry3cmKAR$!2}G|HX2D<y`o1n|^3jRh-Fr@mKZJo$h{eo~Jk2wrcsCE4F4!
z1FoO&h&5dwa6bQXbjrCrvy0imleRpV<9B(w(_XJhXL2^L`(YOJem?i*Cg*jvx0j`_
z{*rw%Twf*oY+L5R!1%)@5BHeq?#QUqv`hPSY2|bGSKex^3x4@<d2udyHMMou?IjcL
z<!rXI2|vR>Yl@KV>{a`>y<DueG;;gweJ0tCGyWQ7&HuA4^8ET^O$P7(>X`JO-dE$z
z_Vl%yYD&DZCg+dryJBa)`F(mG8`-j4wb*XjpPvDnUbH;9w7s}xr~9J24J;RLtFc<7
zdw=gZa?9}M!TcE_OnWkeZWL`#IU30!Gs(4j?h@a|^{zth(W=w+ruS%he0?P&&0Qig
zLsuc#;B(EnKVBlvlWbQ#^LV_eWsa<n$~#ZJSrhJ7URt8E^XD5AwaVm_KJ#t=FDzD9
zUD4z9Kybyk=+LTdk^i@NonQ9+KG$VoBc=Z)KHMg6ryls0Jk?G|^39*~tG)<&=CRi)
z`*1ttPA>VLJVS2ElW)hLyj-7Kb?S@u#Jb)q`6>tGr{DQ^+2ik<|Jwfg(|dOu_%nOr
zt|+%x{mbt6T!}vGrBR>v>#T?FmPJlsZ|1wbvTwDi{kPpW-7M()pED)T++Vd8S5}FA
zt?#+wo5nhomEpfh(EG`@y8^eptlAv3`gO{NIQ{#K8Ph6vxr)6ii(FxyGS5z<xwO@_
zbN20&bJd#4cXjr!-BRN@^YSzO+>%p&miE4z(&%4sx2&6~^r%?tYqQht{!Oo^MT=|^
zj@j;K=HggxJ8Ay>lTWlx{9GLQ@Kv4XA*SW`Pfw@{dGzJFx`%#h_}XbM>O1mUU02@N
zZ25Kfv|V9Nk2yb2m$A_-u3R6P`66}Fca~dc)qbph`Zv35zUw~INtVY`jr8WV&kFri
zc}4N&GS*MLvqc?hZQM_+){R%F40!fc&-3*1R4)kw?Z2@MZ$&o?i7$H|P&sY#@6_V`
zDPg~U_vF0Wyl2;$MMqvJonC+AzbT8oVZG;p&0HT2t$FgYdy^x#?+yK_3tjE52@5G^
ze4A2pc|q~LXqMkklJ~ye6tBOrX61*s#ee@cEV*-YQ~lXL*=O^w{E7OUfBHIS-0Z1k
zI!ls!eGZ2&X_L87^HRrYL7M4u|67wc%;pt)YRj@P<oME{t7m^snXpptr`O?@$d8NV
zdN1{9r|OHv?DZCVUevuP&}rGW6L(^=0`II!d-u0}_ot%`hMOPhf4!9Y?L_;`@+FV&
z7-(<xun62<GC%95!ROc34E<-kqIRjwx8?K7+@&Dz(Kl-<*M1MNpRHo7Z~CR5i@&l=
z`oH(m+||1KC0AzaaIK#=yV_vK_jV86iu`Tv|8J~J=PsS9b7gnEa?tfZAGUu;lV__t
zD;Zt)<8=9F#hn#=clV3EdR94cm*=*qL;+o+p!(?{EZ4r>e6hXo(zk5udFS^sZ;OBY
zN$2~yX|E%{*rxtI>r{K|nB?q3$I^4>Y%aWaj8(e%(fk`bQXX&WRh-?lvgzb*-FSsR
zEl+=Y=q}iM{8Mp<=#xF~*+2aIbtR<2aq&~{g?9fpd;E=EC^vCuWu1=PW{r(MdUGys
zPgyRvRpadUT|PIyr%sB~n_cuXh9fTb@1*7O=fA#KZ@BBks+KpQVM{aWd#`V9{nh4k
zk9Cvy`wdzftM4o_d73nH)qd@ZyhhIJw`ke^UDxx>*=Wsqu~)07>9_6*Q+uhk`qJ^`
zI`>0f9;)=L+U7p}q{6;qzm_gpKSTS<^wfFp{wsefneTJy{?QkYjiTSrpT73|={0`}
z*6G+!GOhGtdr`b8;QaY(&PM6y*<Ke$u8=?7a@Xei`skFk|DrakKNtG8H=ld!jWgnp
zX5W_BR(SPW?Q*f*yN-NYnsog<_vOu@RSSJNudx;<M)h0`nr*ayb7<94p36s#BF^(I
zi&*G%d-{{Vu?y$TU*7(l>#OzZpcUT#PkQM3u^3L>Y*@Q3pqqEioYz;3e6+V#O`fzW
z`(O9{zwMv?Kbx9uw(hpXM#HSfZN0P2@^0?Pecac(`_XjWx~|?r>F>EV8w?YZFCBB1
z?$5E=W|*3M>zJ^#f3D4D!{o<1d&M6u-u&^@v1~&TvwOEH(jV{rarV{^4Leoo`A3hZ
zrca!Ev~1dq$gK34bDx%l-O$_+oU#1GIWOy@WnniXbJKh0ZY{fZGcrHDd2Vl+*PAJ~
zZl<Jfo~sMqxpFgW`Jr=W)>F&2-M*Q){M0!=>)mfcZr{vZe#~#}TwD0gmGt#<Ys+T6
zF}a<&@p4xB{<&t>>~CbYT+VwwVJ@F_``eORFA+OqiVq=eVX@3iKX6XddimQq8;h@<
zv$THxHfDoy#`8sUz034&OKvJYdTztq)n)5$?#xR+a&E<3>9V|AJ5!%;n`>S64zkT<
z$K2nDZ7%L*a<^x0GR_5WbD4K@=El!=&T(7ozumLx^R08z*7<MuZ2o-j9J{sr+nP<E
zZ=Q2v@0QUi+i>Jy5c_Evo$?Kb92=XR*j@R;tTf6toI04ro-MPcbi=uWRqWC-IVAy)
z7F;=~#y(p%r!e5zf;$Jp*hTraS*|GAaQ7e|dnjL-)s3eMZXL{HH|5KceN!0le8D}(
z=4LncYS}l%7oIG*>Db*I#{OG&izRpmOc{H-Oo@fXn+2C0r#CC}^;uawd$6Nfm~WTt
z7mF9A7oIP;f6$YinGd=HW;5S8ON;jpnwlNi#bslP3T_^p(5%hZCL2>)aQ0v+yE31f
z>>bel7G3u3vUds#t{<GyT+Me(_D=bS0|#50J=ytXZHhkJI5?#_ligp&ru4&^gFVg9
z`Qj`pUOt%Ge4X!}MaAm}bDO{O*;)K}@nCZEc|I|#$R{ZqC#(8Rvx<C{vU9SiAJ-fa
zE6t)!mpnI44)qJOj(nW5c5<oTHS3!XQWj4ZQeWz4W_j~XO7~<V^{0N@tX39mI_tS~
z@>{<$i<L#2E}zs>zv@?Jy|OUqbxQwaEA_8_QgckKZoW>LKUq!vtY6feEmk+*FFAXX
zQJsD67Hi8VOAen*RG;g&YmQ7|(Up^i>c{8KDY*1@$#Kv1lkL?1`uSN&78IR2nW>&W
zXAW!w43E{$7f&WlKJC{wC#KNo&68D=v;EdtOBNcvdeSy|wqKs*&bLqcChzt;Hs?;U
z(Yq)6CbRpg&GE6SeDP%B<l}zJ=J;4wK6<ipa=KsI9Gh~~T`KSA>?!|r@T9x?{5dsc
zn=Twx67P=HDcpGFsFC>T*fj-#4;Ec;o!C89uPb&<(Z*XxrNpyi*A#EOca%+BI(Etq
zjna)LkEV%7$4uF&QNHo2YioC|o>pv5`NqSpQ@iKtmF-+nw(+)WZ+Db<b<CBWE6OiE
zSak7docQk;lbso57auLUdbCbFSC4Op#fwFEk1C4G>hbM<QF8I=qmJ&ydVM=B-aTsR
z&J(xQtBU=y^F?{#rK1hq!FqnXEgnBw(_O50ZugD{Nf(Z~icgP;DKmWXsH;0sJUlk0
zxbW`Lj_yEl^O!p&g{P0^ikHXSDK&idXkK@r`1e?w0>g(%mySA%_s7_j89q(AbyQf~
zKh~z$@bROa-Qs$2yDFYO+S+ZdcW+n4^GAET`St8}{dn?db9ZZ=*v`l|X`Qo|=1tof
z`7W(>Hj{a4Ue}#9CBbjfrp~^acWp=H>$JJEzvh|kxcOq)5#N=wee=wA-+Z*}jPK6b
zYxA`3<P-<LOxrzMHgDCPHzk`-`)-|mH!thXm0dR<FFWVEcQ%`OZ{D|EH=i#%>AQKh
znEBegZ@V*#!TVg^=JD-(Sx|h#clm5RbN4$krNw8?7MiQyDcSw9@bc?r=Y99jo}9;a
zXAWeaOZwe8#l`o|vYI#N>FwJ2<k_a#iss>Wcy{l6^=#Jc*LiMtdUox6_-xti=)An$
zJ0Cw=H`~zs`<<TMJKsNRoXu%IIZtj^<&$R{XKR{Y&YQQR^3}7Mvn9>X-`!L2`O;Z!
z^YwT46n?&T*4q62-I{{W7tgAj&%dklWy6WXN&Mb6I$t-OIb6iAZ6i{l@g?9%;?njc
z{?#^XzHK;mIEw$Z&6@8U4jy*nSC#X!&H1$9=3z1ZRJl{OIbQ=FCeCj6;=gVC=0m{K
z#O3X=a;t3Ld<%HI@Y-QH{!+PDwk8!BUp8DnY{%~_H_LWQMaI_+_nl|AGt2Q+WqdC<
z;oRPSSkB6}q|)Ni!xinxa(&en4;S8c?r)Fdua)~{JLikRgNIYvFUy^)uz+oIk@!+@
z@vtiYbX%Uv9gh-k91i8bZWHs};8Eh0!@T_Fws$@i+&(PJUvAq|x#M}_ox`>K{5C!n
zGroMda9EkY-`1yc#<veg4hQp}w>eW$@$O-3`*gXu%8IuSd)v3m-K(s4|FF5eU(T-b
z$D723(_Q1lsv{qzuAH74H?2DI;nD-%3#TjTYws1Qj(nQBb-HQXwW`SHse7mM#+g-t
zwxOg>o_;oNTZQK5pm(XOr*rAw-V;)F^IdBDbS-`By>C7Ry-nRdT}ppz+_#FApM%~n
zJ?Oo7`rf#2m7x77OOJZ5p8hxP)*hJ;MHjqxPj`;9+9UJr(#xf%PYde1@0Iynbmugu
z{@u8$JzuI`e!len>5}P;`pfss`Bntl;_`gYobN>kPcN9>9j8~h^G)iB)1vx^<JMK}
zeD-wLbV2>aad{OxUp}2S{dU~Dik+`h&z!c^e;oI&y7EElq0>vIx5vp<R=!C+b$ZKm
z^|*OemCsU-oi5hb-?Qh-r&Fi1_4D`a`TFVH>1uuXJvCoGojjec&t0qYY2%IKLh|0V
zI-fV*InE`|YR6S8@-yP);v2`c<X6|O`MB}gaVz=PwK*R)UUcv550al<lk;ukQTLhs
zMe@<LAwO<BTYTwwnY?vP$j=)ulc)D*$?vYc@?*u<jmO>R_E*XC+DX;E`MUAE`{e#K
zd0o4x+Be@XJ_GNExK%6j>Egr38~Xj^W9|BWSiE{XqyMp;-;WpHE<Rp--F<$4oxFN2
z&kyK+h;zRzo<H8x&uzz68}p^`<ndH_XS;PjB)%11J#H$0y|(9v#Mi>Z$9?6u*WCG5
zc>H**e6roUpAz2-?;mHD7q(NYwfXY##PMYLV7q0tHXlD;Ic_X(Y&Y+B#ly!-`@`+x
zepftxytcpG?%wYo4<0Y>_qVhA9q}T4;{2m^(|$z0N}oCZX<gV4%@4sZmY+E9Wq-6T
z>}TZL^xpYf>#qHbe4pMtzqiio&y-&`pQLY|uUmKO&y?RcUoAg$-pqb#-L~I1A1*(2
z-p_vbpOD`--!4DqzjnTD-K#%WerJBT{33n*{Mx!%e@uR7e!ToDegAwjd-gvvUoO9U
zK4Cteef!^%UoSruA2}arfBcWk_u@nT+vm&K@2&gw=gV))m+1%2YuYdWJLh9DY&(SU
zi|32xd)Mjxmi$zF^!$eTtLxVN-1#p3$oUoXrR(y3?R@%t+kES~cfTY*7oR`BWB%_t
zxgRq>7{7eJaK3w;-0zv6jNd-*oWHzo-p`pIKi@geZLj}#&!^9~&P&_p|K0QX^S$%z
z_VRygK7GD<{t!bqlMdeol>?U;PBZE7Z%}e@Y&gW=${5C`!M8!{z$=DqrZv19^bY)D
zkY>u^2@qOfa$p(5Y~~!!0I>x&2d*)QGHzpC!2{k65z1J`c0+W5)q!^mri@w4Z#V<Q
z7uY#CHyi`+hPWWIz|z6H;Tpql<}Iui0uK@zzA>~jm9SXIEHHKmZ%}6JW3v!@P|+aF
zxQqD<%M0EM;tT8#oMd2T^kcP<ec;ouneiN}h5Q4jhJy^^%rRUAmIneFv>Dr&V|WX6
z4}4`%W^`k|!%<*-U@gOT<~y7P<_97gsu_<l-{JqDaKNSEBm+OQ4c7;Y10fAB8T^@S
zct7YI@M(C?h_bceL2Sc!Mmv@t5)XnK&NGU!MT(>pPEd86#uh1-QaM4?k*i6BO_OVr
zkw@W#P{%O#Na2*+38jwL*l!A?Bu|*6u+-6v^`=aU_k>LfPaU_ht>oCG>ybL)tz#L>
zO0G@DC)O!kbu43F$r&V_;y+=R!dFMBCKI-s(kby1mMNTdjB47#c2j<d?ukYP_U0|@
z&*en^B>!`CgrS4;?=&|#AGANp&MJHGPjeF<wEqD+t7`q<-Ty8A{;vPwY$N=4_kQnx
zXXSNd_%2B<(LOOz!M$0AyU6B5r@~#ws-`b&FS#$tKS`O;sIa_g4quVliJQ<(7AF!W
zbUW&??vzQ<I5Ab>u;V(monlX_CQMXV?3l;0Q}RjJgxikqI7B(X+a^9Ld`E1X=x?&&
zGZIa)0&knJ;WiR}QaM4~F^;WL^hxOibH{sZmEuooC-6JkvHcWzQaqtmQLHsWCdsp7
zsp7QO2)QKJjwXRt#V)5cJb^Mvp&eHhueC%-C&hMrRWxh4A+bosC9}g<(X9Q3&>|g|
z%8s>)T249Kfs#qp9kPn6oZj$k)OIQDxT~1ubfxWv@FG2z+Kx7XUd3;1H^didx)gUz
z6IiSGtv!RgP~pfyfwzi$tuHtVEnLz&)(N;f$?z8H9Qi1q?o`tLg7c#EB7K+oj>(E_
zPII^lt&YqTNQbVr7id=0Yuh36sHkJ1K)4f6`wpo`Q5~-p-JE*bb_hO7>xfp&Yu_RK
zD6eCqz;~yf_8sz%96LG%CM(LdRfs$)>{uyqS#e%Vh18?Sj+p}Io%e8jG&-_cV7>Dm
z&W~nCb_=|BuHpD-cx1W2d}ke=%^GJO8F(Mk;oYor=97W;A(0kMo?wx*)ESQqRv%i!
zw^{AXErZvG*6?puJaf!IHPP#E4%cSOGt&%G6Hgt^;SCl{i=J@`yiPt?G%bBbY~rfJ
zZ}@_RmzkZJXHc5>>aa;mCJ%U>yl>*H!&_Q1c{khpM9*MO<ZH|1FV^sJpK&<R>TpS`
zrO>mC8Oe!#?UsVetbP1v+%u?6{B?K^kFmhBkQtW~&$U=eKZ}|1IZ>}glBd}4%u<8t
zhk06e3Z+?`xoU9zPz=AZP@2h^UIX*PceskJ&&)L_Kit#0Q#{S)%wGfkLq07tc|IGQ
zS!~dM*r#<S-)EIGmkrJzI@3}qhq~zfUTdZNGv^uoiFU0&WzrH`Tm{A4BZLw&TT%t5
zxkm^tRB%dcS;V2OEaDy^npoOmDtOH;LOijyg;&tbO`|hFDlxd_tl%~mjqU)s#O#(X
zj@wEhZa3r--CI_1SS!Ej3Xn}KZ<)ohRq&h3itYgUg^EteEqevOxn^`-kXfkel-=@I
z@RpKHM}dJ;b&Ip0m6A-~1<8flhbD5kE6a2j*c|HQxGPwt^u_H(_XYWfDJ_j0%a!Kz
z6{sD$$?;riPJe;op@f!hK|R+UGKm_8rg9t>T<5k!>|s^QM2^LRc`iF7ABMHu7JTQj
zLpo9C&|Z$mg74fb1QL}FrL?pQ%DGm^Bx)ThX;BxP=T;$>sCMWxhrZIDo)21wUUTFt
z?dkoXcjz~Vyi!fi2hBszIk*?=bZxRYHA%yJu}=3Un^RpHtbtsMMO-5#ms*@!rLlVP
zn$AsTr*>()UYygh$<WJl$|a52i*oulsd`0D`J@rOIK<_q*ixfY-!!Zjg}C07Obws%
zN@MrpD=sU0H>rEYPWh$58z{B-P46asuiz=qG;{-_7QgAgBnDnKe`~Q!*CoNH1yjyx
z#0K`cSV}#OnDRK#&*f#`CE=y!Uhz}@X{ayeae*$IKj&sC{<LNacOcv1n4TidQ%^OV
z1J}7o_7$0)+NyDVagU2+Z;|q;vl`nM-RUb*KXq3lIq;pUWPg$Usb&q~K()m-J)bmA
zJ=O>gT(;Pz^OMP`%^Jpm^V};1pQcU;4~%oK6n>gJr9AMS`%i(V$y59T?c5_Jk^_5=
z8csVBA(b52^VBfxh(<@C#A1zOrzDOVh8>NNP4?~CYIyBvgnY7dPp_d@(v)L2M3Rep
zbPZ1>O*wu;YO&I>Z4y%rw;jJBxLE7hIf>m#A;)jXE>?5P?Xfj{m2~BJM#n{o<oupm
z!&ylt$1^%FN+s9#Y?EM5lIgi9_c)-ZPoh1!<k*X@LX~3|C5|V_^cO0*mG{h(*lYMJ
z>C15o$z+9ND<zgE&*>~QgRYu4lz5!f<87#ST%xN`^;kjAYQuF$cgQ8H9LwmDHq1M=
zL-cW3kG0{uV-nqk`o}7IejCainbBb=`8cu1-B9lMj4ngj$DTdQ4d)%5(fQHlShs|J
z@}91bR>x*b<R|ax{%CisT|z#&rt72Su|pQ!k97JrtDL)Jar%)?|7ImW$2o^ATnodF
zY4&Z_I`_&V`_Y=-&3fm4Sx7(1=?NBEZgOs!#q7s9oxx(uZO&b@5G~wxbY%~C(R^rO
z*|D3V%dO76voI~pdi<s{SbVvipYxn!;6?M7MV4FodC$3K@%!<Xqm}~C6XtxgXn$04
z#8PItv0wNc<-)#WmSWE<<_H(=di>?c%ihc4%k9sdv|ujuJ8CKW+-J__!gEJ0<)1sv
zIcOpNIHs%E@?5|i?ZURlF}=mQ=e}Af7rH&Z(@|`EZmq@k$9F)h+9T#v7an_jr~k9U
zIhQ#nE%+bXbbYos7c%Fih5sX)-p@MceC9kaj5|^(`8;&a^}>5cDy5&t&iP(wcjTwU
z^WZt>3&qqTrX&<Lsd7$Ji<p*B*(A!zB_yJz;kCiYv9KwWGfX{VazbuXDd#oy8xs<e
zn<lX=<up^hF(<*hX%ov+&TVQdJT~Y$rZ&ChEK^zGwZZt{I+m-PW$G(D17;`qH|=8i
z$|)seqIP3;LVVLQmb08uLR-{s%wM2;u#tsbc#FEllm*HMAF|Bl+$ALASzvN-Bg=8&
zIUX10E>L&OZ`#N5m(x#8!lOXz;7gWtp*g+<dIx{9hzs$k?U?Z(sOdCkn^26W!JG$K
zP1&65)FnI(W<79gn$4M~x?}DGzoy-s$As>98_au9*Tl}LCgh`5G2=mC({avaLO$vh
zlOAL?rE{hU+4z4@I(V98z0e-N4{8T*v%DAD<NrbN;Bl7uLN$IH3{Eam>7K0Pxyj_@
zCY95Z*LVa?SYqHAIBBY9*W@)`o2*XGQpujY#(R_9$u<?~$x~D`eK%>Ie5MjTX^N_*
z|0YvU*GajaT9b49Hz|9DPMYgkrn=H^leMSsq+2T0ldh<)^uIJ=iQ&n6D!(V0sAl?I
znzY39<Uf^MPd*jP8B1(WE>w~A<Wqm?b7|@mk4cL?`&2FGJ#m@zPQ})<YVsG=m;OaY
zCmkjQd-|zcPJWUzso3+J`pyX{1}BfIOrI3vXEf!B*QAFk;ge&$i)>GNOuDFIKIx86
zk@m^AD&>>z_!>=n5;y6i%J<1O9!3*Wj7}a_>7QidXEZg%>f~e<|H(GqMw6dZP7?Qw
zQ>&c%q;!(G=RLK`=}&4W@q5~-{hacocv7pT*wTnONuFIxHK#3&n3v?*)g;oY*%h?L
zCvZ+uXxCNEYfB<#C&hMs)ihgjW5yyC*UT<oO|#`UCN0u&t?XK>sTGvt9XK<ox=U7b
zRnQxsjoPlIU3WFJg03vPF?o@mYi(DXNU!F%WjCfT(sV8EnkKSV^V{+a??Q#62Swg$
z@-2PgQE1_so^?C^|2zBNZm0i!*nabf{6DpsziRKD|5P{a`{q6KpZ?W(pI&Ky`gbIf
z(3|_q=ZF6L`u^Y7{<?4dH%rv?Gb$ZVb4SHp_|!B_e9N8%Pg!-5gucBGy|Ax-{l#C;
z*QPohYMETRwC?IA<&}G;J>B{ye%&-#-@k!TWja%@Zvu(vtWT}fJ)IpHcluM*wCkJd
z-E@Ed+w1l^{zP>zx-*bn_U-wz^!xj-Tf9!MXMAt^48?v_C*7JqdqM60xW#Yv9Z$DR
zmi4c_xasJp^|R-CJl(3ZKJ~xQ)-}KTFK?QM#VvcL{VmSA`Tf1=t$&N|WuHL_5@feb
z`@Y#;-v0N$y~iT$e|J}|H$(~xRJTOMUHDhS^7i+4skiltQEGG6n16LW{p-nn3vdAZ
zW6g^EFTXrh{WB;e&>i&c{L0k(`>$vG_pV-Vh#5x+2mSN;^XB(=sr0{Z^k42hh8{V{
z4*G2@xTWp?i}L-Go4$Ro|GmBbe>u+*i>X0#a>C~ME#D`;%r7(i(2a9TEN1@-y>f1;
z$V<!lkDR&=iiiitcokY64d~L=YzvO@)$}Wi-&DVNOYh=K_EAf;I5l^w?NeA9aoxj9
zaLvUjkK)XO?)VgHAALJzk&aW$yCouyf^kLWM<cqbHID^%S>E;ksBqM!>*SQAOs71#
zWg_9iLf<rwJ{AeqTo!C2Xs>kC_~Y4N8~=|=M^B5aKl0zDX5){Yn)jAg%zxzE)vsyC
zxzT&0!P!M7-IsMdH=CT@WODlQ8js)!%M5%2XHCuQy1d3~v(?#ICfS$QcyG2l+h!tt
zdCC$^-_4q5pP58onzB^Wf3vBt>#W>Nt;;$7o0WY-XU)wlTe{M3v$e19tXn44m#!>b
z>3?~`GQ+d?OnzT7S(@p0dD1e|v;RzTGx?TS&RAx9cA<%ECg1XxK9{FH^O&_bvu~;8
zyk{=6-kI2DR$czG^re5X(OHLC!I^%`Ehj(AnN^&5Zu!m$X$EJHnoPeG<7YhOnb)j`
zCgGQ3yo+tmdd#|LVt(n4PqFsdw<hJ6?)Vx{dlonAqsjNnHXg<k(~Qm@HtD})<7YfI
z&FbuA6aULL-o}%kRn8L6j9XSY^;zjG^UQn8DyKiIoyDJNx9sPXXT`HxCB;%B<|KNy
zEtQ;>8Zj@?wXKP#RkF)y&6$8XiJ@&*C9kDK%ubAL`zmRca%09q73a)0UrDp{8<Q65
zES?kf$UyVFM*8WnkMAX)Y6!c(-qy2e6YD3ARcAEPuYc<)5_x|@!+ncI@6m0csW)Z@
zmaU7+yH{6P-T6BwyzLdwZsSuzS5l^gt~jf){fANI@$TPob3XsQKQHj`ry~N-kIm~T
zYIrlF{7Ogg3cba1c;dgu`Fy?Zyx4AWPVvk;FV7a8IJ)y==?Uv6Kd((#Xn6QuPf<u&
zm+grQQx;k}d$(QV`E9%<)nY<m+T#SbnU}na&fR_@ur1wUN}$<R<;7>7cf?#iENQq}
zwf^hw|4*)O{jqgxdD;sh`JlGNl6|Qb^B%gi<$ZjZ`r_<`=?m=-pX6bdOpTq=d$Pc4
zaZkHM=gq$}ru<eEbA5A4@MnmJPVbIv{?oV11Kyo#k!dd6DV%OJ=WK!A;h#N5Z23=%
zB{y1$8}X#=nDH>kZ6=GMVv@lTwvLqo#>Me`QzfRp7xU2Rx-olqQKr?C<{76YQ;UvF
z=(t&-k)ElcEFXPBS7x&Jx37kiXDnTxtU2vX^PJxPBFk?l5+hXdANdzWJ-dJE^pka0
zPTt-dbnm10v$ftA&$l_gITgJ#WoeOR*Zx@9jd88Thd)P8RyOlLb0c_Xt8o$c(S}Z4
z5$CT#>mIz3nKvi8>3fmrxs$CH%GV!FiRn`=a{cvW(U%jYnNz)seb$|uD{uDr(e~Me
zsd>h;o*MkA5VrsOR#9@gbJ6>BXCtfp?MJx&m6%;SnJd7o`R(zBIcJ=U?D$V<=1$&x
zOQ}8V%%!TGhDjbrn$G2&oXT^!I9h3%=bOvvJ45B4=Ixzqtaj|psvXHjG3N~?C5GJb
zl*~)pp}KB0Pr1>Zvj%GGR`5Iq1;)J&N1?;Y%T_oYS{I?Y(dY4`h5K(WoIXk9s9eWS
zLGNH4caJlduFI~Pbz5;`*5`7Mo(VHFHdZFRVyjDTPn)>?p?TShx;A#n)U-O8h3ic!
z;~#x3SKi{>7r$6$>bIM3Co11kyI!(CW|o*`VA6ymNwTwMFFjpUoyP9tbKQAoP}1rn
zC1(rcUdI1@Xa6^ETAI9Jz3fuPo%Vl=x{oN8p2~bR&A2qi@7kO9*=2Lnp05bEYqQfX
ze;HIa{nPF*>f5}9CDr!dUHY@<vwrUMw9ZHVIn(q0u<!pJeo8O(|GV#}_D}jhqwCn@
zKm329|5g99{uljE`d_%1e!@j2F}(-%kLsV*KdFCQ|NQ@h|4;rW-DH}kmvEBlpZGud
zfBAB06;mD-wyos3EIF^^wZY-dJjRmq(km1%m>youv)*{m$q#0StsS>Am9loF{ZO$h
zE3{3CoRV5NO*L{_TI96U%4wpJT$@DFG*4|Z@-Cbf8X1-zIXN|VT5069^qUh>lc!D6
zSsH1UdUH;y_q0tqPb0Ubtvs<w*E@CE+sLw%m8UitpI)bPHL@&y<;kGgss7V;>3ofp
z+GLV;b9QR{v}HPHBcnELNxM0JsqX1U9rn#z(k-VfRX+VtXKv)KO)@8oOipjqIlg($
ziA!^rs(a^8+o$t4(l1T&M3L6%mpbX2=A13kJN;8fd=pRF&KXaGrk###+Z1!sXwK8D
zY1xtM(j`wC&3fuKZFXc{>dv`O{if}XJhti1X`^{h>!z_ss%`Q~tDNyPaN6<6Wt)7`
zD<?h8oR%J$w#nxFC#BP;b=Gg%bMBMc>FAF$brOCvX^C&BW)$UK5$AB5sZ3`<7NfQJ
zhHS?A^Y?=`H`E`lKdHas$NA37`x=_LEjBb<W8I>&ppwy-`^NT$z1$fw4u_duiEpT4
zj27RJ&bXEP$WztKKeJE2=C41zTj%}eniHQ4PcPS*zgg$ZMvdc-WW0-X&TiB>{z*o=
zNaTsenZPN@seO-RRu`=~w^8l*Et%IvYtC;}Jbp|@)zYgt=hQ~a<I`kPEl(BaoDH0q
z9Nl+H=63O$6M<8c)B9pAR~5fG7dUyb+3|TYrIxRXO`c?&*=T-zpNy~Ntl}+CGR|(a
zcaQF4w&Z)3alTN)-M#OyrB!jsQ;SKDGy0M(`<_qnUK$k_`2S0*xq|+l`d_QGCr|g?
zzo(_@>C-E^`fDG5GP2fMe^U9=t=ChoC${fVyZ!WQr9<56M_slP7hAjg_uZ4Jwft2)
z=ZxWm$02=}Ezdo%nEg1W@3W=e6Nxj0hR2u6OfTknx?@tZ#qp~$*NbA#8%|0#Io>N{
zUVP_Nq4n{(GUdfRPj^gDwmJS+hQG+?$&51}4UR9C=`Z$qI^*0&mE)IX&KI$C3Lfa^
z*O$AqgF{fwNklzs(h`r!ir#(yyZ%p)wdP*6>;C7zaa%9nz4`Xt!@F~f{U+^>d7>Bo
za@~@km2<34-rKwT{O2{G?Za^)OSQ^1MW@_kEJzTL6cLyZm=Yu);_BcsNAzf9NQi&{
z<5ZoxoOy3I&rkpUa?a#CbFE`e{NH}<`~ScD?C$T^aP5;4jWVhEF|YM~<ezy<-~aq!
zd;0$8m(^kW;(y-!7PR@7ZT9`oKdblEoWK4)^8dWILS-H|G!<i=+FD|T%DhiZnV_l|
z?bO#2!&xcxN%hUd4dI9EIDe~(cxX%sP(fV67~#~}62zG*)aRiwy&%M?wZ)4wRA`kd
z_*TZ=mMG3rAwQ25(=RA23_Rq?=_@o#b&E&F)C+10V-IO^$_n{;znF4Cbz!tqe@h%^
zy2_lX7t|NVAF||Rp497h^UaLpMb%j@+von=`7Tp<?<M7^x65;{?7r$YyJ%~7-72xz
zXjQANP9?cJUpz3n7_oJc@_&Ydj-1a`V<s4=JOth7*rpmY%|IzJ<j{;3ZJ}eTF;fi`
zAI@s=<h-tWXF@^nAzjYxs&^(9gdeiy{I2TbS)uT7VM``wzlzPY4=#s-InS%uO#k3?
z$eYs`b~j@rXTIv5$sghl&1tFRlvmO5h?ufL<>BI%RL=P-(kZ1Jo5ED2%hq~DO#Tpg
zNSrfXb&dB8z0~$8bAv7|P4T#?n!0_8k7i`hrln6jPEMVqt{Q(zPP2OHo5`Evf34s4
z_pSNd=N@0@o%y&~f7So9&z|ky_$mLdyl`*W_t*7%Z|-{Z^lW=mZ}|V+x%L0v*DFu8
zs9XEbK1IYsb4rlP(}pR{L42N;I(N6do&HGwkK3=;;`?v>idqJ}@i8^1&qH&1QHWRT
z6fe!tpjAs{CKttc^-hV>EDiGWSP8!9@sy{gZ_uozTRbwSUQ$~cdrDJNHptKW<&;aR
zOQXH|r^IQdFPSs-lKRs4Q<j>{L2OIsOfPagHDOA3kRIrw$H-G1Qvx-UgVuRSPA>{Q
z)iNbJNNs7%^djd|zM9*Y+?iJ7ekxY;`;t4;jZ~jDPH7KXw$x@4^y){O$)93Qack;>
z?toN%x^;?qP}<TxQ$P8gs@5zHdbYG?!X|~(z*Cc^^ao8_S~F?WBraLa>>xYupGr?x
zPbt;Bo%&|N#$Y$lC6K#Q-b|aQsqF2xtw%<3r_rYr<NY_b<*q)r^3AE~xqH@Uew6!u
z;)eF^A2R$$+&%YxuibRNe#)=4Uis5@emg$(-kQSM8kN&ml(cJn+dfrJ!1SHCs=P?(
z{WV`UXnb}J{IuFW{EhUYW0M!%6TV;KGV{g6kmVxzTiuRcldVwdveoX|8~H4J(JSNV
zsd0anU7Ejd<(IUX^Siyy|J`xv{xr+buX7ijc^N(RoX}A*(|a0a+urEcg}<o3pdouH
z|Nnx2vvccp|9$A+|M#tZ#{a!h&wt3*e>!#l@6&DZx%)odwbzod=Q(Ac*}HP1Nc=wy
zwQc+U&wX^gyzb-fmB0Ny-o3xs-n7DqD^=vAg~H<nJ<djar!}SpDlHCio7tmmbShP5
zYM|ocAh)SKS(3+7WTppBzBM!D%1H~w#c^)UJ#LcfsU{~grd?E89O^c^r%ZBrO34We
z-NnIf(|htHZH=l@=S(aNbDQ5&C;2>u=Y+(R!nk9slFs0pFOwsVrAjIrxuy1;+@X{l
zb1YO+*l69!8B+>lkM)M6pW2}cy5@4_wkd`F$7&^mjpR;LXe3)*J!vF&x<cvk%ARzi
zd8aEBA202Zlw6;(XWB=%V{3Z08{Ioup`Yw`Y)=orkr?E{%fMrsdi;&TQr4V`nEcVQ
zbbV^g)Qx(-?YIA(sgbja{mGjNn}hv!&Ec`!{p8KG$(rike%t2ASne$P^yJCuo670y
z=hPN`JFPh(SYx@zIY!temmB8@SuQO)^+aZ3u-@|(bGR(07Oi>`a(eOY8#Y%yX188j
zc=^?pN3Sd&Ezv1_l~?Gq&!Xt_2B*Rsb%hR{7K=URY_BX>xuayJ#p0yLJ-y1O&HL{E
zYRT$5X{oo|&2Q}-D@*k!S59Y6xUBJf$s9Gyvqe!)ww%hGd|B`LlsSBs%b%8<dO7K`
z?s9j(^>d7i&YgNW`Lh1=Idd2-n~Tn!-l>r8an99pdfktfSNV2{n=O<}FY&*BJjG&}
z>zwOaeU`d?Z-mmnbbYR~SQ$NMW91Fj!VlLH_y4+EkhA1weE#p#^84=1ShM#1%lfs`
za(d_glMlZ6HG13MtH*Zyt&98rp>@CZzoXms|2zD=>Hj4A$NoQs|8dtHjQ^1T<N6=%
ze{*vGOxpis{g3Pi`9GrnY1bXCKU;se{`7`9r;FU4tW+%oUCOClbnI!&RAa^Gv*vhO
zUVnOLLUHgpUCZrH?@TNXKWA(C9du2n!t;f5GA;X`tW*t|7iFco^6BT@SA>4~uT1^2
zedW?G^<Hb&^gmrG`}O|PvaA0sw{HHYzw++adf&Gj{zqrcu2p)z@=tsF;{SGES1j?e
zHC-7jot-u3iOuxSPUpNWjf>(=R_Z?QodY_Ke9z?1ap&gDskD@T0=)xt@toAk_x@gs
z|4p}>{Qv$+_mBUxAJ4S;nli7-?*BrEmAWtN_d1pS-}HQ6$j+>z!A}c6CPeDV8EM!3
z$@zKtRB4V)!920^)Bk%Oo&EReHv798aav2BtT_=mb+g*@&2#jN_MM8HzBv|rZRis1
z69F5%59YDX<k}>XqJ1K8!fFM7$9+w<T;H^AEKk_q#KhXl)g>YmP!MoXk+oe^L|Y>;
zVATSjgMzG2x%#wL1O%*H;N`frDVeKJdqrTt>IHrWIa$AQNr{+fS*%>(>A1N`jCC#7
zEs-tS7E2c>hq58v4*H;{X*1U^kuTa7%M%<9E@=A9rKcegR1kPjmGv-}p0-4w!KwtG
zgQBdDxz=gT2q^G9xTNVdSDwa>l@F#hf$kCAvHHQhrr%u4L~H^+1RUJb<jy6hU9srF
z%BFO#dD;~VA1rN>WL+<^C+vgU!8J|Wx$bFJtWWSexTlGqOH4#ZD<bGa;K5Bz{#;=q
zYqTPQKSUlBXN?zKqkUstO8ca_UY9_Zh%QapKFLQl(reSyCmJV1CoNZrKPjhLJ@rlS
zrg+cBNlvP+UVIvsE1pc4bQpSNXwb4J9g~Vw-KU0VtPBZSx+LnPq3ZFeS2R|J1}%Tm
z0=+48PROMtOCmkHCxxlzdfl2jC+O0`CtD`@sm6Nkn)*fKWoVJx$w<{&uU}K=1RE_#
z2{>7)Dn5lrbLWaDlO`pqPM_MNAsK44>`B+8Le=o8J{mihr39TURW+Y-C#1;xWUgxY
zlslnC{wHfygT3T5Dp#aLom7V19crw49&%M^&!lj#IPJ>CDPbq0Ro_q96K=FTCGI4<
zDz}%|R2|KqD^em)s;g>yg-u<f`E$*a?n&!Gw~2;tbUx}Q`clg%<W11VX!Pqt`@8mP
zeOq~B{UQg~g<VRb>>)BCg&s!}MUQHEg-ltc5nSlvy0dGsmKONd(7?5iW^_H)$_lx%
zYDI9Nlk46tHql-!Kk#Ltiy(J|zSZ(u`68e&%5`~{p6Fezs*o?MEEYdn1HL2l+)9Z6
z!xfMAba897g~WsudLG@-by~|Uq-T{xaG~qb9bK!n)Iwr{4Oc$e)g`T!w`#}IN87rr
zwcf4TvHa1#E=^JX5StLgwT~ut9oL$-qGHvfnO)Dd;#O3wOmaJ_ExJB*PoUxIM{B#v
zSLx<n*%NNKJSpxdyC}DoSg6j*A1jg~kE&liuf2sa<W;oRwUC;ykFH0<MPF-eTYYn3
z+VWW?rjl7@D{rn<3-+BhE63C_tLW;Jl_$gVSA5$1<4xe^@UwQNzpsj{&<qJ)@~mN2
za~9u9%Qed)d^=|anWkp-t<Vh5_lfCWups)x=l|Lk!H2f|SFm_rcW%!7rpZdG(FT+B
zI!*}1q;Q3DwHRpT25E?L7VqQgzSiQceQ1%q&B>ca>a6FMC1`foaqbA>k_`=BxGZRI
zqfgf?Ez_$jm-_#;&h1;hGBDpFVD&P;y@{@;dWE64k>+bmy!2qnEH%@!Sy5M{d$z1H
z7s(3FH@LL!-Ug-CW16P2S$?Y#7m|KG&rz&aI$@Tb>ED&BS4svLuei4$?U8hf+1Z&Q
zGi(G;+z~uvBXVMoz#bc67GLh}Ac48z`5DIg44#HXieH}v%j<q+yTY@2=bAK^vnys9
znucHLS$=QZtj;Stv(&D}gcm!X^)=mo1$@V8tm*eF`;FC|6DH}0+*F>RdBbRV0r#R9
z#=vC;>B2L86T4Wg*;yRzuP$?KxU6;N=9DKhHlzm66K0yvcks0QkK#Z7t#jY4{(tyZ
zPS9kdbg#3_ru<iZR+~SmT=Hz=ukvS04pc6Bwz8^uR(jUF)s+)>DZiRxmb(AOx9#^e
z>pq=-`M)w(Y<B$p^P$h}^UwW%^lRz7)TQ?|>;8VPKi@MeeC4;`&u(Ye%-X*4o;T~9
zS(c`sv+Pzx25erD=6TlL^mLZp%E-XYtI~YWrkloJsR`XYZ&nqrwaJ?+;Cof|cz2to
zWSzJ)VXdOO^SWpC_rI+^>nuC#{geM&3g1Q+o~SN-_H66*ZF)OnW0F5J?R>P#`_&)&
z``?xaebhIvl=@`)<|6Fo)eMa*0ZSf&j-lbpv{<t+0(`Pfs#ITw#`S^_=hika-cYGk
zrZSfcVw`*1qIgTC{4!Qtzp!9o;9*Z*U#VH9TQV}PURbs;_OK?etdw8&iz^qFE{t~W
zZ;RtiH<@$w!t#ajhb?)TrPxg8TrY4uJfRJAH><?8g2=-iZGpVWpp$E^7la;eY0H*U
zGmW`k;Ea57&3BVK*A13FY;0?nS_V0;Mwr*%)aLSsn8Vz>`le?xDj?_6q?zuy`oZsT
zHE*%hGt-(28x|xQhPv^}o9bl$Sd!>^cvYJz?|jpmiyuM{?`nG+RhDscr7Gwa*4U`B
z?2}g}Eme*7?wb~)TN(9f>zj+4!cW`j{@yB*p?M`}$<u~u%~5=rmTRDQuBJxyWoTY6
z3h{28=A|1NwQ8%(<)Rqx-f2;~rBQwvkgHZtd+Pc|&Dy#pBlGH|WlLjEYwF5I`DMSn
za%t((Xz%`Mak}YS=3KqBd};h?OI_wDwykrn7df7uFs(aEFH`bbQRHb4E+^gOsC5~V
z*NZ|=w@k~9QrjAHy~z2rukQ9Ocdiw=pN`f2zU9t!qoq$9r?p2d+iG(Odiko&W$2+W
zXEG|6KHWOaJSuJLo~xhyPFL#|M?KqGb79kh)WFk|ru9cn+gfvJ)1uVS)3c_XkJ^{<
zb5&~W=`vaCk~dc-u2XhCt|z;@6z%@iZ!d1FPM+WQ*NUq|<dw#yLWkpyvh5`@*8<lp
zc5z?XXC&)hGUc_!D(JPVSFQS9TC7{_=DxPiN>;t(%Ik~^7gs!90={iEsswb&>cw@B
zr}Xj3E-x*4_2SaSwTs=|*Y_D)odaL8`gl$sqinO)xz{@uBzqipm7QL~^LodcWS8SB
z`V3{mOL|`KSe5K_+*Ee5)w<U^7Cv6q7j2dIddK3&>-ws#-o2i2>7&DOXW7eEaxW{^
zJnrmUZZ+>E^hB7nl0BC`Mje-y-E4L5RmJkhd%-ud+P#RluyIAQ=W%!0(^hsbp%<~H
z%f^?~T-~@XdG|cos<Ky}*C#JbpFZzymC@IfmnW}HUaTJOKW|=5?eqCLx793eebMo6
zDDf-^QlF72RFU&2L?uE?OiEUeZz>1B+tDNC9DZhsFNN!J9!)e-FztSSbj|)BX;bcZ
za^9PBtJLs|WRg$uk)E{9%Cn-Ie|wzy-led_x#Z)fj9sD^8%&d`RvoqA>$vz*Jh>#S
zCuFb05hKGC-y|jPqXOpL4_}Kk-%wJ^^x?VFA#tJ1aLw99XLq*><R5jg5jkAqe&)y|
ziB?v_Cix=_XFCgjD!C=boq4om#%WH&#noTlT;1${zRs4litDS$OUnh%7tC}1)$3=!
zW_g5vXWinD-e09_V|8!+T=C+y*TrqAzk1#Bg#O;vyS2xC%K_etF8;33YdgiF#d3`_
zqu#H3aXjy0{O3gr?{$fJe~sGrqA%qA@?WVjUn*908oBhZ>CW@|dU}RLlbxYh^P<1{
zuk8L5-Hy*S>-%<H?QOp4tNVX6E}W{LlUy&5)Vip0U6<c#@!W667v+Sw+}y6XEq+OA
z<BN^+c{iS|`F&Ghw~o@gX&Kk2h?%>@`F6dTB_L?7XO!#1a`%Ke=eM{o&%Gx`^}96X
zy4|du815ZyX{NmE*KM`^-+ia(b{whJ`(<<W^U7m>TWfc9taKI3Sb4>(v|`zerjY0B
zJ5!<sHK%uNm6@11oAX=b8NW`CRY`)u?Jm#PcRe^IY#gL=X^n%*ZM%!#rYfyj=ISy>
zU}k~0OW0J7EoV1miM$NdJ+{iR=X|i>r2ET)*Gg<jSF)eqp;D=0^x<}k%~nx`>Z2^R
z(hd3hI1dOKme{-8oN{7O;Kb_t$F8#)zHv@s*zbGj?1ovwpSMcJ$0ltt7cMp4eeFoj
zznrqQ|1B(^@8{e&UoB!8$HttAQT8e~(rQxw#x#j`|FBY7^yW6pYN5w9F+L@&Gd@ZQ
zCVfr1Vg7k-a8F|Uj7O8BlkaJ4TJ73Xzg}QL<dLY|9@}<D8-C4o@a_D#U;WwlZ5_L#
zm7F)ZiY!@XEh?zL-6`|a^P<ey86P$u+@bO5Lwa(S+mV-PKE2%%e^i)WwQulxn$qX7
zglA&l%00U@IlL^VbuF6`GJE1w{iG~yq33lw->%<pnEv>>mA%vR6Y}oM3$lyvxjdgQ
znEs@{`?8|IP6Y=^ksWh{FMQ!FImgjZ#o`uwXxXp)9Y538NZ!4*y8gklvtk;vU;J5P
z(a&oBoyEL<gUb0l$IS|NZt6OHVb2KqwPe9hts{}*Gk@ut%-;QxPw~pLLwh7I-Hg7r
zrYrEiNc!bjLWyUeaqZPS$9(XVn)y<vY4#4wofd39>3i7x(G~8<x>LS0FX+ZE`20n>
z<lp_G%_|S{zxd-`Jh>?$*LzO>+MS<{ul4-+@pA0n=)$_P+;jgw9{MAH(D$#H`Rofh
zi;7*=h=)esnImw`ByZ>ELhiZSbd$_>-dwWW-QbE|%FmS+{H@BtPIId5H>Sn*eD~hi
zU6Na9{5QEUNbisFqdyyuRs_#UY&^H4;PdDH>yKwAt-ZPB*}4sB#rFP<Gc@n){Ac{)
zk876oy+2<+mKVLfn|r%XHTcB-%UVbBH)&ok4uRg;yeioAYH^Hz@4P76(kj0fE3aQ(
zusra*C-|!7ElbQSY;&t_eVudZ^1|m^=K0yiYJU6j<;6?iwaHcIASX-Bna60`Ty^gC
z&IRcn=Z}Uoe&Kn&b4|L-`HU>b%f+f&_r2V?D&6V4sqN&db<6ESyFzWZ2g!$?pL^x_
zwPOGCwYI@kaxW@Zq(_}sw(b9FbMbS?d1Kr2U-x+2RkSr;DfFUp?epGwk+%6?_gwxQ
zcYe;iN?Z9aI*>b^7tc$zo&ROci^!{+mp$J+Pk&|fyj}NK7D?YoOjzDn!Ys*FCV3-Q
z;j+WD#vEo#wj$mqk|)eJ96ta$BkGNLK*E9x4iga<I43cyvU%}Nk+eu!aOXfEvnZPu
zZ;0dyGw_YhrfgZfS0pVG7u<7bZggW-=Y<_H<uJRkjCnaviG)S&g3Aum8}pcL*{XQw
zfUbC+-&n`|oQFq3!ldBd0aj*bHa)2wDG4_Yq%teBx$*W$?np|wb0Cyim~EZp3={Ab
z&*r>$j0$cakYz6Cy<=Q(|G<<+cF+aRW*<%*NM`ouu`&H{=0GvCKF=N#gJkG^%`;3t
zTsvUR{GRuW<P7r<2M;tgx-xsS*-1s1eK>ibt1*;0o6S!8N794Ujit=Dd*2vry6mxQ
zB9HR!o;PNbptlDr?{xdr^F;b)a?1LNwQk>}p@&E@Dzo>>7!}>{*f>#0d8yl}9vQ=+
zyeBIraw$)BTh$XHZJG9D$3!XRt!}S+<`@L!FFEM3c;aNYSv@AwnTD6LmYnt2Ju%qL
zs%MVbrOYL#J+@DjQ{L-#PQns$?_;qWTMv(vW&V?<iHgeNy)i~cIZrlC6jWa9cC07H
z&?xW8s)?M+*L!@VcIG{4n>gDoPjYAOlfH?&-QG#=%uhLWf?1ir*GIZC<;lj0>TdI-
zD$}0qoG9)VCpFU$dcETrsmkmpb0>axd)9MC`e#DQ!4r!nsw&I(>PY`gNjZ9A)kIU}
z`MouUpRS(RHSw)l*^C>RN_Sn_I%Cz!W}h&bn5uN!rLQwaxKizt?;FF7*N@l<|MnG`
zp<xo3@~8oJ&*Kf3&dwm=RJFbt8s>#pTv|K5ghSO<`N|j<-f`*ej1n$Y^P9249DJmb
zr?9WuEZ;3NGE6U~ExLO|Q&?8bZ}tn5i>ZrlyYzR)38(waF};|+=>8E)VP-Wp-#O-m
z2ainX>{ip8DPdN4^GHW$pm4I<x)~DYg;$TXbY`om`GRhOJmM?7-RF*3;qfD}!ry)F
zm>Z@(Y6RW$=xby2@y3<ixwr4vIIn&zUwXg(d%3jSKf{pItgFIX{X*-HTwSOewfuj_
z(pdYAC3UASiR_<kUOV}6TmFjqf5dm}(ut83UAN5T?wbjP6PeOo1avr`i?b|$zrDn_
z^jyz^(!dwC+8Mq(XT91!FF(fDFk17?FUGxGiFX?gtcpLd_2u(O$BfwqYu$fbQ(a@N
zd&gQ+AWu}qjGKQpOKtC=SH63TTUS)tAKU4;qbfuqT6@pjADri`SsM;B9X`x->}0;k
z-6_Q}5mstKiPJe+&$`^`>8WXaHG$#VB#*`-L3T+czM!(DIX){*bya+RZd0m=5tGy7
zlny?&Y(a(gA0N$GP4A`_d|hf_rkd+DNj=(6jN^My)UuMh>MDEwCto{Or{sC}e5L6I
z)zDUkw{sdYf)-41s&?|Ys&qz1sBRj!uKCJ4U$opU%EBf7MON(7y5Y|`U){jJ)x+z(
zwNlu;t{4dsp)?MmV1s2vPx^PpY8;ReFZ>Xoa%D=#lH*F9W|y^sAKcj>Dt7->?B=E4
z<uBB_v>cUde5HIalk4gf{>%1drmXoJA0&lbnE2^!V}Qxil&rrIqAhxy)xo=#UF)}W
zd?)>|!0U0DW9l-6lIagjVqeTURJ_|@ZRn3M{THi+qvS+>+!2uR<(j3&65P%*m7QfX
zYtx<Dru(*sHl`_l+wLMSw!$p8@f&k|JnPrPOgG#bb9)PRM9qlNtkDxaR@ePa`Cv}J
zz}L=9<vgpFWB#m%n42!|<CQRvcqdY{>`zVFqo3w2%LM8s{)(LZ?tAviH@oX^thlzS
zV}ZZZ+_Ob>0tdhPO_;XoX-{XkTHNf4<fLmyqJ`i4>@hb?Pr7%6U6^~>{XcO}djEgj
zulY&3aPRd;N$ZdBdf(l4<!SwnOA~e+_}$CB<j~{$|NhO*6!jAG)tUJtBkAT5bzyC_
zFyA#Zf8;#!UNuSWTJZOa;M+Kt%>Lhge}D58|Nrk~b-Lz9h)%z$`S0DYWB&hdZnK~G
zdda1d<J;o3>ObGjKUz00F>U(HyJ<#eQ)Zqto1Cn6-Dlp+8sq9SZ%j9zKT~JSn#Ofj
zWTs`pvjsDq)A(j<ngu5<yW%r*rgGY;vofZ^iOVkeOr4o!eEf`zd2sTwYd&*l3PX>7
zD!$}1d1jiiZd%mYEi*ICi%<Eq&%B&wb+%;YOS8+#%dVf1G)_PJW#&us;&W#jW;z-_
zKO19UobqhZOz*U|voU7INoiNk%$TX2cI<47sd3`7Su;J2ub;hRP<;7}uJQJ>cMOZK
zpRqOme%5DZWx}(CGc%3*&)ArKK5{15`1~0g^UsIQcpDq1#m%hDebzfO(m4O@9^=pV
z&dixvX)J$6XGWyS=9FiPXQmp@KeJ{=r0M3gXPam0r|nzzu4U$1v9gF8nTmIv+FE19
z%A!wfnUJb@+o`WLhPzVilkS_18?GO+<NmEH5}~mrAmw30YqJ<%q(#oc8%~|ALENcg
zeGwYl3$8e|wt8`gimlR>*<5hPskb$XyHw0CV#W3g2@5YC^5pgvo29!YB4g`?w1syM
zX>!Yo`9;6jav^o$ZKwX$IPP?vIa@EJFT8)qlABqKO&4^}=b;I$-C}x?659%H9_nZX
zUDLTPLSlQt)k7_<*<xzCG22m(5c;ljXS+e_!^YNjv1Ph8n?Bq)B+TuvYqR;ookQH*
z`nqQ#DpDVAZ8aB5)7`W6!?{D%+{I$gbZa(1@AT{!o2FZ{X+u)t)kCvd&x`Gg_>q-(
z_fVO(^_n+ZCgrIfKBcF<du>Y8$xV}TRgZhEn`)!|GwfT$&Fs|qQ~!o>tr3aR+*EYn
zl%sb08kucDIZKatt(<D4?Y?G8v}M-PLtaa#YH42$>x;C^TYAiE?Nlpm^)*+bGdEny
zc)Db&n)cbSs5M)nGB;n!dpcz*pZ4;#NEdV(hn<6*AT(zxqjq!Hx#*n<sV7dkYENIo
z6TLGh^~k9eQw_Dl*Yrg1%t}3U%2a!D*t+PQiBFeJjSkC;-kJP#-PG!^chNI9eL8T;
z8FY7NWM$6N&Z*18=0#TKJ#C#jJuGd_o=u-_os!nx9Ck0NGX3e^sg~NG!|Wm=H*CsC
zJ$cGq`*fIHWaP$8S*d4FrEABpsoA<IFLigXtXbJD@I9W>d+(YV<)+*`v29|q@^!a)
zy*1L+Id8UZJb$cCn$?UeSLCKe!s7+K&SreKHMRvNExzJ5vsc;dRIbd{z{JIu+@|(s
zNgvOV*&dj@_?p|?USYFWxh6L<wiI4+o7|fwt!oyQyX9ua_QF$c?Y)=Hta3|kzSwp#
zdGYmQlG5q9UqB~7oIBRg>nIJnpwlqr@uFUDv$ourZH7t7SB}l-)iyhp8?)6g@$sx)
zPwDHqcQzDWKBg<ZJ@?MW!t2LurN8I;+^k4=ys$SDd<wzGBgcZJ&*#`||9I$_x3sZY
z+|7#I$GyFg()qc2Hh;W#Y))^bw0w@vjfgE9QywqwO_iRXv*t#`){SY8H}~qB?YkAR
zedFD8eAd=)m)t(NVe{#8dFc0S+LnF0bu&GE|6C^P*0QcQG8>97oKv)Je=BlZb7OGU
z@-ycIt)G_l-CDT;biJnE*15@LeYaO`49;GD?i{D}*D|R$Cbujz!MAFPfiKhCa@#U>
z`QdY!)^p2ty(zi<a^vOf=RI>bgD=yxOiw>>Zo%BoWqLOxHx*wzr)qr|bOgah<E->E
z=R~a^m#w=sb3^gjb4%vFF3Y>IGxPbhxwp&S-PoD^eBRvOWy{{!Z1{ZP+?KhZBM2&!
zp0AvnUN-M`W#aRtb0w|UzuB|x^RaVl=58;$ce64deDfxMnb;ehTalYSUp%*Iu0Qm`
z&CfT_iCf3NU32^9yM*@UxqO#oQ!H*gP1xS-!yd`EN%o1wiP8zr749FD1D`6e;Xd@d
z0zM0i7Y`;hALi?lonoO;8u08vM{^OoyKIQXijn}(p#+BP$7QcrtSAk5{-C9~ik+8F
zN_I{O{7`~izFV?$iY`2Su%+3LJr;TdLBX+uk?gg6zhvhW8$3w3aIlhHT!zPT$BPG(
zniJWl%l24Elo~vH(A8YX9xm%+vEy07rGusH<}!Cm3Qix)WiOYxQwqLUGnh}#qT)ru
zt%J(!{jxTNAFdoUW<M{xr{Ke-gFVgRd~wzlj}xvPjAnl?v!~qPdBVMe?CjipVzN4x
zKVBr<JgCmD%@-!S#`4FT2i?u<`L4<4ly5qG(og-RpV6E*MVoGWE}N{Qe$%gL&J(MX
z#gpEr^iSUF_s#O=`y~fF7fx1EXP+ZeQgq^EqWV!kuQ^k!G>eOlc<!9M*iUOth}FuX
zptnzEOn&T_HRp=e%HpC!o_i;=srUN%S!EVodb8xH=jzF3>Tmu0EMFEB-SS*MSx^10
zU)7v1R+f*StN|ZFaL!V)!05%3J(Icp+UCTR6rDV|Ve)A|w>dpllEp<wPwtq!+D~mx
zOtI0+C%Yy~`{h~feEMYDWNW{7R^UrBHP!j&*pwK(eF8dtV4g+gt0yxjKlh8XsC=1n
z?4-8(`nh`wjb1-lJGtENp7qRPqxVmmC$p+|`%RluQ?lvJlgX1s)mQsnn^RNv>FCLD
z_1AvecHelIw7k1STvD%W=Z&{YmtCiI=ZIVC6~#W;d7^yd@uQ#v1>TfHk1Al+<J)EN
z<k5!iBym+euh=O&Egmhpb2Ly~R8K25Wao;q!ZSw;#ZC3HVz2D9c(~}EYjd}oxOyz?
zJObC*-DTp-V@h^dyj^tJbvpF)fjNbR*Ieg!*NH!m;n^WkQh4tutGKhC-mV=_l5QML
z6<5}Ci|yID<5AL`qoLx$dh2%1C@H*qlvmt5_D)gZ?W3~d<*|2)3-2GD(#@_Xw`)e(
z#}h}B#r<P!N<W@CS}d*~v!}%H@uR8T*Y)o0m{I!i+EHuq_pxVo&M5zQ@Mu%FtGKtG
z-L8nTk0+0Ib%%;)>)Gx8@#xX&?o#pFci$9jzU;eeHjnx4J8#M+zf?QzyKT0N`Odsg
zcb@FN`51OvW<l_aWhc%unzP@PDJs6<yK%OV`O>^ocVr5K-#uF~o6CG^-l{txyDgtR
z+c8_pd~4pTJ97$x-!D7pyLk5Gyjgclc4rn|ezokZ@9x>bc~*DklwE$g?6mLp*>dK4
z^Um$Cgq%N6oX2*DXP4#sXHBye&BgD=6dAvHwrRGY`Qp4|cVY^S-#uG3o74RI9iLr0
z-#u%aJv%RN=gzm!`eyIWd$)7v`?OPMna%m{`s@ZDJfNO8Z&&5BXFF$$=f&-sS@`+X
z*=+OtJ7;!PzJ4}$_V>JJch2no`5^7!*+sKe&E@av?Ed*A?daK6vrWzC->oV9eD&<E
z*>B~_DsH?~yzAW79xGQ?ed5c6r;4|o``TmpE9E}fzWE3~OW?OH<dg!$m6<o3JKKZ!
zQ|0<9G`<&Hac*t*;t!Qu1-V1Bw>^r#RL-wr#rF#j7G6B;2|tS9!n1{U4{P$v%K25l
z_;TSX^x%PXn>k-EJYRVKuq8jU9GmT&?*#`BPiXI!)2o#DR&euhM?2_3%yktK-wUoD
zZfVb!Q?mtKs(IL#f4j|{Zw1E>$MS!-x%1uN>BGkMcDZG?HlIG+I4sQXZ)@}U!=1z2
z{Q9<MDk`2n+}ds~mu9=?>xXlPtNDxNp4rxXfZm?jFE`D$=F^5piB}KLYCkWxuj0q6
z#Jh(fcVtd_r+WCbp8oE=DOD#wO?s<(+<V=08~vYg-zsjtPMtsfZyeVikt)qkMF&nh
z>bLKa`4;qM=@IXh(~b1q_e`m_e6{qD_tNQF`d8!nDlOkFJ?6c3x|P2Ao-5UvA1=Lk
zx@5YV{@J*wJzJ_WKVN$Hbjox-{pEX0s$Rmb!ZeOM2RV9R&U8lo=D2g!J0GN;IPI!G
zeGgCd&Nrz?POq45s2{$kr+VkB)I+CD^(V)ztKRwW>9Xn3;7c=~uA5#R_pW;8r%wk?
zJL_MLldFW>d>J>dvhv;2*6GvZ()R56^y$`VY5mP{_o^zNKixasQvY+DT}9-FO)pYU
zo_5zi9cNb=`Ek>$)U&74_2c){eBJaeb$7q4UD+@2g^Sbs@7fvFru;ndZQ^6)>+bXV
zYvikIK*tCiuY(>?VDaGbf_`T^zTX<(0v|2D;y$xq+3r-W%-6t&i!ZrP?az`wUL*58
z@bThn?sNNv?OxTI{K)uHcnN+A!IqyH-wRK<xA$MRv#Krm2|07%xTJh~?U$b~z89W5
z-q7zT|GYNlgW;3Mi~7Co+G=CI89qwBa(qU=w%xJXn6HKpAJ6Ldl)qkk=R@J;<GS+O
zYwvt4ybiur(dTEygU1W|Gv)hhY`%Rway(f6e2vZbkB5$X%NyIp{j7NVxVJx2KEHO)
z=a2V}&*`s}m#@+J5%Fc?lgEquQ|0H^toae~b>p+goBQ?c_Wg?ZzVYsPK6~rGOMajH
zu=(`)Jo}k-oBpKyKKXI-YxVQ~`{vu$efxFudHVkOO!lpHU4LXg6kj;6Xy5)<<hSO>
z;8)AfoENlzTG#h$<%i&x%TM`lou6FS_j~2X;MdE~o#(XwS||0#<d@~k<tP0&&lj^_
zTX*Zvmfx07mmfZ#X+O7a*PoK#FF#&>{k&)XX2_`n&(jZ_Uoii3o!$@0PsJC{tJ)u~
z)B7#?(fC#Rne(FdkL%X`n)#vl?D-}0U)SaR*!lALwE4H|-u>A5`uV*1zw4I$vH9@%
z!uc)p-RtCjS3Y{aa(;T<yx)}%pD&#+X}|u@o^PLzonJG5d)>XCmG9Hfo!>K`zfSCr
z&acQ%pD&)@G~d52?9ZBCk)J=`JTGn^|98#rn{o;64RaYUF{iNH5KSm=IKvRhxQY1*
z%L(2I;tKW$=7CQT*kBJmn}CnSLgGO{!(qlQ<|!;1ya8elJQ_YRxHE^atl$X%9YC;=
z;W+aZmKD4K;tyOJelhSeN-@vjxgY{PfFPIg7V{jg3xW?y8qP7qLXR6LP&;swp_cI%
z^BisifdqpCKN-ZCcv!(VE<R+K&fLQy!D}G)z^maSLpZY!%MP&wqXS<V%$e@+6lfoK
z%TUgAhqplgz+Z-7Mmd%Wi3F<yiy8WvZ8$%e9N5foo_P<)2crW%4dIM&>=nWZW(RIF
zyl2|OZy=svcc7htn^BBehxLa<g5`nb4BCug%xhSG$UN|FSkHKkIfs9f@`-Z_FCC4V
z-f(TQ_DGwsM&YJoQPUH)liZW!Q~W3Fb^OM9Q+|npN8*G<h)WfZI(jutVbkO;Qt_yq
zu-H+nDTHk$SCH%z&@GEuO;^}fau+Fi)J|wq=ymjC%jCKwvqaS+d%`w_w~l_SFFA^=
zJklqugB}iG3A%Q1pTb|qbF7jaMiNhICU85pHO250X`U#UaN5zWsfSIHyGZp!#e~(4
zYE3cRMv~xb7xUP5iasfuVD0#hZKwE?x(O>4_?v8ajAWk#PB`v3kEK%TN#um*j&UrN
zk|}B@Rx7M;-ot4m{Umonx#K<dncPP5Pn;*TDs($eYpUVdB=aPA!c>LTj@O!M_&%wg
zxUTTpaa;Qh!KCz#F9MQ^Wvw@4m5g1&I^GCaDi%3EX+6QeQT+(?iamiv1}=de%!+(%
z79x)dIvxqADtb9jX|)hqWOL-AfT*IDb4cq7zCzHRe^bRQ=PRuif}m^kI*tjbJDap-
z@Ld#IWa<*#@l9a4Q%Q@3>>^{A@Q!x^wu)8Gb2tmlT;e<a2|Rb=X_4Ro-N7f|tf<$v
zLnO)K$WsAjMeyx+LP<79t_lb%u4|paQ)qjnSHRr)4p*V|k+}lp&Ud&A?T>_Xuq(>7
z&EWf}apbXpzmpB`N1Y>|1@xWv@E8g|3hlVAc&}v!??=d~0B2ff@PAZ<UX^Fp7Qy#X
z^N3f+Re@|pyY?SKkFq<y3P3Kz^QoHAW3c<s8@|bsYT7<!Gv*lVO#F1{N&8LVwEP*h
ziQn2aIf5mYX`E>^U_UIwRczr?IAfB*(!^7TWH^K6o@LDFGMJjU>QG3#rP#BI8M6$w
zCcZi}ha*^inW9hfjLC_!4w<xPa$c5Nrt4EZBRJ9O&>TLbd+*M*SV}L`_o<&zoXB>F
zr_ECSnbVAg2I7ZfxQu0<70sAvusHG9p%_kMxo253It{KL@@d;C_sng^?8Lm*ouC`?
zb|=1T-6@}@b*9;X|FBPcrO30w8S07i+A77KRn8DkjBA_8`C04CYlHklXWA;IpT*Aj
zp7`w0nf9LoX^Ll(W-K+3KdjUKQzT9GOxBF82J;WsaDFyDQ#IqQV42GeNk!20c(H<I
z?k9RCh$>n;`L*2Ps1*F9{HAk*`JsIrzm-K?G<pI=9y+u%3-Y;I$UrZ{OBL*M(daKQ
zadK@r#StpF3cTIl$+zVeN2#En3*-*GLnk?W1!pO5amnbtAhyu<&`J(jK|l8wJr_h5
zT08l-+~Y`Bn$vqhe4+iJogB=9Y|7vR0Rmb;2Led+6<8kfXt~IdEV#}^qQAiOkV{Lp
zpqg?_e}VF$vmD!%?(`L?AG*u&UFlB0f#^fWmUh8q$~IjeAh+V#bbqip)Xkv}x*kvT
zVQGuGV4CtC$bkUGg3pv|IyML-8XgL2=@*=)T+_8dDADv#RLgn6eJ($w5^WEG_V~Z)
znIxyGd}^J>?!{;q<9&0vDV-WW<!>O@A`v&ut|Em~2Q}Ik$@B%uELHK!oU%#7ebE$m
zODX7mcvl1aTrK66s(Iy3*`=Yr=!$!0$0doUDN{h#;6*J0-F|mT?rF%BK8@vzOWa;|
zU6Ng@?v+2qIPjd?%kE3^Ph+MuYBUF)bKfbDs&VS5#`Hxz?mJ~tRZeA0*{Bh|sK<S$
zRI1Xcts0X9*SYT$e3~{TIxx?Dr|{FfDb<1R+-G)uQaE*3<8q*!Yo*Ln&ne3T=ebtO
zJ$0QjJuq$2o~}<;r)Fzx4!q}9DgLx}3h1glJD13gO%kb^r;cl!4zzQH-jMfPBYsg$
z?<Tp_>K<9cvSS|o69tpQd+r(<C8r!c(Kk_8+1xFz=Z{2n(wp9m`p5oBuo`kDiyXBO
zc%0DVY{++9qc2csv58w`kFw#ZWSQPT!No>yp*^o8Ks)^dg%_K-#r6mrzDhPZlF?IW
z<QClXOhVT%DtXJ%jQ&C`H}{^)hE~ZXM_=?^6kcq8Y^Fqd@|UA8`U~}rIrJQqc%B^7
zVJHGV3!p7Irq56)8FT@jw&Ahlm|jD{$5A~eC9Wsm=_oWlwpL<$@}15?^J9A@z9;(}
ztq^#e*z;1NKgp)=qsp<%66cd_`adciJ1t>s7<aTn_OWlzP1sogF+D#e<dbwDx8)`G
zJe8QAwB|@e??$o5#Xb6l`;JBQZ-m^5x8(TAj?LQV-dW5n-1I2r_{q-6((3wtb#rVB
zza6_No?btv$)dHe>yb=HvB9~87VVEkj%#)XOD)$qH__s0Vc)Tp9l?^zwfsuwBp3D_
zU)dQfy<G2Hr^VMosYfQqEG3s~`W4TaX0f*L)}t-QEk&0rpL>aPdmZ=yfM1Wk9Jdrt
zS2&k2=X0Un5y`G%!*fe54j1Yjm+Ul_O4m6z)#7pCx??jtignMW%z0gycVwsJ^Dyu|
zcRQt@$Iba&xa^Tl$7h3cC3D;h<&IYhJ<ptzUO4Y~rQq|_IWsNRKibpxS?yfTob848
zj#kR2>z%8a!(S-&Nat8&*Js0XMRWWM!yc_U7TNvT^4xTb_{VFG-<+4=-ZYo<l5mR3
zjj0LcO=nmlIX4MEQ90o|VY-6-!Fepz!f(7c*gHBl9b$3i<WsSj@gSh-FlU$W6cr8M
zfN2jrnm)0(3x}wz@Clf@zzV#{|BA{A-+<{4T$+Bd@N!BC&+)l1Wr3xmchfbNT+Um<
zbG$B0d{EMKjwP0Jm+%*r7rq5*2XC^}a{dyY<83e@!QdeH7y#8Bpkn|YvP>85QIYU9
znD)S{=_5<Hu#d`)X$eLLzp|JM-SH{VKKPcUT<DH(f&Rh2EWw;|Dit#ltPU<_=@+)~
z{9tl$Gs}75Jsuy74*E2ObH=GxOinO^9s^)7J;Cl^I}10bn6QrOj~NM;2bZ&GbA}18
zQT;LJfp^n-&TGP;o&6`zsl4<wn)JqNleK5sq%|rxJ&PtiQ9J29X?}|Tq`jWsRBz5-
zqTrc0X^{&1BpII~jgt>mj(U1cnxdxZU8Le!Icc${)}#=%m0m$}pF~W0?3p#`irPx=
zA|=n-No^{<o_=bXUYF)9QT5E8v`yu$r=RLek0LA2^hxVf?s`^D`l1Hj`@c`+uje^c
zNe`nLPiiJ{d$vuA@hQ?gSup9er`x0+HA(Lx)sq#IR(q;Vit#p@`J`%+v}c~$&Z$qz
zCRuyFQ`<THN!_HCD*Tgde2nHk37mA?bDm1&tS6C^o_ofrRL)FMJGokA{p3BKMzf#f
zPAd1jr#{o$X#NxDNv$f~p3^4P_-vZ<BzV$PmDQftCe`?TQayQH<+bOw<r|fI=VmTh
zK5^o)btYxYC(d*Wle(GtWXZ(YZgEmSGv9b7=S%&*bYs4oqf~3A$kIZE-sVghug3*a
zM>D4^37q8?Ddm1i!~b!HlxSwivcRcsrBcT)S<G|umD-wlWoe;O?=KUnOBp_oQ>1(|
zO_pDr<d!X!o4I9qp;m97iQn>zGu^_a?q+^ja&h*tnI`9!U7YS#FZKMAgh#SL@8`@I
zk7SMB?#vjkWQ*R@nLSGkXB~@>3cvJk{<m{qR<6>uef%=y`L(@eOFy3u@%-2Hhjrzt
z={26=c2At`mYn8aDffPV!MCXF^;^>gMV<??3W^pwxUjg-c`nE<bCA=~#lg{m#YN;~
z-0zs&^|$6$|6aDsdg{7QYtK%6e6u3r{pWdKuY9)YK5aRxIOb%b>G7>H*Ng9*C^UBW
z?z<+lz39%lLiOW!Wxf|}dAei%Vq16jK6Xp1Vw*D;r#=qsdnaRSSygOv?xTu(eBVDA
z|6-me5@!sjJdW&pD09BJ=ZVBw!)cFQ`##F(7yCS^nEJT1@1#tAk<Zf^4+9<;TwZu#
z;fcg)hjZGK+AaBtWuIA|DUW!t;X>lX!%6L`eBQFtEOp8?3L_qFxU}%f!ZV3eop&D2
zYUgS<<;#}6W*K6&rhG-wjRzYpCQd$_)}Ga_%V)|LEt@5~&GL$6PWg)RjDi~vH(XAf
zemJk)make?O7@%On{tZ>1s5JpIGot7%;zrKXDL%|@vz|1!zqU|+l~3sWzSj8DbFa{
z@u1-1!%2rz+tb>$`NCz_S;my_cvx_G;n9UxA5J@*+iuNQF8j`Mi{+j2jPe%+6%Q`F
z{BU96>4y`Yw>$ScuRomJuFhA>_m|IKmQ99DwoPW9C6BdDxkRBvsYG$bLxXo8E+rm%
zIQ4LLyJx#OUof9B3v1KNCMU;<3DXj`Er>91HwZWIH;CWhupwZB$A*XvE*nBN_-u#?
zP>N8D(9{r}!WqVSigh)sXp?Ev)~3=XuY*;NQxlpK7AH(j*t{TWgKL2D4XqWTIl@;s
zw{gE><!zE}n%flHbhpX2X>XI;LA!%>2N@4K9#lM-cu??Q;6cNKg$FqgdLGm~n0Zk0
zVB|r|gO!exA2dB!^kCA1O$#CmTnj=Ad<$X=oC|_4C}oJ=5xOIGNAQm59pNpU@3?QV
z$~Lh#`5kn2oc>_qg6Ip%FGOv`Yy`h>{$llKWo~jjIN`y}goqC@21*j5J)ChI$5{1Q
zg`2{gt~Yrels-5mp>2tSQE-rk=A<)|&P)^We&+Ga>zU^>Z!r%sFELLs?`a;>yry|h
z^Y&8FR?${nI?3pymgmlttxKFXxoryD<QJqFslRek&V-yPIg@gxg?MlC$Wn<`-8w0E
zlGVvF&%H|=H#u(#-sHV0dXxL6@Jkvu)o<!sDp+b*s#xk+Dp_h-s#)q;Dq3n<s#@w=
zDqCt>s$1%3PKucjGbLtH%rq14bsp=y)_Jb;&hyCgic(oSDSFcFN!F8gPpY0|cCyxU
z_Y&t%4wp15HC|4#nN;FkrLuR@@k#2F>^%2BS()Nsq#-%UW-^cWJa0FZ#ghzAa-ZDs
zWNC`iC$CRYMw*q%Gbf!15Yb4};u3Z3itM`Cm2_0*Xv|TYqcumFjyfGxI+}D;=xESU
zqoYNxok^`pOBaO%E{j;I5xyoYMB|#qHLYu!TB5H-L%Vppdb@nP&UR%T6?5%PTD>T2
zqi^8y8|zktn`o42lxdY|mT7;}_@*T#`dj3;D7y%|XuC+esJn=}=y8$bqUs{*qRT~=
zi>8aDi#`{5F6!IG-PPUY-F3Pv?WpL{(4(eDOONs%^>yuD6k6zA7+vUI7+&aqaoLXb
z8Q~=wavD~m{Gzd4?p=AV{fojsMtpR+xco)9O(>5Bo2YYFdROAn;-ei&A%@E;7D|Ng
z3Gva0(~Q$r6U`S1?vm7F)iaLeitUO?+j*u;vux&LqwA^jPS;Fl)#Hv8+o@9)`DoLX
z)S0J?rVC9M)zgmkiaiw*wsXqvHDxOcA|G$MmO9sa>FKKJTGM&;q+`u?=9H~0yZI>S
z@usUwucglRo_o4%dewBc>9Ts(v1L2ol-+#1>H5-(sq;_QO=s3)kLBAbQ)c<7=*rU>
zrwgYG>#4{3?VM9)`MBuX(>bRrr>jlp))SA_+Zj`qS+?_0(bcE3PM1!X)-#WdiiwKN
z+j*yK=i{R5Pv?2hK3zM#Y&zd`cD=cJ{IR=Y<aU<q{<7oCE}OE-N0**odjIK4>Veb?
zsVAP!JiXy`@pQrIfzu7Ai|aks(~nh)iQBoSY-UO2W22`=&cO?2uGG9Dl9`s7mfdAq
zY*K9c+2pe+w+Xjtw@J6Dw~4puX_M2Ys<T9AO`R3wJ2P$SvY5?L!3!f7N3M=szVgbN
z>miwD8D?2#nP%CmOruRqXRVzTb=J&xZrbi;ahDg|TzGTk&80Wj-duchb>@{fm)~5M
zxgc{z=90`cnTs-4WiHEHpL1c(l{uH@T$^)o&eb`W=Ug|*)XUJz(#zD#o@E+t5^j3k
z<hrT3iMgrntgy4XXLHZWo{c?g>pT0|zGbnO7gjEKdBx^RNv2%pE>qiCac7gy3j5Aq
z7XLZKc!A^<p3HffZKmf<m1hN>o$;(SE#`BO@xscbm1}2S*>lk+Gfjev$C$UZP3f@C
z;T-3UiG7Ld66YoEOKePBm^d+UV`69G%EXz8I~N|=aA?D&fGiE;HAYjU!=$x%vU#$3
zU-L|D``V^;nC-CJVYS0)&RY|^7arSiH6Z&&+6v<w^DEL)Jk~tcyt{dJ^H%dz^Y*sY
zw*75mZfkCHZadtj+_tzax$SYAaNFdz;I_+c#%-J1irYT7sU2oL?0Q)BaH{j>hg}a>
zJ)HG$*Tc4l%N8EJkhLRqNA8Z~9oak5GmP(;Z;`g*;pds#*50OfSlM~|!s8dRD{?B5
zUKoFoo+rh|)7++b*!b{<hdUFG7-UHp?=k3+j+0X3S<kbccXFF~o91Djey0AJa;<W%
za+__^szs{Le4O#x@O<*V<4pana@{s!)jA&|UTr*+ywkn&I9LBvxutTca;t4l*@jhz
zRIK?J@p|LA#g~%zx=%gM*54~P+s3Rqq&ny0ijOy51-{;RcJaC7-R^tcn~(GL&z0M4
zQ&#=v<BiuBUu-;|y#F|3f3sY>4PUj)M~hd5XCCi3&e=a%Zn=$L^_-6uuM5vT-s3*`
zIBS2m+;khgYLn`ik2_u!o_)OQIB)-KIo*2`-~0cb?bGr<x9R@B#{JLV|6r^?8~<_o
zf%p&3QMP%NcfRd-TzLKQJonkhYx~Rk`TE)A=F0Kg?y`}qEUErd@ukY<Tg9V`&o93J
zcqREj@`dCRk7pj=aJ;x*us^WhuwPv6v7ElGnoV5go^Lb0R6I6(YIu10f%Ikm3;ida
z*O`CQ?x<aH&9h%;KF@r=`9S)@^GfrN+IiPZ`=#?a@?G$w&4<#L`mgk#d0uON(fq4+
zqITIe*M6<}yz)!r`{1XW52i0ZuQvaz-Br8jnyi{_zpnhs`MmP;&3D1?FF)9PIDPqf
zz4>?Ts%yUeGWqr9^Ue3g2c9oDuQ>m(oqJ8+FPYDl?}`sSUvge^{$;!LnsdMAe71al
z`APA?=Zns(&OdE;)-Jqe-LII>JKq%_e!k4V+kf?W-TAle%4^>Jy7M{n^Un8|-(7zA
z`M~na&lmbnKd(GLZ~n_a%J1h-`n3L!@c%#W=1keXe951V|7Q+;t}6{Vzdt?w`=8Ud
zH-}l-?XCNFJYx?(Uqu%O=ZBXcUw*B8^ZCf~^ULo)UwOXa{DSin&Ku89od4WT*>162
zvYmd-vD&y_K0o$+s(fqw^7FCuQ|WFFjt9;(9BNq95Xrcixr_N3%NgDo;s*8!jt34k
zxH5J#Ph-*HjS$;lvp^-m^}wlyOAVVELK&Yju4dL^y2i4GH$pr>a)aFhtpw)-#~OSY
zXEU#2-o|o;C5Lwf?+vj4@eQ^M>=q~{xF0yz5X-om`5Vg{-VB}_;v4KAI2<_G;LO<0
z+{YrrYav!(^T6f6$%bIY<;>?;=I~mG7uYS(eBgB8XoEN7bmm#i>sVrVcZe0(K5#p5
zwqaVswT5WM?ac33?(psqzaUm%zrc2Z@&iYQ^aF<*)-|{@-et69+{@U{JdfoI>lbz#
zUI`8f9to}rF$0+oHVG;TCJ8zlINQ26865nh=|6jax-;AMl*VS!$U_OaVlENWTZPP0
zBwMXF?>Qu2TUzAx_;<U*)#v<ew`M#Q3`@P)GWGuNgIn}Bv8L%dyVn1nntH-&N*qfM
z`yQSd92KG;tP+eK_#Oy3&@-Xw0++dXiqg56imi&SikqF%+QZiDR1c02Yd^y|Q`$&>
z%dFEs7m8NhJ9*`R?fxy3?i})&7yV)B)K_7tS?5mq+}vqa`}E7#%g@_&_#%ZinWRLX
z_%vbC1W`q8XD{bdm#SN*w6Eb?$q^~M$t)$-BlX0u39BaXDoQ(>wdU}x<hv;pB)rLV
ziCIdtN9>7j6Mju-n;@%beOcZprToOa340ZL6@NQ@YnS1$lqk}8QgCA8gvE--o%-76
za9B!4`F>tG;j&`7)48@e+?Mi}M2ZxjB%N3~;k4pe#c-$WXFH{ebe~k6=$$Zoq3Lat
z)V}HO&iuVJ)iTkm-pf1Vti9??!4(F@0-}Ge?CM-r=ahY7?S$Kk<(Hz{?{H^w@07nJ
zcS-P*!V=>ri5}r67EgFLVcvv$6OJqTJN<I{<vg#Ar-i4Dr`3jAlCM(ENXSUaNbHl+
zmagX?FYM~cG8PW>P19I)ddZosGuP}?yX-u@_T=dcT1&!Hyq+$enf0?^S%TcDC%2eq
zmh85_T;t!ehpST7Nb-|fidKr7i{p_q9fvv=bwmnoR_;=M=5nTYhPa`9lH-v>9j=1i
z%F|qQdLzU(+ALB@a!rxdJZiuB*?j&#Hu4p*pT13vomu-dByCUSeGlVlFK6(l-fL!_
z$^Y<!d)-w2H!|;Kq<+^W#;VFoxY`x4KjpPAHv0Sbo6nB@x|fe^Px<xZ^2`nYo>bp!
zXgeeQVc(tq$=4m@ru_f){8~of+@Bg&M)uCdX)QaZ%v93*bI8i!UP|7C=k}Y=P1tuv
zb(7}iteg`za;J^<AD?vQ_eSH-Y-@TndNsNuL<8kES}jsa@;!2^qf~IJ;A`boN@gxO
zJvT%)S}w9m@^<k(a;@W5N3LM0;BDns%2G;YE^m6)yxL`bd3t$j)aP?POX^I8*WCYq
zDeGW--N|()v^JN!zv;XoeNkegep3CB#*Sveb|pS{nNAC-$n5*p`j6P{_MSY$_~V>m
zh0d>8oFACw1Mf+Nd?<dM5%pEL&wWmZg=C@DqmmTYxBC13Ocj5}Tz#8;-myvRcWa-n
zJ2bOn^TfMr=XSr@rhAw3NxAZK<ylI4E-^hjL<%hzSw8YQa<wB{@cQNdvA>Mnx43L^
zyVJEpworYOb^C6??@G6n-nnmaFLANyc+pcKaZ&W6#v<!Q>Wj=D6&{(~v9IG_$MT7H
z|87gOe&T&|-cG&6DppffS<`k+^R1X>$lWY?`oaW3)!WABlA_(3Oj1*h@9g=pV@6<|
zujJoyjm58`jHab)CD*7Ke@ibtc4f_}h}l7@JC}!LR_<NYvqkFUiNz0lJftQ~yZhqw
zjK1TNuMGtvzZJW?xm-)*N%K9H7RJq{+@>_omB)RWP_3MykfD^J*hi(msjho^W^`1D
zezZz5dgOZ~Bt`S+x}G?l(;k;r^%$%bIn$xpF;g;FB3Q&&JFU#8@XVYUI}JZ4Jv*+`
z5h<}*W1GsGM>A9ny_2UM)#=yljGXwd)+f^^^319kpJrS&6gA9Fx^`?$_sX6~`C!q_
zifPGbmd!Y8c-1gEDJyB)u`9=Nx>t7Jlna)>EU;NQE&a^88<!a6-&}g0d0OYm$);8P
z-!8r1c6@j8w<B+QGkb1|Z?=EtaOU6)XT$d7z9TZdmSV*=|D>7=l%A!WSvljfVS3WJ
zV{^JK<u8jAD?Up)vvkI3!?T9rN$ZZqbnlcaR(_V|<L#4uX6=mIhUH1`j@{|b?A|GV
zS?;pnXN6_P&k}vY&n%wtZpOSB_huY7^iTSg^ecJZF`gql$9Rs~bW8SC${7n8OBsuO
zR!XyZmU_nJ%<37}4JRAsCoM}#QxQ=)<D=oD;WcBbf%QU*M889KS}Hj|3wv|wdPL0F
zppjU3Xj02k&eKBE)TgMd@raliFe_l%2CaoQiKR}iN#*-rnicsTx^?8;_j760`-N||
z6~rcOKW=+d?#4SO;cMz4syQAvW^B+*EOshAG_7S;%UaH@oVSHu32jrqqHdz{#^c7!
z4cdw2hvv2H<?QABE%Z%Y#=~MpfyTpvLlavTcP_Q*Q<d?zm{?%+Fyzq77GutIVehV|
zp1X=qUSF~LbLY}CUPh<ZX#VW_eCquHeU%s=9b5A`AMM@;TUI`M7nC$}_vw?@PwaSh
zM5rTqmiEivGYfP#9&v1cSaqnkWp?LN(<q@R;XKtlemf=?nD5PZiazxB$?CV|)lTl8
zwE3PoCP~gb*V4`z%h@mFU4La}3A6t4+Ha3*W|WpY`G4v?wC4EzXOZ>o2Cx74+DoR{
z&fEJfpJ#L4pQKy!|Ni(cm3DWw-kC3Vy<T{~@UNI<Fu`Dk!4$nkEe~6sb1HK#=1k_)
z7dj>!r*>cOOqJ5ZP>^x)>ON{_<?nqDg@AbJOMYL!xIOb4>&xbunWD==r-a!_mxukj
z8uIJ5xBk)0v!2=OY7dn>TPiLsIx9|W$yS54H8uB}@`ZEvYWz*_z8p2_+NCYimTt9K
zJ84VUlC4H-FKsz>DQaq1Wz_1+t30xOqp~kYO%F?rdVP7-|EfRt-rWzqQyN<Jo;Nes
zCp$Q*eEDX}y<2>jTkYNQ-|)+?+!tS`eR;dW^YwxM_iw!JzCYhgmwDZLiE7)42mSv?
zpO8E^L5<&7GwMc}?3n~b)|T>E`wy>+*XOd|_S+_FYk&W~=8g!B4I2vH2fw_&P5E-(
zCf}^tUmr8%++MWJHn)5E+;r<JWvkv+-G2LZe<<I!w;H#Tx7}y^&+nFa`|17P`=_<W
zemuHG{#p3t_uAamKdzrS@TcO>6CNM&51Vr~EzREX>vnqL^3QLtrzSo>o{+!o(*5`!
z`xUuwuluq^{7uZ}&u9IPZv1n?TYT?ZHtT20(&r|$FMi8rt@Ad+=k~F0H*Yikh*n9y
z9k$`{Ili4WersiOe=dl!evuP@v++mSftz`|X69Sot}Xj_Q|-5f`fa~c{mq-+%KR<3
z_wHZX$F1+1vOiD0(6_z(=T`Z?{pFI|wuReECf)vX{LCAF)f@MISEX&6J55)f_2#!f
z4_niseST{kFmv7ZNq^bjqt!3ISo@!?n{)7N1)FWMBu{V31d}-jF9)!NGE3%sP1o4=
z)i&W5?~0O#!7Fc8zBqaKviioCu~}tYKJqnE|1C^!FS_)5Ldx?kwUg6RUQ9WE?w`P<
zPx1$Jm3*H~Jk1nbcQ3l))Z$Ana;%>oOle>G$-gxt`b%ZEkJwTjrrM4Gv6(tfdtKGI
z-QO>q?7FO9d8@8bB+svu72on1eJWWh+5PVe&iFTD-QL%`mu5$6Y}hf?ec3nr7d3C?
z_n*G5_E+TVyGGmfmAAH>-`sBhtN-Oo;pO{(-%G!|FTcOQZ-1`-{y*FQ&-(Xs%lS|2
zmi6B%Zy!8%(f<GC^Z%c0KF@yZQ~cBaHQ#<-3HkM7KVyJ5Gm8iV0|N(xdq+%|+O1u)
z4l^?_2w5;N@G>wkgya|a=BJeAr0SKYq}`3Wn0MQNr{@0k8;e|T{hEDkW5eDx2iV^H
zE_Yw<d2#CvEscqyDvU`pmHLG|=cfF>SNHGNzfa1&_d|4FwfSE${dxbWi@5F4oXM=R
zrH8j>9s57I&+K8`HQjY)3sjw6?f<R9E6{dOMUj_z-}kM0J2-ym7^!wH(luD&cR+CR
z3=iesW*7cxnA|&3x1e_7jZ^#j>nGnd?=bonbtCTE8}Hmld0R6k_jsz!ynU13bK10T
ztcC55E}!{#WLNUrH}2Q&Zk$`|^8ZfSKmQ*bb%9Q21ZML@%<6I3ccoEHyFv4q<EkqT
zTRMH?H^ohDoN{CRc}K5+E2)aD99o|y1hIUb;i1_S6|>|>ovm3=(q1)r&5iNXCr(jb
zan$pa;FToRryN-yCl#@5o#AQO6m@3_%fYobJUOTB`m==LV0By5?TezUT8B<a#`3OO
z-hZ|2e%88MDz&Z_>kOvUeyD8!q@7}Jq?I8NC+L`K;aHe9Cm?ZAoPzwrt6di=CoTWc
zQ+Lx=RBw&f>aO^fdzTm5d^|WWRwZeT<?_A1H}9C_{?Yvfv(<OG^m&FN#e&To(P>gI
z?ie3(+EV(Cb@#ko-;-RJ6(@O@zwOkXx8WGesz;kT>*rb4{@&W{WcvDZ&%4RGbE{qK
zTDaaiNpgvo$W`(db9;uIzsH!+BDMICw(gr-izj-?X586*;K-y$pC3+izF4Dn+Hdhx
z-Rjr_eQQ5QCf-f^*ReX*=GKkgbfehnXNzt-rwZuLNiW|om6{{?DY|}7R`GAk@ACp*
zU3HRg;12AlzT!SpvgGE@yBD_0TWRNimx~p@_AKdnFtho_4N211uRmCB%O9}&|I@dR
zQ)X5@Ev`3ejoJG~#(Dnk@9z#*zPYQtd(P@#XI5U^UGdB}hV_r%eLEqIS?LbavAHj9
z%ZJz4uB`CUGZhxQlDxhnZ;#dck9Rp*pYO9ZIkc>QZo2qug(;i+O7<6<xJ8-Go9f)^
zE^WR4w)Y{yb<6>7H~Ecw!+)G-w4795J@?0%)vs55Yjpo!A(yeoT1d?5(3i4xxrQeN
z%p_G?8k1kO>zBK1=D)$GQCl>tv~uyQy@J=)@7LM<G28g~iIi6%PXbFP{PbCCQsPr%
zw^nri<`Ac^&kp{6IH7k(%G=Xlzr~eq*x5AOZt=?I$sgGkKmSy?qvuBQ`bSx@?BZde
zF7wtqxS!^{?-=vZ@#4j2B_FR|GIlPXcSf{s?e{hB%`Eua-m&>_ey#BM=F(XoJ+EvL
z7P5{zd;5O2Qn%SWWdVknlj3%qmKCVhUMcy-*z;%C?92xz-7G%VUcdhR*S6_%4!w}v
z>++KsHMf6bJ5z9ofq}t>k%55^CAVkhB^TxTIr<%T;Mwz;>wci}?aXZ#v;(ikd4!&_
z+|<MwW-gt%TUTg(x-!S~M-y{1O_I-FWaF-l_MTK`e5_1-*NWygmAc2r&#!jV3OiG9
zE#uK!?@7Ihd#Bx~y%E|N*6^T+`FUaYr}E44hxxv>FRQDydN(V5L6djFl6KZztiG@Q
zzg0E4SjGJ)eou+|gF_#cb+wPL`R)<i7r8<9@9lmL?m61s0$LX8FTb|UH*8I5iMs#v
z(Pg{)7b<qNq%=Qk-!g}%<?5TAzu8cI>o|q|MFOa#V`N~EMD}fbW<W+|v425{UQud6
zPGaR_uE(1=PV0F4oDA16HZm}}WE|wNDrAL+*4Z<jfhW!f7igaf`QWb?XkZd(V6f3>
z>lP!^zz?OIfeb4}Cvj-{h8B4H1{rJ$FfiF}WU$G|gzcn+wn0JQ%@|>+nb};X;tZ(H
znin`NMw@|w!HR)_fgjmfdMRlYDM^nX+ZLz2wGA|5V`JlFV`Wq0b2IDPy?cB8Q`XJ*
z?zV3H_rHB(S^a&sG)6RYB|;Y}3qs9AH8(jY8)R<n_dFzX(R8otx%7gSgMlGUje$WJ
z*;VnWiA6b;dKD?jOQUWVJ@OE$eZT(1i<|7#OvxXXrcbM#)S96!#;n+xXRW3t(i-Bh
zwbd(FHF2}-=l7E%nQA)so_SWXBUfPQslzKzO;+b#v*z-t7hbcf*bAR5UtA!w<Y9_Q
z>-(EQqD2M!u1QzMNhXGt?GySjqpmc3lg;*BhBLQh{*MWL9{F*G-OBo<d#AhKeQv}2
zIPGQG`H#>4?+$+2Y?m?F+n8fd#vcYV=LMCkyrTKFzUpxAudrF3o-j{4_|cQ*{b%ji
zCnrk$UCFWkuzqB6kIrk8>0xV6#oOPwUK24nal_KK_g~jdYL4W1x3}iMELZ5MgOT!2
zikJG@&hSxZjMzA}xxi?)dcu+u?12w>|A)7vSjjFwtiZLO<?t2x|HgAG${l(BI_5W=
zKjb<8Ur*k$&nXQjUj8pyINQp0U+=*OZzE)GTJ!i8ru?_(&t1ON`gL2#sz2tJm;e1<
zzLVj7UX{4U+r#?WHPdJ6aB=60y{g%K(ro34?RkR#t?zEMpR1_--Fg4gz4tfOsq9_)
z%YW0k&XR*&U!1Mk_uR~!^I`g)4|4*-_XHG7n%lH~_1wVKrM%*M@0~AbtN%5<V12=(
z=X@=x*I3tU9N^t(F@I{B_GdlzXQupW>Q~g`G(4`I+SRFWIaRk{`sL=OrwV5Xv33}-
zHziG3(|VIp(NH>2JGLm{-;tJ;)APeS5)=!1;!dxbW@(~&O7G0>jn%!(Z`0LGLazyJ
zog?A6Z`0#<N*%l6l(nP+MLISgWMmJK>8MY4(m66YHTOYXzxYJaZuKel2Ml`tZWO=A
zDyXd!ZW{aS$*Hu~0O_by?xn|4k7pW3M!ht1SeIH|nd;(M-L&;x?XoR$E^DqeNDCU|
zE<L!UY(w^9G2T?ZcL6__yebuMdhQd(acPr5k?GB+Cnh@`GI%7`%5J>dMQZz^fS(h6
zQgfTvKd`>}l1<D;YGzf<6uGF2%G1whUCt>uBz2tW(2W(dUc|g{2oXIb*6p+@plGSg
z<{Ad|zrh}P%@z&T`i}FT^(KE%sxsp_@cU6~^7}NI1Md252LCwjrJOi);_AosiACJ^
zDtMIh?ff3`F*f`zknwx>(5$0rc6+kb@w_|X`dxcGh1d+a)x)oFPP2DSp4W1j^AOLw
z^gw~f%^&j2_?i>hmkA!}oPK6<=9h-)>i;V2c+}@dYV6!q*Q~5|ndR8S*cmL&CC}IY
zXnM&JApAGF=G9$=qnwXi9@ightT?E{;&Dd9DXM9A!X%4JZiz;YYKblVdrvZ-*`vYv
z_vel71hf9M%N9S_w>QNXXrDNF^rhYLgX>sl*$2I8Jrb$e`S3}m?)TO^J5`i7N&U8&
z!W3K{;jq)xeQM;zC#zx{HN#sEoimv%+jQxcypDeL>BjvgyG-|{9DF=Y`Vn{Ln)2lh
zdKV9BZrRFWATGUe(v!z8UM*HCPGQImPL9y3Jg95#Ce~E4>)MLvZjnlxQ~l+|cDSr=
z%i~+2B{X?j`-JG+zSLcxv-a}WJUgXewf)%_k;)wX4FW~ly=zn27-a3PYhFlpX}J^m
zGg|rfwTEvnZDuGsedZ*0p>CJzq7|;~I>&;po_OQ+`j4lmZeG%)f`ZSj(W&=T+jFOR
zn<QIW8GNz7QEBE{dqU!3-pSUByirzSUU^d$-J3HP@RT(j3Me|gR>x6Suwa{A+P2L5
z=Y-GO#T9Y$?91BtIZDL(l;D}rmnPB=--y=gUYO#^qVq|2*O@sIN0+2EJ`tP2H8XIn
z$-Bdk_e!69by9EU(Pq)^XwE+zb0@#=Jve(|{3qM=#St7ktoFy(@=Q0E{N`}TBmCNJ
z!7aSEj_p~}#_Vf5?f23E*3+`FMN98>rAF`fyeFMie9G+hZN5WG_c*$S9=pnL$bI_;
zgQBBy%{!Fk(@kTJEw&NO(mbCNe6givet_bXn7obg%D%~tPfqQ<dowC8;Obwe1uU(J
z9MUtD8;>#uvY%4ZUgEIK)va>H2Ptml@YnIWnsc?}d^#>S#e}uq%l*Kn{{58BkyCP7
z!rZFiucN>JV9VYsW*YWw*?}~f_o`=hihSMWY~8$M`vZkNk6!K7+Hacn{KUqH_8x1q
z4CTkFk$F=uss?&?pD&XOk6CK3=)NtqvN`w2Ia!I6TXX-eT&pAS&Hes^XQyW@F<dIY
zC!G7&$1U7-$=SZ`VKem3x?NIAcD)o~eo@fA==XZ<ysZ}Bc-gl*2UXa7s=Zhca(usw
z{Pt;kmi3zyeDn=sk9fqDY_><{`;O)?v+6raNl#X3emlAA(hQ-cpZ8`he)TtWjZ!sV
z{ED>7e(f~D#G{YGnxB~UFg~y8ICOsdy9Sjn%;k$d7X|T@f3|3x&9F$};~`H2mi|=#
zbvvFm^x3{>Io7!J{Dy<xcN)W+J|x|HWZ*hq`1LIH`)dMXH2F@iI(RDd#hZy<Q!nj&
z>*Vy-=85m@3zs<Whl%iJEm*=|v_WICc(NJC^_a#m{RqJ)&3OvKOD8*)|9jBWbj!o)
zk6HEZ%dG{RYb~ef9NhWrROvGFkObAM+b&&mThPCa&tTSzwkREuETwJNM61|zY7YGI
z_&ICkjr97D^4!|t`~QAB(s^yiT{aU=o3$HLbItSBw8CSxmV`!bv3;WcP5SEHgH5L=
zf8vQ>lX{EEI{Px$uf2KeuV?RfaSV?7WyJXUw9s{%i_1OwI=@KQawUJe|GeNOOM2DJ
ztnf{5t2_2Pt-t;LYlKJTsq<V%g}?E)bAP!insxtP-PYFTC&K>U?z_yoTU97<ziqL~
z-r0Q2KVH>xx?O(p?rV9$xocihiJP{*epn~+^PBs%vR_A5%gX;lYc*VHidxCo&cHCc
zjS;Q;SX`1g=Pr9qNaVB!FN03Rs;Dpt1&OzuU*ofB8LwXK+b=o(srkF#zMQqz?DFQ#
zmOf!&VgBz@Qp1+7eD~_!Ou<k0qMuA)aq{5sR8VPQNNoAwSMh%Dce!u%=XXy$(Zck$
z?C-wMO6M%!?-M`ovZsP;)Bkt+|31C1`x9ROH$V8#p+j{an&o>ca(`WUX<S$S>dXDP
z{|+9>eE+}V)fajH>T;h8_v`-6_OJVR^@&2)<@)?zQ-1YKuDg8v-^c6o{r|jNe&277
zS@EMQGwtiXAC}*5UHoYO@5TG)Wz4FR`QmT?|J`T(x{s3=#Ml2lX+FRH*Yf4_%1u_+
z@3+djdg;Ud>KzXpoBy9b{^$4nzhC<QJzKp0-{$%Mo*v2EZ(hsx+4uK@^5y%!@4lSY
z6Eyj*y@c@_|NTGTPM?47*GvC@&-&AA|2;Lo-xriW>&^Xd=iF9pKhgK==l1xzFR$O%
zeY<Hszt;Nod7G^hv$(bvWYvE*T6wkKqwmL;)%yE>{F!}z|F2K|cE7)E_TT^XVD>A1
z&6e7aC$HE4zv*B9@9g&ZHNTFguV20X#LoRc-iU8H^55iZvZS)pU*?w&?=N3oJOBT(
z^14~-|6||(De?a&U;RA2;?w@ObLYQ2`QQ8fpWS}{O26K(Ub`&z&Ffe7-@NMgU%6Sg
z_tSlS(`DuVelI=t_1x{HZYx^u{rqn8bIHA*-)(<Rx%ZRb=I54sKl$hWF_@b8>DBf5
z|1YP<*L*ozU+tSZ`?>S}fA3D~+yDNx-2VUmoB7IDizjpceilBz=3{I6de>E9;wLBW
z|M}a$?$goo`Co69PF%IN{%qcQkE{3gr<q4BiMqN!&HPTp)x@mDEB>CmKEL)`>U!3`
z(Cob;Z-tJp`Wn|&HZl1so4)JrGuN-U1<QDSJiqVHx6SM8f1X@Ezc+CEpObst-lf;}
z-7tLRw|`P>yWy+2{gYyw4PQC#pA`E~`qjk!)r+%zp2*jKxmupan&w;m|M~oS>(`h4
z_x<~|eRG=c+^;{^Wah6>U;k?6zqYDxtL91OuMl7VYUa<jS+Wlnr_b7KXSn`VV(p{2
ztH#NTye7!K-P+FT8{%mvz05yE(@r|VW!Cw&nG3a?rT+YXqW@nbDD~9i>%aCS&kF4f
zVP(F$%zf3Q#w=r{(4!7pXHLxe=diWhIkb0kT>bBh?h~^XUcUa^_IBj@V~tst-B*aM
zY~53{b8*P*C5Pgc-S{~9s@KWBpFhj**Zsaaee=HGU)SXSd;NU>pR#M$=l|U+^K<jE
zy(`1KPe<JQu>V)pweWAcNmc8azxr-{H`VvD`bxb_skeJO6V+Dg?z5IiZhzk=IXPte
z`@WfzuQ1-1eeW0KUQ@ou+;i@SyC46}e$}(x*J$x|waVzZ)0c7Xn>Az4O1-^B8zl2r
zXRnvZVVmsvYTEYF?_W;7+w(lTu;hdRe=Wc9U*E4+z8jqL?&kV(^U0c`IVQY2*38Ub
zm2&^^jKj5)yuRC>*`2>C=l<b6+J~R~mV36>Jappw#Cwwyy=wVCADq4F(rp9d>US42
z^H;N8x{|*Ad)_48y)ULV7dn{jEi%}AU2UaU{_A%yCnvACd;O8k@e5M-4;S#{ez5J?
zY!+R^Z~WMI>*enT=K{A~|85|Y+Frx|SSIy&E&t)bvST3OvWp<$>tNySwfu*zuQK01
zyvP1@O_0shWrlu}i#c!pc23yT#r5f~QEgM#)M*^~x_jPmYMl)KyO&ezZusB7oLbB4
z_a3i4kP>>MUbI^F&YrGE;afgvo%*G}^mwDKW9Y9{#`Rm*|LWmfbuGO18Ozoi;d9sS
zI1)a0?TtsP&xOT2T>Y;xZ1vK2|E|PE{k;^Y-4iCg#9&W;;;OLdJ?D&^SHF$pHr{&c
z>DCYH!m9U(-MgH%|N5cdQL>++9<<K+67?fsb#X2Cv)y;ryo`$d67`@o<|Bys9`&H~
z&Z}sH`_Io9y{ppQ(8C_bt@C)}b*9r5*On)&e{*cri-cf_o7)q@61JS0`snms4cC23
z`omn~v@hFTTjirwJ1vDP^d8q`%_|k!XBGs!Uu!UVYTTpTrK!b!msefB9rNDkPFjBJ
zca1k6!Ybw;lFM)9k7#VZ7v`|dRJ-8j?HGBZJH7W>|7V@&TKn$1?u_+QmVMZff3Rku
zNvn7*_p{eaG>@#bV?Ced`8L|1T;4}<b^gISbq1|X`&f(r9~3#YN6aE&^)E4-*-N(N
zAG|ZmpjB->Yg^Xa;0?!@hH38=J@awvwdjK~@v--~p8dG>YOTTKLwfnF#T;)xhZ(eA
zNCYwGehB-~a40gLwRlR*tJoiBzq_Bjx{vjIVA1CMgT+(sypH}Lqw4=l%*NgG%LF^t
z^MRADY=2<sb?G-qB=3IH^8}4=Q3><Izv))=O_EyA`fSDbs0Xr}Ze}-@pAfC(x-TCt
zdynhcj#pp8431w-%V#Z)dHVsxlmjtk!J>vB=G<=}QP%ucetWsa>%0<=Jl|Xu`~1u-
z)7+k257(xwTXB3v^gXX<T9rM!9<Mzz_5Bu((s-}Kanqjc3v8)+p=)pJWczOR{D)71
zovWVD6_s0Qq+-9tecrP-b!XS8ix*W+lK=dA{l15buHAVv=j-jr`8B`Zmd~$A<Ue_G
z)e}+O%j&CFg>N}CW6i4gi-$_n?`lWgt93W^I=v)L_x`NeFYoVre$(pm*{J=Cr}3;S
z{$;(YvS6<9y+GaO*K>YOi_)9>xVB`|r2Lhl%^B6@i=tF>Z{1#-W_-@&>dVcEzg)In
zO+VbznYH|U+f3oBa`SoDJaL@Q``$O?_#TrRw-29NZSi>N!FwuYQhHUH8@6}NZF_Ae
zeS`0NjoAj-dB1WVq#kSemGvO?*zcT*`bl1s+b-_^ShoJ&o*qxF+tKd*x`vLTUhYTo
zf894W*H3QJ`X2iHwEx!iR`GSOYOntMbotHde+OBvzFYk-aYdButGajU-={i<wtxPS
zZ~sdpX6?k|j^_^A$CRGFtayKadtXqx>f@cxulM-&2TlK#zPvx^`mgl#o}lf&($o8b
z_<yJ0mt7k7<@`SLn4`RJw}$ELcQCsD{iO6SjnG^6r=!lko<G;n>8jVar)OVeDu*P`
zzw$*eD{}sop2AhWL5t_CUpVi#(6`ri&-aFGy{@%BeU8<$+_Gx(ghjg^=6;)Q?tOga
z&BVC0?eWd(t7KMwoB8)?ZIfr#J`<jb+fSQMt_riNs#E(j`LE9garMce&F`mWZZY|t
zH`C91_WNm)Th@HLYczS<*L~6VmZnaAKka+Q&3C(>)<*5B-CpBxn(@A_{H3BR-rb*n
zChM=cAE3TO^-^^AAJ<!7=2VBC|6+dSYWUYP70!1nL;1xy`Pt)ApHHgaRAp4qUHs8L
za<9aWqd%n#B9FH5-*{A`IAhHu_PoM<Qa)jk%-b#Eg?6x1yK-L-Kgzt_;=YF3>Q9Z)
zbGUcPud2LcbS}F4b86DGo$Zm<9~{n^cb{BUctET=Xy3wVp55DHU(T8G>`3gxYKh`U
zWk2!^)$^9W7b||0_w8<y-yPX~(Puh$A208=Ead-{XQ=+p@V@S|BerjMKRw*9zJmLb
z(Shf$&un~^wZr;${uz(_`Wtf>%=0!p6g^Qnq3M*&v&DA0A)#J(e|}h3B=`BDt>4+n
z#}`NR#@BuOdVS6HHIn<^|2$+ExYZ-HdhMngomrF5v#oo__dDmot9Pr;v)Sp){~mp~
zyn0RIvfc0B=&#<?Hq}nrf^+uLgSX?m-gX{*zIDqCf1l^e9XH$k{S^J&-0az@_0Fr9
z{~i6(Y#zG2gy)01@$;$|xmQ(v_x(HZ@=t2!<n2el{@DCTW$WGY<G=G)<;811`ID#;
zBs*c*^{RV1+t+99*`>1e`ra!~GHR5bGX9%&aB0Dn0{O2mg+u17x0-(H<i1zN>MMBS
zzw#@EuDepb*W~xB<Eyh)eyz&X|9&WCYs{&=|GF+!s~4XA*d=za*E;^<q3Q2+*JnH|
zF1@ZD_2W{(g8$PhcFwo7@AtkN_wMWJ;(v3#-8`^w&y#G<H>aidy?S%Hdf%%(P0RPa
zs(ITf`Ec>M>+@9NOZR<S@_SXi#LA*2_g+VA4B!3uYR$^8R$*~*TKyqwgXDgB?<_Zc
zy*d8R-}K<)E6P0kUQFEb-gshG@8%WzmS!&7me_D>OaJi|y7$^mmd?HBeOdGE*SJ}Z
zFDHDz<l}XG@AP|1OO92)wDl6-JN@4BlC#w>nZ35}oo@HX!pU|*P``9e(YwsA5ue`K
z{ahJk`!y<|?|B^e<*i*+XQLC3Nr>vU{xg?b?zX~0^K0bVm&qZEwnr{Iz4oX`{^ku+
zO7b_)kZusvI(=Km`1p#L{LM4$@4ByG%HO<4dSTbzr}w)9p5FWP-hG8u{!f0TD@^%6
z`L|}h-YxjF+U)n@yV;F@H=fFF{Cn}?)&tX`ZhuepPMo%L>1|7g%v%yypRRp!NBN$O
zL#OZlweMd~sb&<+TE6|y8IG%Ob<daGf3JJK%>E6Ccn2ch>i$n`*>&4oe|yB%ste`H
zUi1EbJdu6yPv+6=#HyC6XSsq;7Q0oQ+}xS8=jlzCkM}<1EK13*Gz;9d-DbAN?dW;F
zQ{L;IS6lURw)yuL%X>q%PI_OdUw2C*RR83Lc_&vc4srgkv)A|U=F9FYm;T*s?6xxX
z@8+CI*X&k5lQ}22YHj>InGJ$jOSjwTFI{}!JJG)6YqnYaKZ%uQ-?P(vw|&hvd#|p1
z^<3TAHyhv8oqfh`uYC1i-Pt$Cod3=`d%ntTRr23iH@Bz%Hl3Y)W#X%({m=N-S0A7B
z=5Oqof4+xy{x*!XmELjmv#5R66$jmKpQ|=?eZHHtFIMe)_QyZ9Pksj))oh=!{>sjM
z(PzS6Dc#q7rd>Moz1ZieyH1sN|MZG|y!+^$7gZqPuR<WQboF$x=h|OQ()0fZ<W8G5
zuiX9DmVya;7Wa8f-)_&i_l?o-KeDAY1#>Uo4_wZ6-SV+)X<5Ns<N6hPdwyNr(AOVl
zJFn)%-o^7kwNdn|oh4PjXHQ%Hx%jha>9^O*a+}_7TbaMo;^k*s8E;<+m)kwtLp~|o
zO53;e65GXZ@1nJe1#;i-UCPCF@!P-XRXGB=@_Ux9V!L=u`Z`nQ=dvTW^Vi#l<X(F8
zR-*Ff-`}!ZLwD_Y`!jBT^b?7faxv=ee-<7rEjlt^Cg%B>@+++eOZWZm+hw=o-1mEd
z-`TGJ{Ac~@<pV4G9}BxWE1rg>M?dqNe*WW|nUO|2{I*qHePDI-)z;?MDXYzS*G*o#
zuclyb&ey(!rJJtqX1jhe?Eb%3YtM;UeSG!t&3W!~yz3?#uIF1fd2L-fNZE0aHusl~
zrJJq_gB1JA$EZi!`diiJmBxK<3h!5+emQ?-)yps6?md4w<@~g?%+KF$URs>{>h`Ky
zgW8_$c?PwY?}yE1yKMeAcWbRdt?~V^YPQSApI<oWm?LJV?9a2P_xy>^%^8o4KiyKe
z6|sFcn0Zp+){mYZxxcT>?35?7UHtaYWU6NF`-<G^%KrLS@2mF-oe7z0bo@O}6`$Ps
zkhA~ir$5qmo_^-Z+t0WEnY?I!wO~ty_3nNDErsUapT6|;?VDdWotANbzaqQ&^%L8-
z&mLHvt-kfZYG=~k|F6OiTdDO`KCSpxY?xXwch9UZ2TMO?ZDPBAa`nlne)HG28E=Z(
zwMTZje*J&f+lL&t6h6|Ea=Crx<SNHodt@W;*DuYL+Hv%=`?pl)?G^t{>`Qtec3%GG
zb)Gwqek%Vy));M5pK{-<p!>OW#dqzfW&UC8@fVGfH(A*gbU%OpVL4mg$3F``-O-MU
zn7(>_Mq+H<-bJmpe-jQ&d!H|1^Yw00p2V5HFE^9&B#!*fGc@N3s@Xn6nr-I3=rg>{
zHurU(wH=fJk&ZjxPvf_fTmH=Rw8r!I2AlW&d38H7zUI?Y{fhZ%{C&aP?5m7^yKIeE
z#r&74;F-$S5UF2(_N_n8Z>7G{EML~P?v;h(+KGK}`x#ys9%9+Lv3~FId>-``Z~wlw
zJtn{Eo7*nqKgU>RxU8@eczI7c^Z%pz3zdJi%hx^$Yk#uw*O%`9-%dx`|9>}K`Kq8N
zkLt&t)AtBZ`u+R*6+_RqNq=5h?^`lSW~rZt-kb3KzrKWTw)=TBKjole*1d@D@~4uV
zuGCbX2s#w9wKAh#_(j<1vzw>ebM^#8`y9Iadb7_b>)%i3O3$hO_4KUmX^zqb@%Mff
zAOBcaS*&j4H23v%b933due{ca&JkNU?`zhSesxKOw3z#QHaDI8`{|tTRiDZAKl?AA
zRZtGCd%r|7af#Nuxh9+YL!YX>{5!o)IApRW-w)^g8?*LKpZRvay84RRxU{;T+fSQc
zVGWl5FyF7v;PfAnhpS$`tn~V})7i@N?x&lEy?wv!te0K>cqIKekJX}MzhBMpbf0s+
zul%LQx0@g3WF_sa?=4rpo_?IYMC9k2A0Hl@ht7QY;a9c(^X7TH|D8h<XH~_S)Z9<0
zzpoz49d|LcZ@2uaqw8N7u|Jx<s`9|qB0aq&D@zZkJ^$o1>lS-Xk)FYl%(D%?=TzHF
zN_lTQiAnLe{Ecz;oYOp+ujDqI-03o5Su?Ylr8sAi*PIvoo2$)y=Px<OcjMuo1@C=J
z3lFeb+CQ7j8vEV$OpoWQa}KiK-ppAeH;XTR|M%HT=KYj8GUvcW;hNGJ!WIY9+btV|
zYs+Q`Tbu?lKZBS%Zh)B2f4wnK|4=huR=&<A?&_>QM!Ut!-B%ssd?!D%KI7)+<tgVZ
zt;4p?in>34W@VT59?`jz^VdW@UHf8AN%B>xeAnkGkDU)iU$i`VY?WTVtL4sR{h_zn
zb<Rnw-o>tSPG&V9Uqp?0knHD8jdP|;uJo%~X}R7gXzs_L;<%J0R_~WS+jGpL^rZLM
z%Ksf9;d`&l+1~3>df5AH<@Sz{?R&4xG4J#!J@0+i^0V^Q!~aaHH}!>{|CMiYKJ!;!
z*F2BHP1hf-(ckxLYvrfc`gZ@nOx~z<u%t>lP3p9V><WvyN!J{XN{W1D*P2y-FUBU|
z<K2Gct1-b}KFwMlINRPK_t){@khLp)KZU*i+NB(Nn4$Sh0^?ccD``R5(hJhUs=hL8
z{k~$Y{DN<GTYZ_Y*e%#r+i<IW&y9DCac|o9#^+3^I{2UWxBJuQ-!9wF_|dFsKjT+3
zf8B@nb@ppN{Jwd<{_9=w`*r_bhR1*Yn*K=M=g+It_h<eHkFWXKFTDKEtI74Ve!TYI
z_jmpM34h<F-~V&&NPO+T)bmHI_ZxrgxBvO<wxh|hAFHQ6zB9ef|Gt#r$HXV>@8<vE
z);4l|-}Qa|i+Mt(g;^n2<p14x`~Lm=qJw|*6%O40uPz+!=QDqb&CVBFw<>4X-S~8E
zVn=OoSa00N#nVps{<nI{|Lt$wulN6V-d=zH|KFUXNv}KZGw#>eFSWnA&Tw66-PCoJ
zb)4&h_N%YEy3Q->|5JARpXpDF{NHT<z%Whv!2dsgnV6d*f9&n_oczt-zgoFI<thK|
zd)n2z|28ixFZ=r<@x|o*7T?*!_n-N+?E`0dZ0EYY|5mQ6+0PZex_;&Av->Y@z5Mld
zJ7fAEeU{W+DL4PUYp^^0&ib6c+Py;6mN%8UsXq6X?6l9C8Tje(Q+d-rKl|p}{@KmG
z-{SB6bN|&I&;MVqYwh4$_Iu|QX}zz@y#HQUdar2Z_Smd0@4b)DxA*VQIwYjyYCLTr
z>)MDuvo#LZVM(H4i<z@Gh`rt*H}^(^Ud|D<oMU1+N6m7M^SwEu_r}fqTY~<#M0>sm
z3VaU@`W|TXJ+$z9pyKz?<lF<Da}RCw9w_NOG+OsSYu!Vuya#G|56#{^(0ljLPVT|O
z7Kg7HO=YaBjtg($3h`I5Q~mY%pY)1(-fvq|7OTy&s9v<v)t@KCP}o;DY*V4o<E7Ia
zbOW<IC;3jBp|mw5tLC!I<Ms3UzlQ4zn4XJ2>t>Q9&~0%<nX%Ku$tY+-m&p=k=9wp)
z%!(#-+dNs!(5i7rMr1L|QVnOzXN#Dot~g|K#@$qG0ULK<x0Q~Qt=MA5-V9Wq|F-yC
zcTlkH>Wc$XYmQp2aaT86z%9LzbM{8o=#9L$H!@pq<lcR;*Y-wt?TvnBiw<Xt9%YNJ
zWQ#swi_TyRTfGZ0A2z4+^)8ooFAzCiAfsL&w7ftny+G`Fft+}O;Pe8?@B-261+wM^
z!rKd^%a5_I+Wq)!VNw1bOQ(0<H3s)&)cIH1PP&zR(r)69kgW47Y^UGiKIwMyqGfd7
z&5XXA5|(Mns?zF)aao*KgqBWIxtY?b8RWfmn%dTqlW87jrbLNt%~|=>!z?^$-jz=)
zMLpIAY;qNP?y+`8ROb~h)o8ViF<HecLp|08Z3-27?wM=1HEX5rgq+?ZtwF1w&RKg{
z=}NMpl-iCf;d)=Qr~hJ~{_ED#eT6IYV_#WM|JCdLcd_^1$=-jjE&X?M>A#~(|IK&!
z{66c(as5M<pW6zbDM}f3xXL`5*x;g~D5>e;Ds^dMqfd&WwB-p`xle%&P9lkXU4czO
zQx?h;2R3?zB+5NoEX5tr+`7V5R&1eM_r(S`lSIyGizH89XbRJDmkwJXtG$tH^~FZh
zi0;yee!m<CakC_0vt;gVNz&Vr*~^l|%N9#lZxH{zL7u%ppuIo>CA`~MXVrgC{>F2D
z(VaJvF3M~hJDhEVCUmH%D6_8gaJDj<(5aK6%)ax4vmIAJhte5GL$81?qbbRHS^=F}
zXAakdBr|4h5ZJl`5+D~k)N~F8ne1l1YP8*QQS_Z>5qVR0+{x{GVfIS<Lf_PgR`SwH
zukoI?R}a(pbtTS;9dNp`h_5@K|7eDT@~jOk-WPjPGaR+2E#{@n0*mfqi+=7M9o{>7
zw0Cr6@92}>(HXs?*Lp{H^^Sh_iVpXR9`%Z@^ol<54yl8Z4H-ui#0opynS>^`q%7&-
zFxmeq{rr?Y#|nE01x>PK)P=668Hc&HB&&ucnp$mO%ihTM`eG;Vi$iWXhthHmhUFYC
zdvn0=&7r)KWd3hS^4}ga@I4aXd(6@INTTmCi{B#=zsEf19?6`0%%t~7NbfP%x<^v$
z9<${=63ctc_wJG0yT^=jj|Al&bIyAtIqxy+A~&{K_aF2<49=fo`TKdF(ev8YBc}qN
zy;(1Hbosn1&%C!qJz`pUH6U7JYt+eWo-rz0tFpS6UQ@W~*6AA*?UcLn$}H90K1tnI
zqTDxLztAR{aolUop{$Yw-fKy+*A@#)Uuf;U(Q@`i)7l$tZ*Mftz0rF2#WA@zNA2Dm
zXOuajD056u=BS~}an3nMH0K<XoO9H2&T-b5BdRgSL}QMc#vJFpb42&fG1)suZSNds
zwmG6~b4=LgsIkp)ZkN61cD836*&~-xclX53(ysL7g>31Ce9sFR#S1y77qW&I@?I}w
zHZSDfUdUcv$p8H#1OG>k{*NsFA9>DyWC|2qBe+PgIpT<-9H)ni(4>h?AxjixB~Q4B
zZJO8|^F&deRl`N_*#Zew4OhuihT@_tTtuHG+O68iU>ea8btO^o)kX>43>V>P37WGu
z^5|w9E!vXEdTXQ5>J3t|5zSj8+P}Uy($?Yd*;)CU&zX#E9FGM0SyYrbPkK0NU7FCx
zlcL0Z^Ms?`rwRQ`B8xbR1A2s}EaG`Oqnj&a5!Yt}`Ku8PQaUcWuQqUejp$)BS;XnR
zLF8;io7k2l%Uc_m-bQqWM)dNnIT*F(a8*eX^R5kovo}aaZxFq`LDqVM@a_%LcW*TB
zz0v;HqM_NM<*-H5VvDxN7LAiFS}$8PZ?<Uvyu-li!k@HbF`D=aZrW8@A5MNO=&4k%
zH#xSa-G17S6cF3%?aV9lyuY;`S>X{pTlVBm!OSefiE7f?hJINeuLv!frg2lH^JI|s
z!Z3p?Z+0G9DD!_tbJCOrBB>h3V?q`Ph0bXIx#Aepl?27Cz;@Ra$CFGJ2>M1eEzLM)
zv}J+l>5KG8ld@77|9)G%`J9^g$yVvio2_A;rw>1=c8ORJrMR{1<hm(4ys}oWEcM9k
zO}XwBqrUa)6|1G&95=-ZnS135Z#^4yTj}Onq3xcz)>~zRZfo9*6)N{$JNuU0%C}SB
z*meG1TGnvOai#2}H;J9@OUg`o*7l%AXhZjomeV_$R_|zgy`ypVj@H{dns@JL|6S40
zUeR*AqG@?W+w+RX6$R&12^HRpJ~({7Z~T1DYW^pG9GImpwx~vQrRg|xM{ShJjc6=e
zb3|*68~e2c-D`=qRvQ^(Z*=(H=+V8=m3yO4_C{yyjo!RB2jkuxu9G?7D03)L=3t=A
z;leowJm(zBoO3X8&f&_K1FkWLQezH=#vCrabHMk`q1-zMWA7ZUwK?Eyb12#7V6e^M
z;yn%_0@ZvMI~;W|GL5#zg+J2^ET6Aqnf>@{)&|R<^`3j=a{8`IA6%n})Fe`rduTZC
zf#$r2mT?NF_QWfLs?s@?^W7jNv4Uu(hdZ0m#MYcA3gVR~+!?t7TP!u)IK2Yfiq5#Q
zY6Z4d&gjq5ao`nOBvu;HQl;aD91b^wPEWmAmU}8^tEkZJsW;2CE4O%MtyaCQSlD~z
z+NX@USFU+)3x345vh-ud;wxsJWt)%a2HifjI5+6F-c4DdaxWiV!{-rE9MODKEo#_G
z5|zyojkhIeZ%ec;OHeOMG~cz6y?P`6??MLlLXP%A7WYD)<AqG>g<LpFNo|#grM@Mn
zOMQ0znXBTQwCvATmF%Pir>5;+@`SAR&(bjUt*(>SPTvuc#d}3+={1#`szTGf*3P_T
zv~sJ*w$MjKE4KzlQzK`X<?GyLBc{OY+t~Q(j79Ofd9uepwtU_J%QBBE%;nOr{rF|E
zd7Zh+J(=`tKg71)4%)4JbGOiY&$o74*@LPzZn_J}d%xw}x;&^_?dEf#cn{sIngz3T
z>&rW*?caUGqi5>=lScx(r$;rV2&<}j>duVnx)P*1Tg@;wOY(}*(rKzUb2=@9PA{FN
zzP0A0n1{}kD8a3kLE6)DI*$acoZ9>RFDLnJxXV8nzTUr^9P_Gv$CdvnpMQOH>_BOE
zfKvPMMvr^z3W!MsIO<B7JDUZ2C5{v=INoczTQ@GL>4;0Gr_f~8Wgb4V*=1$3Z2YFr
zP&5oqnsy|o(^9Bd^_l0H=@AMW1Ck~lu@vf7o#vr4H9~D;%ruK|x$quS_Au4#*w)oC
z+u~q_fL`kPQ}Tu9c$0TOBG_QLX)x_c+5btZJ0pc~cZ!fpfGmq@0j#A7zACuh;`lL+
z@B0%yl_P}6YThE+aQzRO^II&hh4mU7zm?S~t9(Xqd)uw3m1`%zsp^~?blYLAcb2s3
zZqG+)D{~ia3(dN%vOD$>-^#a3ws~jmR=urT*nj0)&~5dte<#^0d|YsaFVI?NtMVjU
zt&cOV^aWchZk;^IR`uhSE9U~NwYL^evep0CbVV;{x60PjllCfoTy<q#;BLLG(v$XT
zew=pXrt;ZHG22Ox1*p+}M|hCZMr!|)B8Ptw0^hBwnQ7G&BeHya^wr_>eDR9Os;(PU
zsMI}2PKjQhe>P)ePNJ(KtAN-k_UB`y&War*)G{{wm-E@LL*mG+V*ZaF4oZ_I^oT4`
z;(d9-L2c87UYRFK{694u6uS)Ak7_t-o(kyOxxztpl>z_f<1DMMczpMNQstYsZn^iF
z3DIw6PK;T5^6mGWlg~WPOpTJ;TC-BrV_QJd#4FDNw5LUNrg*DP^ISXUR?Ny!k8MFo
zQ?EQLd};}4y)M}w_UrYM;)zG9UdH?E#TXVKs&!ea@i>XtPQ=83eQc-ZJI~{PTHzcb
zaa@|*w*4)GZ)@+Q#H(z-{#sRU+Uz$-mDe2McmIs1o!MH0x2f<m?}A9)v|TDO)6_Rw
z-dS_eC`&ged+M8_&fK7A$F;Fp+N!&~9%Zd84Tx6Wx^+^ldg0zHRx5KSL`_dI_qwC9
z_3V{x9=WSiwtL>u+bVmd%qw?#O1bx&X}9FEs+Vr_-}GBmZo)0cto9{k5u4mq<fh&-
z%sRfb%xROl>byy}GPBZ`l!a}2t}<`>E!J(f!A&j4)!oJX*qUw?PtNbwocCa*{d*_J
zXFtwyK8kRm|G)ySft&*I?*;PwO-yJ1b8k14sV}nL9i5l>e&UYsywdl^$LC++^Z2&l
zh;xv2PM`fs*{N>~JC83dJ0w#dWUYGhve0>tw`(l_Eh&rJB(4%S<rWb=N#2LCq9j)l
zkaUjGOEXgmxI4|>@(yQW_XCWM_Kz<!d&w^WFdC!qM){pbu`l5xf(!S1|J*s{^wOpn
zts6_Hc=Hyn6qwFcx^khO=(C`QdZuTV-qAC?v(#<Jm25rJOH188N-F+;kn}d<o;J0I
zCLv|t%Nq_`EBE|Xj=NY9Cy3EJO{iJ0OZeSC_GyRJ&ms5lH-Aw0eE;pf<F}8=?u;!A
z&kMXWxgtI<)kfmr8ssj`b&DsUmWdJ{zH;ul>Af3nyZ$pv&t3lpeaH}!(mn|Ee~|G1
zAaeeLjK09ph33(9?UK6t5A;lw(B6NzXR(<6R+f`$UOtnejJArbT<T@!o3!hS(TY?r
zUGGgdg^HI<^Vapx`Z-B=LWIUvrL5v5VLCU3I+q?%*y^#{{CDBMPiu1xrJ%!J)T=g$
z9NYPkXH{J?!Ak1Yy41^ZkJr8>a#$G>caT0**LuUvcthE_`HFG<cec29#rM|6D`+*i
z4x-UZD}?~tDX;&y$3)wKM~>DR&u5>xy;a=t8Ly&O-5sOox;sL$XX2bOdz+y4VV*(0
z_b29AHIjQBk{0SL?zknhQq+5!OVY|Kr&dh$&Rv(HtrF(7w(FM7%CpmRwjN;(TD@>v
zK-ShtYbWpU$ohIk%zLflre2}hOSZL?#^UTqM;>E~berC@FYu9C<gv7@=q1}iH{Djr
zn|_Ng%X;ZH?@hZ^%e-^>x9$zPt$y>b5WmM;h0``I;Bg(d`qKiNk4Ntmi|sR8^I+DN
z+78Lorvk?RwK`X~&j_?yv3BCEsFUj^?}*Eqd&SISt@kEr)x1f!h_Yg<bC9*pO=Y2e
zueTCg9|u`0-kdDt@A=kbt8tLE_RV6U^WJZnwsr^YR=IgvXuZc<t=rG=4l(X%nvYuY
zwXm$Xe%HQeC5QO^Jy$ZOOFa&nsAqa@>9L(xDyGkR5F{L%HOag1r}TrMM#on^4Y&W4
ze9=k&M)cSq>!(!$y%I<8mka3apTJ($6$hQJEYh2>SmnlFyZ6m=cu_mdCx7e}c>267
zbH8S_*VH2RjQZz}6Zqy_ns=UgY4com#i|oiRtEoVy0b7iCe3I{r}hciXFiAKo-{BH
zeE0B{ZR(~>sl=+O)l2HmR@$Aalv@~IJ}>+EPYK&wm2xj_<d+|}I)B{yy!tCno!KAN
zExTvF@wr!^mT#r~#X0?E{_E|__T*j)p7YB3+!J@dujOC%7wxLQKJ(8!<Da$ZMprXu
zty^yCe5T;p+?PK0N>{yq{e8~V-v`btxnqA#-s=2+@#jC~U(~;~`EP#iv;CF-t3Us_
zZ*|`Ol6~R4{}Vs|>HqrwnazLU=RfDav@f0af9K~v`d|LPv-w|s?(_Ut`%C8iuTEcD
zzwCcj*Z%A-ZHNC~MW*}wKj!zJziD>Lgx~fr_HVZRfAQKsf9t=|mp{w<p8vnpew*$8
zo7d8AzN|O0{eSaI&7%6eYP+pl<8QpIH?&omYJF;L`tGIL0q(n(%B)D9!_N52c-~Im
zsGX1RSlzok<3Xm+7n_dK*?yD5-=%E#`&hE9O6rmg|D+|CpG>tryw=!uZsfeSob$~k
zFHI_+o-2KA<Db57`N9VF!xoBZzh7$X@7&zH{Lku@>k`Vh%)j~h;5!N9uiRzFZ#^$G
z3Vp|7FZ({-&2P#K6~oA+t|KA#n~#*(&wXb8G@f;rvC2$ML+_+*CQp97l*v3XIc47w
zrq0$&2FLxyfBIHhUx?K?Db%?%NbCFM)Vkn%JSFF+rm$X&>3Hq6Uvp($Fmu!0(v)Rv
zEW4y<u<aJVo&NRGUXMpXo!%;Do;gz%hW~mgwqNtV@{PTx&TR?n%2vsna6_~E_QU17
zZMtt~R)6={8~rG+bGM4zgdOpZ>^iGc6QBS2BDi1kzs!q1$-GJGf6v^SH|d~7Qrqhr
z51)NK?rrFp^r*nnrS0{Uhrw~hd=nqeQ*LHIXqCHTru6|yrQ{vwzI;io{B>!m{_gbn
zcLj5wzdZf@%Tted$MgFZJ*?_mzW&DLaE)43+ux_!UKjb_kMWOR`6cwro7Gb5o>%^Q
zR{cwB>H1yi@n;M6Ubr0o`en32ZK>_=SM9Hl&fCZ4e_!ck_M4mAQ+C9?dYS$0i>c@R
zP4jBk9{yUiT>s1*bv@aqM$b=%_+=S)Te~H#Ibt#WX645tE9(^BxlH#f__*&B=M<Hd
z3FVvSE;|vj^Ky*U)l|MmB{Pi=pPTvg(oDT#t)fLI4p<5mFV#G6veW4ftKQuFcKt1f
z#csZov99U#p1$jiX8f~F>o?x{ydZ+jwDRe?f|p+Y=~I^{&U=0^29zGwmAz!0cTURx
zu+#bGpO-Q}or-;asoQ4m*LiJ6=do`E3EX{g$#>o#q4Uj4=dtr%%ABY5-DrB=$DW(7
zG9%tqUeKAVw*HQG%&xnW<6l;8IQ4mfuhrS$r|$}0ny%AaI4S;hX2kQ#4N+jRl9#;m
z&guCdc01qv6>Lu0^m~t9-g%XI<5}efPmq#(4=-8U&fRUpyW5t3oA>fcsYmx7U%LBj
zMFRW#(wWH$H};<QsoZzpkN<?pk=heW`FEXZ_3ilG;Vr+7zklbKz~3KQyyds^`|teX
z`TIkU_xx@A=XZXI{9W*kL)P?ArG&AQ`|b8Z<&rhEGYp)L-){F*E?H#5^E}1#%k{v2
z&r&>1mpAOUoX>PO^WQVkrCvT0XXqGuCoMa|)A{mW+V7N~uURT*y~yvYOP4q&Q!jg8
z|8B8Js+j!s)i;VS){3jY-N?MnI{Q|+TDolej^{sK=hRnDJz93JXyr6dovDG|IZM5Y
zrV42<F$&k(BmC>NlIQt}TZ~2IOaATJ5WnlW#VMWr3&J-(fAm|=;L6?`@!_+tn#xD>
zmh~+SidWj`nY8#wSf{SgbkCe=uG@aSu8Mq;BzeGiLXyJX6W$A-dnl&yzWOz#dY!}l
z)>AxN?a~Ua?RcMaZWmwS`j6L7&%L|(+PU41tL6$__l{97+<e4W$lUAAr|Fk(#+RSC
zZ2IrnQp>{{Z5LPnURvF^=**_@uUg+?O70)^{C$e?_FU7q){{5)<rVK^eX)Y!d5y8O
zmZ#l8i#}$b;8jONI!_91R!Q?ZGhv3Df_rhpIlh9vG;61wA*WTQdFf1yP~8}m)Outm
z<Fim5t_xQgpE0ev$9F;Hs9Wc06*Kl3cW+58n9lC=al8DsJ-X~SzV8*C$F6%R_mkqg
zsf@d94^%S8q^2e8y5(~-M)uoJshL{ecQNcWI}l^BcKT0i)w~ITW^6CkHE2#g<No3q
z!`_p2ccd0<XPKezc<^0r*_yXyyAJp5)OL73#jyNz#$BQBEHlLS=sUchda2G+ZbF5@
zfjK$LnZ77)Ha#n6nd_ER?9yxUwfItL*dxi#jg$H(O6V4T^DwUY<}ugeQjGF5Z=>8L
zmQI)4XB~99)2dv0(Brw)f)h(L&ThP%Wc>8;f|4bA8+B8+h2$+S&h>uk5t|sjDkpQ^
z+;iJ?x!qo6-406j=6<^+Eb7Kb<<h$zn`K|6x{7<}NMvq1{AuE@!X<b4ayPxI`R7%;
z^hjB!w#vGxJKU1mk6cwgyM5yd23vK76LV!3h#RityRiCL0UO(o*FSx-FLs2L^l=t#
zXL<1}ut$2q|5Ho<pId5Q_HzF3&%V9<d`lFBD`zwpojL5Jb1X=we75&Kmwi`RXKn6!
zY^ix6^5YhV%|R<c@y0eQS-bsxT;sX&6)~4jnVk3g{C11OGR1dF>t^|`md}4<<h1d~
zB!|uU-oC=K_sn^1mYL-4sdXbZarxA;DAnMn^Ui;bTJTdZRQIvYgb3xrg=?Z^ug8?l
zb1jR?U*7$8%RxWsw~wY5xXNVYHL>iT?$z}<%q>Q3qifRYo_ohqBOXjKKdT*+7&UX-
z>5!e_g^XeudNabk>)Vf*3V~*NluL?OQ_WAXd6s+Lk;pJRp|;yCn(1rWZpUr6OmC^>
z-d26bVY2-6I)}Z%^WIInp<CF0WUtWolT7?9ul{~|Wf7`c>+vYD6V%oJ*vI}Vc~hpp
z$EPJnf`$CO58rgCRl6Yb?YWAi>8T?(7oTxTa(nqA@SLZxwt4dpvE1b!jd)AbdoFP<
zelv4oW|2(7P9vATBRQRsLfooi9%rV{Fh8}%@vBCx(WyPoSG+bQO}Uvd)hME)`l3dx
zc~@=nw;i5=Q98lv_PD=X7Wl2I>ZHc+dAqNCUf{@PTnQ2s^G{#BJaOl9$IT_PY{Ks?
zF4?`jc<J)Qsm~o}Lj=A^`9F_Z?wIGs@^Xbj@v)N+MP#46c|Jp7v+d)ilAH;_9ot)3
zG*wt%x<q?yYn`I-xp12FlHleik9E#Jxp~61EGlC;YuPOQV7o_6XSAky=uERvKd~k+
zbHcfa%Xd1aeYQGWsK)*>$2$GI)A_<Fk=<+Lj_%XGSijK7ds%;^&Fj1MVd=G%-#IR&
zI-WZo?K)vzwtN`tORwJrbra^L%j<Dmx|(KT7ToLOd}pg;)xx!UT$f6>Mdh#Nb*w79
zFy&-gwfQWOSnsBi$(}Q}bKl;o<6(X~q}x}Q|I%6`=K0K%9>vCom8VX~+g@ETRd>y_
zL$eg_CUR%zCe9VHYW`9ceItQ8)-i4Vd!9?ub8GjVesVLc`fW-7+_Kv*^JaeH6G+*1
zo3Zn^>N{>D>xT&w?kW10v(1cs$RmEJplbKr&FKrDTbx>RbQ{b+r^DnoS6tiuf6cjH
zZ9DkcUpkjH%NwrE`H<}RYsKAu^`<X{zdwXbu*;O6$9ZY_Qu7<N*Ukxl-DQ{FKJ)Lc
z$z7GP?x$mO?w!oh`d2EOF1KkP=cGrkZ@f;^ofLoFbG@~-+EKBfr4#<`^4r|X6MbTe
z)3?`Fr^SkL6u*~NpI41=XP>>D`FWbJ&xTs{&PR?L`gqNxUG&yWv9^m)ulOZoTU&T(
z`jyT4su9bpeqFMuJ$Y&Rz0LZ@5$Tn`HrdunUYdS+v%Y@B^Xgw*Hnlr1h5y*3e<fCM
zO}EYT=Tn0oe7?11=kwFXdb@JAY2A2pIjWOe^_oYFKu+KLr3ZJN`x$jN=XrGA^0j5R
zl9r!-Q=y&u4pgRWW1anWpH;+qt}?&Je3!klayP^!2fxl)WgM}7`$}H3t%echH?OF9
zYJPv0us2k1@~*dszTa+rKbci-T7`#LwMm3LXIbCA#|MAS;1PIZmw4PxbdCS5jsCZ<
z+)SuFRyKF@<rN*8MIvtQFK!B+i`Xb=<+iEj)GW=FEgZ7)4|}F&1fLYNI=L(;M@!df
zxtqmxrk8?g^(i+JjozAgOEurUS=M%kV{USq!qoKWPj9yz@Z)~F<;1%5!j^f$4{MHU
zY+M>-oi$UoG_OUkzu;UGSM;hWnJaedIfc8PSSb7=P9$+#%Hktzo!zR}ymO}Q2zeyb
z=_~kb?@~*r>uxiyho!e|Ib6Uguu%M)_jei9BRad6g>QVmDBkQ;`u0nKUU?H{P3;dm
z*WI!4a)fcJ^l{D22P!JX9{Gh>InI=1y6YL_vF)wqC4o17TQ8efJGWhWS=Qz=Q}Oh<
z3Axh6Z%nSAo3OrQ-rR)_X&PIMMQ$FmEQ`uX*9hHp<XnK7@s!(=&u$&#vR*sor9k=I
zOQBxpPPnQao|YA|saHjBT7>?_NJukR^QNuW^;4IvA{Q9*>~??I`uCEpdtlm4)4g4`
z;&;mnFYQY>P?qld``nW?$sN00U#4z~tXOPlY#f`nJU1=N?sV#lvP!W>aZA5=Ss%{2
zeIs#s_8Xq;)V9*HHov9UZ%dlpIHqTPHzWOqigC_1<DAf{?zxGxbMNFWw|>K8o!S;#
z)|R)l{C4bacdqK(-*%Y~Vo&?=-;m_fti5oqAR^5oDcvGVy8q_OLhHkxOZ{(1`kmxi
z{+1^_=W(R9ZF>5QwWU+a(mJlCwMl#~Pg=I|)Ayu%XWp2^Epxsj8Ks_KdCSPRt#-!h
zvvH>;&d@dVPFi?`sq?4M=Pi~TTwDF7FPGfpkS?*#a;x8OJKg7>1!PT+%sap4u0Z5&
z#j>|&uB@3m_t@zvpDR234C<}Z+f-&M-aI!UNjmtgiSM$7@BS!S)pq=i&@r%#3-~Co
zS*keYpVDmYDKR^b7<Dcc@@|{Ke{_~&)jCPl8+!wO?F`#`*|jVxefie1J!&&|2PQQi
zIqLjG`i#I`n<F(7w%(Ssdc(7NY3AM|rOsz^dvl#jmZx9cetX^Bp!=$Y%a6zkefPYh
zUD$slFY?Yl#j4i=pRKmvJZj1OvC+yU|6|Y#%b<?P=Cie@Y{M74cS>#ZMQVD<%P;zF
zE&a1)?)RP$e{UPrk4ui+T<qI3slv}Fy?sWwb@diIHUEBoL;bUoZiYQ}x4^B*X|+p0
zN%Xg#-z|Rr8}HU+-k)jnTEaNWy?>sO<po)7?mqdokDp{V+4xGW6@Hqz$;MZ9ZSa%K
zTN#^MKe@mDa&c2Dmz(uz-Dw}6d+1Dx(AXH0H1kMMr>arH&y2b1{-51vUoCH&sQpkt
zRy4`aO-xKSDJt2y#HXWDO5I=Bsbr6hFVDo&9|Y!#B(3X-I4Lk!DCys&8DdFpe$&65
z5tys!zgZ>C`^?0kxQ=sEm;V&vRuS{knbh`3z}9^pY_{@IOy+8yi=v9pu6ayVdG0w!
ze<`ctuBds}JYqC9h9$*zME?CHuvg>A&YPQOw9GWSk-2!T*-4Wldre&Q4cF$ZOPidu
z>x^IYn=PTsPTdt-H2t($lp=Se<f7ZT$F;0ubJ=5s7g;+kJMnh?)@@tP>6P!Ty_dOM
z`ppAr=aT7r?)WM`E6tg<!&PjHu1o&Zbq?RZ32crpzmaaeG&8*8rrKS_D*ukZN*m3O
ze7^2*dBJx}|Ea$nI_zF(%6_uBp>Nx6YwPa(W#a_R<Rv9{E*HC0`BhZ;wZ6DKZ=UhI
zd6O>{U%M=9>ULf(xq8v@8}o`U2|u<8eYeBVs;(#YIg7vl8wp`yTicV#C0)y>ysDg_
zVw*W{UXP*GWn;f-%hhA6Hrd+lOfH$Xe9HUE3Cgyt=YoG;;JO;PP{00cQr&^0CT?{n
zjvk*@E2kdpH!DE)ytAJaY@(RgwA+vWYUaXE*<zk)US}rF(B<8^(8^uvlgdo}jSfi*
zP0ny$bp^|UA|R-8g&z0R_{|=hltSvx3a!$1ew*$sEBQI@lET-cLa$ZzCP9iwA?efy
zTNmy!y>dt5j>5^Q3!j~cR&8$GRo?PS?>tl4E!H;^_vLl&R(&_A!r@U}=WmsFQ(5Qm
zTy1wgoBmYO`hcb8yr~kxnMox}Dos~Tv@*M-r*rntyWV>`E7L(;0X;+iq;*GRI&V%)
zOAMX3OXJd>S*(+Ex=q$Ei(w0X*E(^R+NGR(QJSD7ai4YjuJBI$b|I^0OS|vhnbGDa
z?|iHNbIIfGo0rkgUPdd`>gxY)x)Zpt>Suq`osSE5^?F9Fh&!mF9wILz{6vrIYWs{S
z8)IjLw7r_T(DB{1fW7CRt}pN7Eh=w)H93W^{ncHY?%pe@6VHgOEdQ`ZE$I2jHFiPU
z|M%@*$NTyE(S`qZeQ^J`OXli|2bHl)_Uk2ds7~C~H?7rXu79T9(wZp?PT2epoWWIg
zUT)RmcE3OW)_I%>_`PaT+pKWW?7FKz{@$Iu&?<4o^LL%g_5FTLs`|E+=jzIN`%L`f
zH+@<9{mp5aRhw;UZ_eAt^YZk|o72rh;Pv^9&H3j7V&A;f{`f`IGd}bDzE2CQ)-7Lu
z=yJGP?Nr;}&v>tXwynK7Z=cr7=ntFpkL)d2u}<>Uou8{rD?hidTevH4h2{0vo&|QZ
zX5QfqdU8fO>)!k6JXd`uag^zAy_{wpo3>oH>{gb0uJo$u{Bw;=Z|prJcI)hviQ%_i
zYFWqX2HP!cd)2q_T)g<TTanjpzO0(rw!@s~>fExYyHoU@-ZKfwm&ppN{v5FRR`i=o
z+j*}>tL}|`#Haag%h9{eU-dl8z3(U&uHW)zvo3qvtG|=%G(Ju^a#*O}<8k2aikcO6
zW>@yyoIBx*r)T>PfBvh>+pOfjr>h(lSGBfHUmln|S8&nsS2vT-au_C`<=Cip_F0Gg
z>c>l(wYP3e+u12J`Pi)Q4O2E+Mb2C1<uiGP-skiiKQ|wHb!Ou^sg%<e2j9tPvhFT^
z``KnU8*6gu!-(I@yBBTz=9W66kIPuzdJns6`^<;QD#zMpK0V(4a)&{%*MyWsN0t~A
zuUOLZ@`*vZ`La{*yDQtbTrM$AeVo$vlIQlMRPUQ*vy!7f9V%Iudvg0yCTra-y2Xb|
zCgy5xTPkF&yH)pT;;u8>&TL)EWxaNb?&m`#Q*&qLdtWWvbzqwg&t=nXORr6P^`>NE
zZluhz*SX%!x~8`>CvW*sCbMk%3AJoKW9jUfN2VEUHl5!7GE8%;XHn~sGR?JIm$jE}
ziJBxUbloE}c1E1`(yx==OxfY+C4IPL`V=$IBG9s@TVl($du8rcDfhS|nfC3@JA=L2
zXLcrDmwmS8kDPJp)9<Y>_a;7*xGSI5w~;w0?45JsuLV2>5sw5r-BT@(AO2z(d5+U_
z-joV2uk=G-GBxK;ePY=e`1p*LOaJA~$1QIgrp?*RwK46~XN#F_JA{wD{84zW+F_Be
zYX4<VHP`a4Gop|Dq?ZT3Wq4WEz;k=9sb6|u@xP`SxzR;An)!3inW_f;EL+DEttGXb
zJ2$gWSM+qqPVeI{Cl#hWp3-Y9y*!33^j%kB)w(6xs<Ek;KOcWtIceRrFHE!JEc~u}
z><YV7I_X*VVT-*PbBb^7yP>xY)J5EK(r@|=(_Fdb({JVOI5REk&6ca%w)9>;{njid
zQG83$oV@8%=KOhRWp!uHpL5ktK0E%}bbhtz;4=Sx=1JvZuIq&l&uOX6sP{}L>zS)|
zGjr42WheHVB)o5VeWhTtVddpX6OB@qtSOkQDI25YY2}`@PUQ39(s{aK9=pJ+Y%T=}
zt?SP9Ub*D7$~5me+8dQ(^!6SqP2FM1^t^R;ukHPFA-YFr73_7W68Bm&b(+=fO&(EV
z?XRme%{+3Z+)(ND&Ut*PV6)rlL#4$#if8X}<$A+x9jh1oG){2c?L%jur|i~Uv*mJV
z*{)lCHx!lE@m|k<b1g1i`r7Tx<+*nhR$o?qJNwYrV+GHH6E<ra-%VN`{kCNG8L>zA
z1lN_f`E&mL_uYH<p||Jmy{+63%Vy^9e5d-**Lxr2_Ltpyo_Xti<ptflYVwclB-ZhN
zePj0~)9O)Wfx|9eyCbzaG4k(k)*iZCa_RGf6(zH6<hMTj<#Ybs=6TDC=dmwea{Gz%
zoz2HzzxZgoEBRP;U+($l6(5(~eqy=p`0JK=cRS}TQ#s#k@zSi)?$@!`SLWT#eEc^3
zrGc#Jnc~&SGb|gD+F#B{EL!b6PmSmD$4@4OGbGh3XZT4yd-GW2r@Lj%lv$eRFTXLl
z>u0>=+)87g^jVP^XSXetu|B)i`N_@aKg*^aO5Bw&<MX=6l;ER>zsyNI7wz8pR&VQN
zqnT|xp7ULPx~z4l)|&%U%c4@FpYdP*+;(&S5&p|pzdbyZxa*kR8tG-(Zx8=UteR*O
z@~-Xw_TBo8eJY;kgQhMCD)lYbUMlIi-(*)>&dH+SOMT)wV(Y7F68&!L)JbfxEbBGg
zz%RXiW90fun+vt~zeCX7UGMW&Mo-#!mbr)VccH`&C8I?pA*<A~KRl}aGFyM2{l6cN
z`cIrVapuG+pI-IS%1sB~d`xM+@Z586lE5!lX67b#R{qX?yxnc?wwW$Ghh|LZ;hraO
z$#dfTM?J2~)qShGj(=Q~;U}V7acPf6l!&YMqKu=Hr?qB>ewg*3RPpAQCA@}Hq8Ggl
zZ`$r!UT&M|zT+Fqdu}^{8jVG=)t4rAlqq%jxi+UO-OBd25_ipKiRU=E^uczgs;8ds
zTb@LT+~w%w473a@kVy{l<XBkoO;UW7O40Q8Nh%hR1zit90#$8WE>(!G339%%(lD4~
zQ$^04FU~jao#RZbw$^Vca=xM+v~NYcgcIwclS&t7CmmF6@@AdhsU@lyBDaVycxlEO
zuY#=;uCxX87F_MT^|eWw#hfd%n8TDavs)ue^p4t&*aG(SHO$T{g1_vH7WvBYPV|oY
zj`$Eso`sD68fBhs){qjjQHqj(TKP?^s?q7F*Ngp~2K7m0%WM8Qiv0d_a?KR25H<S_
zt?BYEp&pA{v}fL56QUWSJJmEOK)cs#T}oYys%X}PQ*N3WliXy(jqLSia&7fWoh`D(
zd+|(=;u5VZs#EU<T~O}jTbEL|`O@-${ULlF2N#%B?VR-U?5Pb-%0ZS|tjU)o9(g@8
z*B0jT^?Eu*#K${%4oLGEEfe*rpMy3i^h$y>Z(4F;Q^-1xgR4x$c24?vKlq#It$-u_
zZtNd6$oKkH{516Muc$h4`MQnm^OutT{-WQSYkV~JCK?vBw5<{pa*oVo@_$?@b^LP3
zyk#FuW-j+!xMu&$g;T^%U9*$CGxOwSowzB&UN;x!@Xk6txAIP;fY;AEktgQ5-iZ|Q
zI=b+U>4~6sI*&6g{k1EiBv19ddKIvWEA)Ap?+UBs*{s(&*NNXbaC4DKYf$ovU(xBt
z<r}$QY!L6Q+Q_}4f0y^q>AuO^<INI(zH)sW_v&Zzq@RMBx4M_@xl(SBz9(XSTBK=e
zpLp{7%8hb*RTr7cA3V{ki2Ts?AoO6i(z`bCwVQVo<aRC6J-D7FpZn!H@wXe|4sKPC
zVUOFQUsZ6Ty7b9Wwl7Dz`zpUpS)LyE$DB>=Nau@Q@x5ZDdKDj4{qKMJBD=o+t!ubV
z_2J7i`Xi)HU*6FlvF1mAgwEzkKLk~4j+E64>H9ou)m&uoR@v+6yOMcnA512DZ`yHW
z$q|VRohwUJBr{~DWG>(g*w^SMIyoq7fpNgp#&eFP8qTeX%x@)qPfcpnn`mu(L9vK4
zuB0VKt8C)csk?6GH?xInahEQQTF`3v>15ox5T3|s;$Iymb>tX#Wd($=gfKikaebp)
zMWC`uw1aR=tH!Dnp&MT{^gb@~4P%+IiNirVpy|QXxDdYYOaZ*%(gB@PrEw<w;j95H
z;mk2-<EC`Kkq~IVannJq>r;aX%c}z_u7PqBnilEo5I(R|<JH$iOEY!^ig1TpO*r0m
ztb5YKD7QeR7|DX(>Oj+D>8HA-9Ju35-X4`>T2dW6%iNmbN4w|Dds7tm1)lo0OegVK
zRWP6VS+9lWq809c7PxADTc(u%Rro_tzE<P=l}0apQ|}3W6iqAJ;IpxR&)prHPgyVe
zw6FN)?6f_~|Fq}i$(fv<D`%o!5%;0#f$Kr<rgRqT==@e=`!%*1p%&L!)^pzz*rQqD
z{XzTS?WS^;_gwdc_vrs{_^|MS^1<Ux|5I+)JE`9jbe@`KDC0jhIN$1XpTy=$n-_Dq
zE1%h_eoNl<L2P~K(b_Y8cU9)8eKKiW{?W$wai*4kaK)@YhSt4HYJCjlHy^hBmMj*;
zo9rgB>to%EebPq{-P-r8_F~qr#NwE`uPb8SzC7%eC11#9bjeENrpIgxMU~o?$DA6b
zj|!Tt4}08RAfqh1;0vz-=NrbG0dtyz7o1~y)TrXo-4nv0Wgf)lrM}0<t9N2r;<LlI
zGnT~6*nNPrfa4Cs${N#cuf4Lj<uiRh;_m1E$9S3ZJh=}g^OvXF*gk#9>E9oF^TxcL
zm-x=V+qFqB?C=c1wry|klrNqU`FZ_2(R;Zdx9x+wZNA2J3CpjGH%kYa|Emp?I(|7~
z-Z5~dwefwDdFc0=k59h8>|)>ZEH(gS(7l^G-@6%Z-gf_<_MY2c4nN!R=TqR$TbJ9X
zrpOoHTfXJ{&CC1me80M?_5EfCR;BP$#i};nSu9W0*)QtY`}y?WEZH-DF~?#G8xJo%
zDIYFeKY3DzobADq=9w+IjWbz{Z%(~;(5H3gbFbccJGL;cb+9(*+$DU#!hp@wa9yZD
zcH*>zb%%2rBD=OFm>te3S}JLByDd`qq1R$TwWYGxrA!Q%H#1L`duDXTFMQV5(xu!A
z$N8tr?r<~M8(7NZ-f?s4x4%B8RMu4*oKNt3n8jgyGAC$RY^LbO-vOy-r>+Z3<z{E)
zdLHnDOPaG-^qHBI+LyzB<oG5Qny=YW*x|5r0eghlnO%YZt0VY#T;y3-uANuXduNvP
z+orgk7Zo$)g{I8i5%`Q<P4y}BD*l3{dI<tEn3pw5nuovQIB=oHAzb2n7yk>Xkl&1V
zH=JK-w?HB!_kV+sgROi(*Kgy`*Ke9j727S`|5)&0Vo$<$hCiQqzin)bjQp_B_2<uH
zlm6^Wj+FWwbMjAG>ecpZONwH-%$96CH1$k=*tVJ%Q$zB+5B8aufy?-L{BOOVHj2o2
zB~J$x>N3ACbcW3HIylp$ZwIIf$d<2Co+=(xpxIlzE~Resr*rM=mVAoh%3Jbr8c6w(
zw!oMf0ms@o9h*#&4$YYKQ`aj<LbYur_ooz#8%AcPJwb=A%&)z4Si^V5%)}{*XWkf`
z5=zUHc)B6WV$&y!=qXJGr^E%hU5`96&`Q!Pi{T25l$gpDx-%fOEwD8plrz*<B9uFH
zZNSy`z_|gY9HGGyrd@s}#Zz}*5;~^mSoqGQQPZMR^9ENQQ&pp2f?%-G`ME}S3YY8(
zR$FmuZjl4;yD8jR#mkM#Wi}gB7f$cGY1zcteuw3oT!!5Dg$3&tv0l*j3A&*8F353x
z;d9PiMav@t=J1OuT+$0*=UG^^iT~=a2N53@vXv~AmSB3;s9APp!S1&&;;euBak<*P
zk-GN8TXf$WsbhYrQ}?|I2|Kzk@_=pVT>YuVpX(Z}Uv2n%=f&wXm!<ks?`j_Do%<ll
zdgJa(2kiSZJ}ym*FE!u(Gf4Zt(;?@~=Q)8^wJV*cl>H8xZctsj(tXC?eOJ`aIL1o}
zJlmkp%UOJ7x|_=dL&1_m(~sT!F8AWOO7X(Sm-m@GJzZBmU+`As!Qz+)3(s)JJ;({1
zR+AZ6W4uY{(7Iojx(e2EUTxD~+da*!_4QQ!T+Zv;3f}&@)W@;^cyi*@2d9rcyD**4
z<%se^+mB0=7ut&6GLEln_<hOpev|p4A5I@sr&ns^v+ft3xUTl4SIzVyWu>Dno8#4j
z4r`jl=*V0?bo1UBr&HgOmObD(_;SwOse1~x*%w#NI(+#}!L{Xk3cMaN2DMIT-K-#^
z+RahU{qESt4RI=WHEV8g{&uUJ>cSqHvj6SsRez6qs?Paz_^4;A=R+;N<w_5=67}9Z
z-&CN*-(PY6+u=Ib9TtzLZgN{W$y}+fa|Pe>S-U~Y)*s#46}>hxlVka@f}fY#u3RmS
z{Tv&cZL!recK4O71+m9tW5X><S6h{3eBY=qdt>3<ga`9&P3(W&Z2on$_1kta|0$mK
zQYrD-4~_-6%y_(Xv(4OxFHQWXSL`}*nRVW|-y0)0K5-Yev3_~HY?9Qm9s`rS=li6O
zp1CErJG^51%l5N{KVK{^+Pa)ydu8nw4eh!uI(AKwsZ$STH(i%}oN)8OLi0+#_O0@{
zom{t_b|_bbhunB9`pvb~+1^S(w6Enu#D~rm1*f#<e3ZF1Nv`B45BCQCf|Um)?c~(o
z@_jHWXxm)7h&^^eh2Tx61!5~2O9b|?pJB3{u(a}mWQwZBsrJ>fHyB?vCW@q2U(oEz
zo{-CQs*&;71o6i2ikb&{wN$F#s0;0oYUElV;ks1r%KJuduDnvm$#N!Kvpy~`ys(G8
zw|SaP2GbV4O$#CuSZ}awYOq-#I)nLC;Y2OQ@Mxb?lDAyeUVkC`;!^V~$8fojF4xR8
z@}`R7{hGX9hfLU){tDFAINX2B;b)ymzv;W^_;1@)if*(sym8QYp1$Mud5$x09yj+`
z%k$p(|LwuU?`$#i{;i1l8}cww^{X=L9On)%&sw4Ab6UzCtq;5ohR)e&rMOCLUDUzS
zrq`0mi%bMwyDFcLoRYRk?cdqf_Df1FYnyJnw3fv!bPjo;^h!i@SL+7%g7qsd9M}HR
z&DHF}yy(00ou><Vxa9<GPBBMJDO_Zjw@X{t=Gc-B8`YM}P3bOgEEh$7JbJ=r{RRas
z9j=Fy9;5~)PR(!)iFhn}&9#+R@s7xzqmt&AU96A1d($_Qd3tN{!Sp$Ir+UjhYkEFU
z!M**6xQqLp_189OyzjWz<+wvgH->wkpp5XsGDE$lq?w(!J~j!ns26p%PdXyGeX-yl
zFEK|=!B>+Pn<)B-AL5yKUS!VEn~R>f1emR63SXyjN;veB>%Io#WeWL=Et<NNuEekN
zZdnjgF!Mo?97Faqj#V82@}I*x7iAqZUG#Go$12fvRTq7nS>{hYbX%oGSMZ8X($=m;
zW(VIoU6OQ^O$y%S61e?=+&$%(?us{d7xy=Eyb>2>Yc25juwjM4A?=<|GP`A_{SI!l
zx8|NA^{&3R;QM1%<KIsd|HnPNt1l;4_w>Ni%KlSV`Bmh?Ce3%d@++A4|FuPZ>C%5z
z&P$iB+;(&Rtcq=|>9_x^oSJ_7?@iOn1M{t}@Efza?p|#3iNn9W((1@%&v||yO6Dw2
zwt29`{_E#A4fe11filaLbVz1-w-=gOD$m0*%MsQOoL?W;%>UEE<`C(>;%TSn%1Wo?
z1&!(^o!PFec^P8!E=X5|%?r>!_w(%mxed~d+%YV9O9fbN8a@!|sBhY>_)Yqlu#`)g
z<POG558_&?|8kZpML&4%W&FVR0q=y~8X=~%jSJT8P_A}z{ipWy3+K}Ihlg4(r4$%X
zU~^q3d3N#fbbk%ubxsQBTFPt!S{@0B%wO>14`=G;TMj~9uLDDR7qJ+xtM*?ZefHX>
z28}59K>jN6=l<7kTym-3#kllGFpt&5cm5j}=+sLk>{t64tedrE#*O0UOAK0^5Aq8)
zDKFA#c-!`gq0HsMtHU=Jg|G&c9+=CT-TOym;iQu*r=5KAXq#QbE2b;#Z+Mk_l#^R>
zg&syRt%wu>#p=X-@t=I>c5tx<EH5bKekXV-ZsL34JNk?G*)BLVR7B}@wYg3eoG35)
z(=aeqso@y&mCfIlOz`16x#fYR;#}?pkq>8y?@?H#)AeW4gVb~HHFq;^5mS(R_0CXU
z?MEQP>_hD~whvy~`Jb<-I(1oio*es!`Qgkj<{Ukc>f+K-f6(i3h1BWG67$qPn{+Pk
zwDGMt*thYD_>@mgwpYC$p9A$Z7OfGV^0Ucy|Ji5fWZs>rcHDVq=J~m-cOt(uam8<F
z-*xm(<e|$!^QL{=@`rVISIE8vUE;6wR#YwI^V|AB>fqL<*A~%J+QdWtJMH~o(zQtK
z;Mt~V3;V#T_<-Bp9V|Vr@}CoQ+A3~0>)*H|E3nV-o%GX}C%EfYZMdMv*IB{+wsDfq
z7Je_*MBSNr3xxx=wccys(kWrp+8iKrPIjx97n^CM2J@A~g}ie@q#DdJe(8j;7jtL0
zmmP81DbO4Jf?=M>ny}9e!8gPYm<sgQs0HxcH2MAK<kY^#>(ib;i(sxkzstnH<eKrJ
zCw{4ok2JI&z0ccxecf3vSB211;u}{lSr8@lH0I==9c3&*b3?9qJ2snSfm%df!3w6{
zsof%5ycSOcwScy;2epTkc{xruxdoQ?SNQ6cI$z|A_u|<gX&$yq6GHgB4|bTafuv7G
zDD-+iZ4vSDN}d9e_F=!&^X0r(vY%9N%*jn@sfrWCQqQPrMoc?q6K=FWGLm<R>C5=(
z$J$K7c1%juJr$;q%eHFyf$+vxI}>Ibrak97A3bHS!!6zr`BQQ%m-ZR-GrbI$q25@c
zb7r%HmFTnA4p!pNTov{TKD+I(OXOLo!d~u>`p3ICmt-d%i_$!{YSzcFF5$FAQ-xY)
z<}6i7To$G2I^$)SmvGv$sYWd`bC>EQK8w<HpTQb-YWu40%TC(2-E<bLskwN#IKt1!
zZQqp5uA19}W`@cx;Zj^F5_442+%jxcno!v;fvvAuu6JwnhGlJ7wLjdnb5UC0?yFw9
zVPD;6nY~L2-|hL0&v^H(TJhDkvM#}oRG&+Job)+H;4IhmJq5aK7n>@|Ydm*^HDyHZ
zDc-9UHz!Vbk6wlIhh-HDe}xL<c9yT(?s~EBL9F6U{zbMIzcpsO(CFZ6eI2pGula?`
zj&m)qO9kd~UzdKki)Rt{!z%5VaK|rxJJz*ot4+#l(KZVzJ?b75v*eZ61HH|YL_AMU
zd?ex7DI#naD%CkL=b7>UYOgqzrLJAxv39F_g$oN+X0y54w4YSm;V@;|=Ub^Zx=%Ab
zRjhBFQr4UvenwdIN<mu7Vwv8i-4k`z$lv7edS7;UUu2x>X-CnU#lKf=IzDw`h^qTa
zs~{e~UK1@)^n1msT=h<E7BTT!JWYG1y!IcDtcj<>G&3f<34@~hWycb~m2W0B<z;Ru
zR{cDC>OGHFlTX!YN=$I$2T4nIElFG{GqEW!lcz}avwh$*rOckU<uWD{UuEcW$2~f8
z?}8WqvNH)~FCJc+rh32E@9}%L&D$3gZkF=dS$@Cw+~h?ynJLAlpQEQ7O3>Q1T5sAS
zp-hni5PwsG7H?R3sijQLqHwlgR`bGxPflg6Tf@6d>fyS_@2cjReK@o%Axot7?47%Z
zE{DzAR_N(&u$9|Wy}bP6mf6c~ZQedT^e^ERcUb)*i3jf-=ka|knXz2?;Ga$6K8p|L
zJT;R$^Xzd;huul;<;|I0+36Klr&WucjLxfeAKY?)dwRvLGnYm6Vnh#ZO}MtWHz6wB
zHYr^<jNzWppDymgS3*B!&+X`Ay_~mUSJ6FjSN0};R(Z~so(8h)tJMz#2bQQUn#X-|
zc0$$J_9M<a1ze{$-RG)P|IuZ<uIONiu9blBQny}F8Fh<!n<G7Y7A?{ExP1BK#Ya>;
zC%QR_NQ+G~)y@o@BB!cW*CvwUr94%8=KVD(?ty(F&%7MxnQQ{bn4^fOSL#F&5pU)B
zAn7S?fipv<c{{e6crCipzwh`B?}Kj&e$|=r2rHWC#R<+6S<mLrIZw<+xgu;&sExc+
z@uE{r8c`zo!up(XV*f+~Q*~RyZff5sSj&AqjU%^ZMRtF<;uh62a|LdRJ&WUbTO`a~
z;D4&<Fw>pMn;bpoP2u+Xy5t>yY$iiTbyszY0`m#hu7;uoY#A(GOtBk(lpftA_Why*
z`vq<u#>WSEHgK$Ad>OZH3bP1Ps)OkThAm974)z92AyR_;8EjS!aS0p}>}d_I3B9$a
zcud-o&+?ZrGdsxSU7Os<Q+An8gwZ(SwD_VmEE>uFp@qQ{9(!iaQ(JmtGF!7C=LxrM
zJh$I>{$@(q-Z)iYa?o4PpBkATmMDHZ)TYkc9A%Tqa^;!l;st#Ew+`ldPgj?1sItxE
zm)_lNar{8`0y7rr<_P6^SK1>k&%46vFXv@+aP0wsJD=xG;VYJSwn^Q$!OSL8XiM{f
zMzyCWCigi!pI5?E)t)BsfTe=@iegO-N9=($ZWEjflw&d#OIkU0DwgnSaV(6mIPLtx
zROyNXUl!LwF`iRC46_gFzOlV`;eTpJ$DbpQ7H;X<UUS>RKJb_GO64i{pYj^;>+Mng
zv-RP_fU-wYLi;%1Nk4xn;m^B8{?WP}mmE9VANl3)QNGc)WtX;`$+0;0kA=bWE}Y+?
z$@O>6#C@-p?eYJAA@<|ailea~my0ZEoYHC1@<~LwQu^d^kCY|+y&^eF^d(=OcKdb3
z!#-(=eg2aD8B6vjEtzj}Dg4fqf~xZ#_unr2qxs1sXvXYMwJo!s$S+80`Bfa!`0mP~
zu4QUV>|Ne^)K96J@Xvx*>94m*+n4p1OfJn|#JVCp@o%Y>q0~$N-J122_hl^E|7_hK
zS*yd}YJ1}jzfE1jQT|l?CDS){w_kFTCoQ-To${wgq_f#;p>_&W&zFr8=gpp?)0#Jd
z-{_LKkJmn@jW>+CCpv8`3w&{2>g8`=ucb~O@2M^ITj(P4Z^6X48B@|)Crzx(T+-k3
zMP#!7!jLERH#`DoRC6s=PBy*NKXZy=tI@=t+-|?(?)=r7(t9S}?2@r^t+<{q*WZ?j
z|9U(lLo~#5b2LPRvx4qvT;ccPUaAsMrlGoddx^6qYlw{3$_1+ePH`MP$a+LNMpN{J
z;fyKA0<>H*-8J4y-#=`bcCfpscVXrm!Aat&N$uyW6V<8%wcq{vzIO$8$s5<&1=r(D
zn09?y#2IkN-GtlgZ^tx&=d<60?(<%M!QNqxnX>4^Hw9cWjE3?%gQOnqYu(#+<F1=l
zdnMbEP20Hxw{ZQI?PBW*Vg4-T(fFHb57YM*6QvT>oMM^28@<t0Xx^LJQFF&#E%lT~
zZb2?XW`Ta=<b$aielGgYd#~_bR|+_jwPCW*8=osNhL;##bIpGBz~+P5tve={G&V(^
zIM>K6kaFEa*n;O*fj}RVw*xER!(f?1><3gYG%Wsh!=rscu(E99x!w|<s^lfY7nV-+
zb9~=Z!nVuolBLG6*>TN}_PpfPI5=C+!Sh}Tr`N}2+uwY7;QQV|@?Ht+sZYzY1NzMG
zHgN69WD;I{Vz$~|L-oBcm-l|z-t(or_seIA8X1932dN3XA`D9%L<9J@FwAw3H{kJM
z*nB`}b@SVEX}9^NFoinUUSKL=sy$#3&}g`dbyh>!0(J?OV-1-Jj1jEc8WMRD`uR^a
z8YW0ZFoZSgCh%LZ#r@uJ{dSoF|BZN#lBK@is`b=9{FZQzN#IELHPX!ASe#IHpr&2I
z;CEu&!zFob9N}lTe19oxbMt+$B$xZkl|R4pzG0nPIRC=^<L_oUdUqd?`oMLA-LJv?
zfT)0Y+sjwZwic@VKLV8X6c^itu+RFmP$Xu~vsw+oEsvZF7x>Dba=E#Ve`#Z1jfP~&
zJ7>-X+wHFKb#X2h3rLbUyEEx|Ti{I2xjc)D0^B4*8#WzUAv8tUEp~^;)AoxtQ(P0T
z^u}aL=qiK@y4juxZNI2gRGN6DJ0@8|cc-24f7@@<oct?ZWdAgESFi71`2Afq&)<hT
zSWer=D%~%C@p#w5XxXalMXBF5E$s}8$oJjCb;{tP_BDH9-5CWYt`8QaE?Q==hArXQ
zObxMnQTAt~Qa<}m>Bvz&qa`f6AgZ^M&#_d^ipg}w3yCW!i)vl+OfQO<Es^zlvdhQh
z{JKjk8tyP?HM9jXn&d4KzObd!&+)UG6|?T_6_>=*4TYcne00KnwzQg*<7ZO?b6*q2
z%_7gHb*3duJ78lN$dh(uXQx!a=iW{!$LTj@E?DPqoyzkOVedMe$~WbOH|K(ocrTXJ
zUqM0|*QZZ%Og*viVb&4d?@LW)_!?-}XmY3Vx~a(DOpDiQ*>-h$)rF^gFAi;7xo-;Z
ztB*@%0_N*KZDOm@<TrWZtt!zb82>6&`ki;`3E!VVmKhhP|9TMfaVgi6Yqcxock0Hk
za>+YBoo#~khoxFi`f4);b{5C4a(j1bdfS9<-sMa^bx9UWlWcBX*uA9SmTUU$M78$I
zoM*&lXg)0A-8}W}g@RQM%exM(6bq5^Tk5F6uxfGPCuX$<k!_1M?Yd;u_mxTKY1skp
z1A@y!LM?P3IEl22z7|r+J|I@W7Q=9I#XP<e2HOJ$6FNV%I;aM4n=rYDl~tYizS!m)
z8{e^e{al4@E50pG3fL>}+cfKMhV);*#+yw1XOtCWZ-&{=YN+H`qImn6d*KcHnFp9+
z-f#HM{&$7!^Zr2ltOHl#9EFqXOnMH5svY3e6#RPZ?+e{CELWnP=W^OtwO&e@qVxNr
zNyh2^Gza!Nlh@BP|5c{iG#yiNy5F_G!b)-83O}xSLjGaPe@?zC`B9@xuPN>5;v1EU
zF#>xuv~)glonCY(i)HNxt{tXNSzkNtJIK1jUUZMDR*w4x?ZCwL@Ef9{-&zXdKQN}x
z5kF&ce4g~I%z3uvCYPC3T=3~$z-6qvF*adY&YX(bXG5Ak&OZEO>x`8`&zR1H8HXN>
zNy%n4zIr&O%`#(|AJ5O0nY$c5r@dlZJ98!bmgHq|7dFoP<shE+iv6enZ^NX{z6&8%
zf&9xpvQ~SxYKS{7Pe>DbdT>tLOxF;eVzD$$mK8z0P65J!b}J^YU@&nA;gC|+VmiA>
zD5G@+%NFM=ZD!{-UiQCXecfUjU+1oeRn05%JM|R1dKd8ZIC!z}KAI&g-gvT+a}%=+
z^T!=Vy$qKR2yNiJ;&`3w3&Y(D{EU+iFiq(DWV1kI1tVWW@dGZ8hB~eY7Bh(j_nC#}
zEszUfjpymR^@bzL_44flf&%TU4j&NNz>&kSb;7m{&l(jK<Pvu^I3_U7VAp!MpV4T+
zdG=F3v|m*IGdcB-$(}Fi$Eq96u?1?@kw1cM+m;E>V7Yg2Z_nS*2mZY$zA<lhuu;l8
z82iG1<qccs0$rxefc41|*?(3tg`cUaUzM`G^j`>T*4O1(W%kegr6YX4|G1oe#e#WK
z;h)e&+><$?&hP5V`||5DYuBp{tk)luc!&jDX^Xx3+&}-}F1P2!0W#f-auQ^Z?$vnb
zZmi+gpe=vHeY)Gmv-U^l+3wxklD9BQN37A)!D<4t2-}P)s@$TD*K|$Vq;Hw7;VIL(
zBGQ-ZWX60VmH%2J;{u5nZBH&Zy`AtxVK%1-<J1ER7Y^UMzUjfDz7HqL7=Jp58ni6(
z=GJ?(<EHyIc@5U8hx;}L*jU}@d}9#69PY%h^uX1o9OVyO5iQoH4Mq?1+{zelv}tQM
ze0m_|S|&Q9UE98)>tU2znb^%q466>hg|P)_n(aQ#e*Fu>sv|`e^6CGpK1lx174tjG
zSkkup`Z<OwZYhohzH1_nGrthcFl+eckj+@q8}Xd+O1Jd#DdJNYPSrSeF1RB;g+;5z
z@#KOo@hRV!tT{XC`M;YuoU5K8tFIxyG*>^vI>4}8dWxTRX@v9cqw*FngwDI|`Wehu
zzV!I1yt3-bTj{C$@9{>gyuZrMQC6hisf~r7TkfIbnNu^Sx~+ZVJF!sv_rc6dUcxrk
zN14w?WJcLsow@nanu<L}`bjz+65e4^TQ)sEd^)UbU+lx9VyS1nR<B{(wM#E6r(=<>
zc5c_A@I@KXB5$KI-M4I3@A_70E%x++7yF^oBe8O)Ha-x3XRe(dX7x1I@_B5f?j7;4
zs>64u-}xK1WBr;heY@AM&H0eGCTDi?V$HVy`LVWBc4TaQ*pksDzRu;Hk@m@pQ$)@t
zEiGu@JxS{9F@4)vmli4SyX|~Z;N6)FF=Ojln-*Ptd-fr#oY%UJx$?f1N@a}&qOQRU
z14Oy?1YJ`vhG;QOH5RuDQ0!W@Xl2lf)vkfPC$4jO)|y;(b^oy4T58cvF>|-gz8Q~>
zrY%*SHEZ$Bf-Rp8ZOiy)qB!f;&drmvcUNR=z9j5>_s-qZcWW25HfFpMk2cm=#OHol
zpx^w^)Wu2%DolC{zq9zOS6YdlO^-G4{hU{&u{q}L!ZV!n#NX<;U2dA7)43@1pmt2t
z>zBc+D%+UlG7d0?bu_OQ(rAwDUab95bM1l^Vj69$xiZ+i3jQp$U8=NW`OVu~3bryl
zT`}?Rlw~udG#Xa(t!r97rSXjdc#7Amt0-=RxTpBd$pYUt=kV2YY&#%dzeD@=pTv_=
z{^6Co4#{s^<9<o!{5jW6g+cS@MNE0>F-y?&z1W@J<TU=+W$#7oYMv$C*H~}#bOG12
z<o=MUfA97z(f#T1B6P`)x3{JpV*~YkZ}u*+U3q7E6LaR90#L_zv4_>PQ^J}SQ;#Wv
zq%HfGTo3x`#-+EU@YvKd|6M%mJvZwA{5`W!|K_)2`$O2xeyScV0L{v1Z8(*wA8A~u
z|5EMKwhPQ#L;N-gKNe9~9b>(sDfonP=-sJPrvG_5CH=}DMYR{kUEdF-)VM{SNVQ*_
z>+`B9eahK?8PAMwIj(yp`BWkNe^1%O>`J$tZ~Qat7TZ4gH7`)E=<xXr`JJ8VQ~K|`
zF}8BZdnqZZu>D^T-^AN(pO`0a`rp&#aQwW+v|8IQJ&zpTU*HVL5%6uA)U<+g`mrkt
zS<I;{fqEM19iJRn9jEYXaV}!|78ocX+LYC_g5!#S%h3hX6toyiSpthx`Yaa6WiVPb
z+9gQbVEU$XGx^Xb4Xw^3_6bMVgt!K7tq}@RmT$P?wRn=fabn%(AbD5bpqm=3zL!=!
z_Ih^wr(Rdo(oMcxx0YT!1DX)DTD-zrYxmLx?ozU#`NF08UB8wVIdHKpy?A=+nf}j7
zBD}5}z2AC>SikIby_hkjYUSLHMTL2@rmobO^`vy!&J44zw3ZCJu4gSfg<Vev3jUvT
zc5SmpX<n|&M#&u~i)RF$*JSLzm=(UpupnBhSul?O5Kpz=?eiPu=U-OTcy2kjk!${C
z)fIm%?>4*&FqT+7@A(w2S2cc-3mTta`6QDbx<LF!L*5t5r;AgbSx#-3Hvh5&S6bkP
zpL6^~?rhyOU;U=9aag$Qj%Yb&bG62>IhMN{QgqgzDp0?}ma_1bz=X!saJwBWFB)U{
zYfaxUWw192{<w7U$h%@IH{q*01pS?iB{S66EnI@HS}1N`xKr}P=YXwzil;8RSWoD!
z5jEf`S-`#5LGzHT?Q-Q7ZRZ^&EtU>5j9OMEu64e2;N15WlOCMR6QAX@V*XyEPTi(F
z$s3OAS8d>W!?RHAf&<6ajiN~$TbrLbY4AOjH3)ak5Zof@q#1u;Z7Ijnrftp|yj!H5
zUd9)M8|`yYTcfbgVaNOvznDa;?alwQEC2TVGS~lwd}V#p*J_<_S(0I=uR1Va;3{GK
z%kVlxXu`QX_6DZ}@zZyeAIMa2A6al1+6f5FVeBz{&7L%0iERSk6NXj?$pBsxhNF!U
zznHf=2w&j)!q9v`!hlzYVYP!$TC8dSy9u+bgT4Vv4%6-ff(3j>k{Y%**glY`;N8QV
z)GWyE!Cuthq+rPPmwi(s(*ltdOs^Wn76`vMTpK#mT(s)(oR_{!7jWl$6`E>>-z#a2
zKFxEdF1Grz*qMm3%@2LoC#W6h`8i`-qFVI4S<$gK-`f^mT=?c>WRgh~b5~>00?`wP
z*GM^LUv`j5i&5Ipkhz)v4#Un1R~UK^h#0iL+{&|t>2}U4#-lfir7cokAJ&w6ILrRP
zIfkSgFOBC2J$U8t?Ut-y1GmCK_S+nC?6(5Sxb77lXW>~cedemwj$;pIvH3N4D+q?}
zIxu^}*VfCXDT`0YF-toruR7CkpUHDV*=~DNeaRcO<!dcVIHgNFR(_Y-!6sB#Zpo{|
zIGbn3=R52|H#gWBYPt$;X^OrPen3&6Tg&-?%7z1ZU5!#9*=)NS{6b_Ijx|~?VA_}X
zK*}S*?#|9Qrk!uZ^{(hLoNBasz)`_`hr#p0d4|&m#F$^nF?hZRQ`;3P=(zHP*u!~y
z%$hzWOxtk(hSCa|?>A%xuXM)Lvy?U-Q(7TyBJF6qU@FHe*1cT|?Dj8z#u~nj&8J$w
zgz<RM%V4Pk0clK{7Rf0IsxuhQ9i6o4mFC%`{^N@^rs)bb+LSH-KIPGaS<Zn<s~N*j
z2WuUkoBBI=728+4rF=5!g%)*}8dDYsyqmau3isyUD?Mk{c}-r>y8KE*)6?ZwxT5O4
zVi&w!eudwv#!Gp@^?;^(c1yWKI(U~vJ`rNhl$;{w@p{1=hp3cxo22cH*BiVQ7=MO8
zU{;X+X8B5-HHiP5z*>XT%$Yo@KQ&%DZd04U7PyJ&y3hu09){=xngz_B$qGjg&tVK}
z^iANmU|iSe=y8illl2*!0k;fu_yIeEmPhX#PPOc0{=!^*KvLjv-YI5H{%3+8_-3%T
z1tyzJ=t}&?nBP#iV;R#*rZjbd{&VRJng>`uv2vKmdu%muZ`4x|c3oMpo+U_lQ{{;?
z#$b*uk{<4FCPpb7eeh+kyvN1`haI|@Ws{>Fmp4vfu;O3ha$&ZE7gH=-kkN}+h17>B
zC9)nj7f5J7ZFsb13b)sWAYF}<UZo2}w68W_S~KO3;kr9vUW}=cnxa#ZmzwCPe_GqJ
zZc60J3IA91T)k$voBN<(w{W(MUcq0-EuE|XbBLu*++ma$$To{>QP71PfvJsRO)F%!
z$hah1JoaHSK47zfMTX({0m%<+72Gk*8#5FbuWoC~VC8+&IKi4{QRoFvfwhh2nlofe
z1YI=sH$HTn!nKQYkx4*|%F<>bWzBcVZ^GtOx(SvFzBuB!e0J<NK{MS+&jb{;C$Tx)
zoOp%zROb@2fIO9@O<GEsEL&G^xaYYo>7K$NsjO>mmsIXdEl@vH%lTdFjxPsCxzMdM
zt<?<gEO*>i&Xj!TvSahZd2Q7a@8)^jYOrQ}=d(lofm~Cy!KAVWeeKq=@2(fTKV;jM
zdr-~S^^qRi@hp|R>J469M{0$RSxs_tl%819F>B_FP@AlIi=Odiy>q!Fc}KF~_@P>s
z?`C(}Czf!QTir2#v!bP1{@rGeU5(bf@3eP3-l%T&U|-)7H<LnPPtyf6rcY`3EB{-_
z-0S^J<{MtiH8i&H1TW@H>?*9Cdst%q!<H{x8V>u|J{9Zm-&`EFru|Yu<E`}5EOS;*
zQ@ok5+&E;VE4#Mh{MOi>fU^#=r)D(@{x~i0>}|1EO1%sB3bz>*b7Z=2<XWnvI_Rys
z;%wELoz8lw^zgg1Zo4116V@}9a-7$*H@=|Hv{*yGb(`43<R(qVh1?BVE&a|fY|8HU
zJqk;hG&i-;R!2hZf{W~-ZJT)6!~~7xf6CoZy%56WyWx6c)s&?h^B8M0*6}yblJNPM
zq1OKQt-gt9ciN_gl91DkyA)y>k6k#!v}*gppE@n;lXi2Ry?)5N|C82__673nK@;b*
zR9$q@FOj{mXiGwuv+zp?)>)m7!Ydu@rGpbB9%c)y;!F$++$vwD`X(o2JzLO&*$jLa
zQpCRebm0HP{ULSn%(`o?F<Uk@XR`RFB!2(4%hvq3UGS>4At}6n=kNc(Ic+X)n3mgx
zI*#ZyCLL9(VdYB?dqphz61{RVukP<sk?wzi+H+YS_+9o|FM4Nv#LIk%m+8G<zW09N
zz9B!u@uUOW1a1+=rw+UqIJPj}J-}eV<-_>-0N3f{*S`&Rwl{Jnh($16Ys`JXV8N=_
zkX^>pmRQhxquhb}!b!O+%zqE47_jRwOCR71=we>RwSqmW!EXV-MA~$w1kM@EW(`w3
zdi3DK)O(n|H3~oA6*%uE4>n6du3DM(1k0y}Bn9SbBXJF;Q#a#Sr5eo^NJ}u31(>sT
z--y&cn>2Al#!}Y{tEO5x%)TMGKvui7k#EhFv;Xv^!(K7&-58|*V(!#m4u%&^x~>2H
z$k^qmr#VY(qIdVQAN++*z03HXd|H$#o!WYF3$s@5GCvFL#Ve&l#C@v^%-n<di{4(m
z!u_gmS*^wI#Vh%DF3hlU$kUnC=WzLANdE=yjPoC^%t=VtocqExgXvk8*f~Yxix$5c
zQqG*N%t^Jfkc_r!`=sczI;~8pLBCN}>44(w(;xJmCbOP3;hWr)Aa(4I@3B8`54uPm
zQJmCsfpx_#jw6ergeJ5Zc&;$(P76vXXMB8uU*U{}LC=QIFHUhy==>u%E6S;oFZw!b
zu+g!7N;8ZcO%G0C&*E8@6i{st+VHDsrI?A7kMDw&30dsAEW!L4wll0QMoB7#ZF(%9
z!DQ7?S7ycZtRYm!dH2C7M~*R`VB~5vS|F9d5Y?!+K>mcw^P)zj1xy(%w;JtwP8J&Q
zE_!(VU`f-0>jzDGe>^_GRlui`yjp0{3RdpFt~|F4FYsE6x%-Q<Es&F7e{|t((TUd$
zz6$cqA-wOHk}kIM@LbVez|LHI=-ieM{EU|`=rV?9*qU}dyLs2*LZ@0@f&WD=yB3@N
zyIRioIFBgASULG_dNwhiaa+SmfytKFCTBg&V-mW!IQK+VV6DW__YJ8zK4Btj6^m?@
z@)s_(eIWZu^-WB7*f)j!Y;1x_-21#kb~7GVh+%${r@&{M!F+zh<vmxIJaNA$-4c=h
z-IHTGw~4|Zy+bjK%p9eyou&t$X|9^8bcg9p-juzm(k#2#W%@S+&r@5f(j_Laic9g_
zLDv;=Y<C<sb*_qCQN|f^;2vA~!W@x`Zndg~l8mPWio~R&C0(y_Y;H0rKEUuKUqQNg
z$(*->Ppkt=StJsqS@yK9XZgvMAo)|JC8~X!$ir8QR`4f!34UU*;dp5|f%&D-66p=M
z6TUNUKCyn`Ez4Q6TVh#vvn&h}SZ3L^EpV^AhVzP?6Q3K!9<Y3JJs}*in&Ue6eXUh|
z2kUr44(X+_D?Iad7n!=rl4+0QR8f(&8<kE>YZM7N+wrV8Y5H8j1|<>x#TmSxWEXIR
z23=ej=TqRaGUaf~qlha$3}peS%$ovFa0Px9DN}kav4JU8%Vg#O!4l;Y;z`eWT?2X7
zRV)q+;g;%OWOX4$<Z5%;q6`KTao6SrPc^)_4!>mAV9sht6Xq*hz%Rk#*Ql+)wteGA
z2kr}OJxrkC9>zUvn+j$}GcI{F&5T`xZB>Kq1Ca{uBM-lAlbONrtkLuV*NQ{FZ>2Uc
zAKAEHj(y7l`;Qsck{;~G8Z;C5BA9|Mq_It47TM5#<bb4rFy8|CQU|L?rVPp%$;>}(
zABcFk#2;&jOkk~GHF3Di_l0rq8a@MF9mbOmiUGg=6>n}&QkWhvonyLx%)Tc{CbQjo
z#jeNySX#eohr#>ryLRk|N&EY(zy6o&(Wl?!ZSMbnIi1Ho=ZCi7v<udCXY23f>HK|}
z-~8!$jqaZC{f7I#25s7YG2zFo)Aoinho46OkN#(TL?R}LN6(UNdQfvuKypE*;f^yB
zF=0G<x7nsAH$S}e;L~lk>GzE#{>}CkNiOj;lq%}YdiT9<MM#96-d3~K$6pvFmCQ8U
zwMN3QN{`LF`{J9r>kc!IN;CiJ|95cxpYHhoT0QIk9lQSjX}Eo$``wE_r1m=>Gk>OD
z|Fx}GKizI0TTgz>gY7wwvnq08c#C3q|6hOnDzDHqzNX@QQRV8sH{pgG?tedV;@_gX
zC;pvT9$)mAPcm}){2wRlkEiP;pPjgM_QqPa@aBu9JhLl)>wodD{V~-)^xv)J_m?f)
zzlqm&drVsIwC{Cq&Fg#nf0^(5ciyhrY<F#Y&(Ehv_e-TGpZjV3A;&O%OHD%kwjZy)
z*M8&JUEkhQ@ZyF4r_Zd<cW=J+*8f*c;nKdh&7Y4pZ@g-gJY%2pv2WRt>HAL~o3{Dc
zjaz4Ly`N&-#;u(=;eYM-^D}w76dh!nF8K3p`?=&|QocF!zn9@{QV|)8R}@t4_<8$J
z$Mr|m3r$78PtD2*4^&k9IsZ?!+Jz@DA@jepSH`bwD-##3t0<q4KTYjE_u7>^)`vLH
zev(!<y?s|?R876Z7Lo5$b+%kIb2cgOdUAfVbniCFPg`g8g!?Qw`Q0g7>z(%13q@@$
zb-$u(Hk<tXmv<%TPWY;YPkDaclK=N0|Kql;KkC+R6#+TG(%Hn^|I>460ZZq!x}RX>
zaT&fxYgM`5X3bWdb$r^B-;@2l3*%R|O*3{WUheVFX7|ObX3qP>_ST+anN?H224+ms
zzrQB&@mZh#GG2sQcJYqQ$@%#XPk6wLbcZ|pYQrVB-!AUBwo&yb%>LV<ZrKo1-+q(X
z^jrJ$o?P91_p^16)-IL0W#$}X_hk0cli!a=L7kvt@?!bVw9HR`FGO|y_7b~w*UZ^u
zeaWQvt=B?8KHQ%hF8MwGe(15kWwML52CLN1yZa(<wxa20e~_lV7iM++_A>pl{jFB=
zuDz!+bR$$?VS8F~(arYXwHMciIM?4^XSD3L<f76X&wcjJpn%TGKnkU-Q~ytM3TJ%!
zdvf{G9qV~a@9bQ^@$QR)6`accaaj-kKg|2j-k>je_Wt^?M|s?N)3|QP22b3*+Vc2c
zM^9Gml`fti=T3UTr@Ti~O+|Lioanh`ulaMX$=_P@KW+2B-DiKvo4u~TwZ?u^<p0xY
zfAeRH9u~?wdTWivY|;G9LOEfR&U$T+lr+=6oR)fXP0sA8$(xIE!{(hmwLOy6Z1sgS
z(VJ_8gr%3>KKT2gq*=vkIS;Q$%k8Ift}%G;FwNNPmV0f+S*h)j!e-f*(tK~O$((JP
zyg4oR+MKhqwnuWCWnWCwy}ibF_SV$RaW~iG&fc25xh(hEytB8qN3xr}zK|w+b4~K>
z(xlDjY;K&m%eTUk>D&)}<<3;j?T;cRC44T_es_9Ci2aWRaaP)%shry{MNCTkT&T_a
zRcQa82Rlxrsm_c~s|Y9(?tN0eDE4glMSib;%Gy6|r~G+0S18zE&Taijk7)(k_tVzy
zby1JIx9YB4LhNr5PcH9EA>7hGUao!i*o=8kdc5PN|BEkK{V>dwy|qSp_SbW1u{YOb
z&n`{gTz5L{Z}jZ1x6NLc=UjV#Yt8?R&2{I~{;JOw{r)`m?E3l_2cMPJ345w~@3{KO
zm*<nYJWuMW?GsdLxfg$t&g!&yI`O9KPx)=~p_*=gW*bhpx!_OwTBf>rUpP|sw=n57
zFedEp*~R2`;K{O%pxNpdKFzy%v^)H${rm4{*w%NRTs*nEe(S$UF+5#QE$e4JH9c0F
zu7CGNzTdlNQO%E%emxh9e|w*~_5M5ah;D2Cw4V;I8~Z-kaimq2y)Q2k7vHWJe%gG?
z?63cBPkaCC*!BHiyZ3)d-v7ma|A(*j-?I1rYmNW+H2%la_5c3Z|9KW(`R;h#qxJu`
z&i^SrzoPz+<f8q5g71qLY`+-L{4{*4`HA1@y7&J|9{hKxf6>|Vm!H^QlHz;6{?Er;
zrQ4zz&*ih<^1R>w@A!_yEa#l#e>r&`|Np)7)BoFj#(yR}UHbEp^Lu^UiRqkWyB_!l
z-Z=j+{)@?RR;_UPU#ri>{|PCL@i^XJ|4ZrE<xjR<){@S>g2(jPX7<K;9&9_ZP~M{M
zW&Nk8e?P5y_j$Vf$>P4J)i-(jriGaO3;g0<YQOoncD254e9HclT$wx4LNxyhR{nCT
zFn{}@tW=?NuexdfA2+`<@_)a1|Igp^{o(tTKd(<$2R*jUeE918I{En-WxuL#e)`i_
zvhK<0_@9CLXIH%OTyw{&`K?H;ba3Czx7TVX-tDxuRLT*N-M%>V_}k(ug?q1?-!8Cv
zGy7wl?Cl*^Ym#?`A2&O|_o`;m4$C`Iw>`Z1=P%oIF8z$M*@3>px63<tH=MJ&bolw}
zyLz^E2Ws}+n`&pb4nobbI2J2wR{vh&`jlJp%sC&HguGvqczj1%#cr#bGbT&R9-lex
z6P$HF(=2UP(C-!Z7fZ;#Yp+gTrn$BM<S`$wuX3h2XG{*?zWOk^Ec{i0LeH(hx7KHm
zy;*nVVCBbZk;&5ns?V4#{bqP`hUdzAo7tp|&weN?{W0V2RI3?+IcH23-zuNgJ9F6;
zy;s`|pGE(X%1OvyRk-o5<Y}|Kpo|OZCNFw3E{I=r&6l4UknS?KHs#Ryo)vn5CT^GV
zZoK!9cd3oZx*qHvG&j)H?aIWE@{qf=S0%!$SMHi;{9&Kf=BkOzZ*pX>RX=}ial6mz
z+qvt7`(&SQcfYG!^?F5idg=cRd8P7iyQbKcncVbz`)rc(%5y8EKHr0yGvj+yP}$-_
z>veqkD}q+JT~(Y~dsX$S<kzy8QqNNNt9P&8E-ckZEl=J4_*KDI#idyxvOzb)V}s@f
z<{uB84tC03+3@zDGVbgRU%wiMX_OxiZ4dbtIz1%)%I(6ds#j%0%j5HpUu~Rn_x<X{
zt7=cD*YDTQ-cY>5*z}5aYq9B^Z0ESIb5=jhkv^B#zUSV{)eHa4N)m{E^g?7^sQdrY
z&})V7e(8ezwfVJR<nf<nbMDJMzoz_3KfLIF#@k@`Qn}ge{nsmNwpp%voMYYBC*xi^
zC;#}*w}mBtGOi}>7m}KDM-Hs*wp`@#n`LwE+HdOn{MKT>?YVdI-@4ZvEVHZlQ*N}@
z>Q&(@AK6N~f^~hH-`<&bf6nU1HP+|y&%gcY8!!9q_4l{`D*u>UuC>atK6ho}?Z+{)
z>9=>@wLf<i9MI3p{=Zm#c%I3fck_?e|G&Iy@wUf4vO8_hT`k-;_x9h%F|yBZ7uHqh
zeea)V^=4(?9qXucm)l+bRXL_|*6ns-k3SlJeQY`>`|!ok=O3lcZF~5p%wpHQ8`lHo
z&y@TzZA0|J<JT+7msr)=1}<Ime%Df~#j6&ti(X~D>fOFo%zq?<wwV>%Tz=c%d)uo2
z?f&DhZS1^dt`=^SbvM2j2(ee@Z1q~JH;L;U&wukcKi6vh&Au-;UcI;>_i|_O@tb8O
zZ{<M@aJa_)cCXTT)m+^CHln^Jx6J+5gX_nSFZ~p89h4lt?OEatw)Nh;=`vn7quI7U
z{`F(ltG+J>*Z22(r^c6hmb&eg4UY}F8(8}NYgvq}*G+9nkea9$xYc;v{H@)0j;Y8s
zS=g?O#YVucF61U>scT8em)o4BZdY!)yC2`{dt#d2EalAqlfSS1;&|ow(lXmpm8+7W
z$u6?s<Qd*uxOMubt?e@5W&A1oxtDzTSLMpLVRPw~*XuTy&dGIOr#xpZI4=8R++VC-
zysvzj;rq9*?o7m;8NN-LcWlS5j{2xe?1A#rZ+*QwF(f^tx3E+NoRm$Eg?gX5mtk__
z`_+F}inafq**s+mRyA?|uSkTija67`^=+Eg*4L}Sx$f~R4{#u6^{n1IWv_PjhObxZ
z8f(&*F5<e{F<*1a-Ybe%L_e09lyZQ4fBbe~i9(7u-`R;<<Ud8fY+ljt{kH0r$13Ah
z$2zPgz3N-Fd%e`-EdA7MHp_K6XA{7lw{iw~{z=ubomS_z74NnyUI$6;+g}^omfo1;
zTzv2L)t$<<Z!$JbzI9d=T%tVNtGx2vie0}~8NT1T<V|zK?ZVQE%qf@H(|;ddT6SFk
ztY%$#-;!O6U%gv>?5m&mseSV<%vk?z`Ml_t>GPH@xsu0cG3iy$D#LhKjQm`snmT`$
zL{9D2zbZ?%axV$#UFEFxdzJSp<5zEYyn?uV`{P#*r}mw`C=q&_^YJ+^S5do;A2Tkj
zo8He|>Uu@u?D1AB<yUVOAG5f{eRGm?vC7-_KViRSR=a!AJ_H^gzFk;a&|Rw?y7<+E
zTWc$2JWJiKM$ET_xLfMAv99LtpvwvN>~H*Sw_iGM<L$Zfu1o<qP40t5%jZz<Q+C-`
zAqjwgk)0lv1Tgo@&v2c*9TB^fO_fZw*tq{^+j-obASG%(FMXx7f2?Tu6191|wB6$R
zms&XNxc{Y|A;6oNMTCKYfrG)lBPMKdk{pxGX$A(3(+mte3=9k*`31iDDWy57dKGyL
zybhoCN90t58CtkHDJUoy8=4uLC@3f>Bqtdf^eN8a&-(X1pKIwhnN6#X@ca}y#gaHF
zh4o(iABC1=&u6<Fz5l8)Y3XdyQf*pz`Q*$l!CRbSl^uH*W=>Sj%YO6mkJ;K|kB)D6
zW^<+go&=-N(Zcxx+!MN27}v2EU-#f)3XF($HM}0Q_hC|RqSf}FCvKN*<<*#D({otp
zUE}TO<#u|V^JZ6^)Du=I*cCNH+{Wwe?OBiivK$MXRQW-F<K`Hli%IdTYuBfr;WnNU
zJE4D})0(cH)a`|QCYsB<6a}xm$}L!Ryu|s)!D}b_Q<D$$O8Z}nj845e*}t1TEc>SF
zzdHUUVHVY?yEbyA9XYV|*p!{?AI8qgDlN;cV^M!{>95mq7p_mT@%4H?)-+6GcGQ1+
zzE5mMc-5Aw6`u`!wpM38{>QX;TbFN0(Ij3GqaRV>dsaRcY37+7JA<|6;7iT#mmcW}
zv<2Tk*74;_(zXrR!80qab6yP;V7jcS@h3X_y70SW9*iednHImz<@l(ZF_GofyqB*f
zOAgPxo@@Jk;WEv2dfHESN1W+eIm<ME*_#<JsyGrix6k1XWZGf-`TVp+>Ypv&?b7bs
zt!=0gb#C!Dg&u{d!#>H;_c|ZHa=-D&UTKo*$tTk^G-g(BHgTRLaQ=_=mJ4@Yw%jm&
zmFpiQVZFlmZ*|LyvIG9-GnU+wEH9WJ6(KsUa_Z76mK+D4oL1}j#l-u^(wH?q+d!Vv
z_N~N+rO)Ty%yV0pG(DvIOTLsBTlaL+mD@Z6QVg0mcd{j>JG67{yO4Cx@6*Od!PBb@
zj<QQlc$O3BlX!e$d{xD36_@6iFCy_y49ArWr`a?=J0ZSd$8jO{w<WKyz6*bltZ1x1
z+2K*Jg45x7x7bd_gj8vF2fx3byWGy6*Wg_Fzw0-DC8;n?Dd+MsKmUGaqqIkC>b}AV
zu^fX#Eje44$hk_eNC$p=yHVtAnQ~EZX`=AuKQ3RRSFJc}H*;CWM5|?cR&KhqEMdEj
zQu(2Di|*H{+?%cV?o0pw9ke29v6c7vtr8zBHwUqVHYT0hrF+MzJ=Q8pc4p_@jen-p
z&dFNkp(v=nV#0~J9H%o4`S<6@N}QD8J$ZK3)%bgRgtoUly5?NXTgSc9^yIg@-5Nip
zeEj=p-Cgd+O{a3g@2?SUnsxp5hh;6ZdKbpLY*^cp@X6u8%2(=gvb^rP^EndM6dP_-
z;<0#RUwwS#zZDa<9iIKqi6@+UyQ`Pwr>FBRORsNFUhc({&wn$8QSasT+w*2$Y?D9n
z^7l1+huiE`M?9|2GoAj;JHYGCryJ8$3X5K@+s089dg9Wr4;?q$-`NGa2zMEutz8%D
zdvHb9+xNagA+rNp=DzOTS0;C*rtL(D<NiISj7!Dj+9NbBesVhWO6>Sd&8{cY<t80C
zZ{Pge$}DR`MVeH@pF6KZN_=0vo55L@!Yp~!_Tx97hLp2>X46)xCH<9PITMk3;i<4m
z^u5d<B9rd*-}@_^=X^!R*=P5W?V`(DrX8D59B}mI%3mk$xa!)ARW1|fJZb;;`0cQ(
zYfZMFjxJdC>dw@ye5XAm!Y^D)Zv9&+aNINH?5`=0JQWJW41MHIt<ZHaoiY0pLw6NN
zrf&EHo~dfl0{>VPKP*X6WI1r^+MUj2st+}6(<i88D?EDm#&5DoW#Y}Y(p@F5m75uV
zrLs-$4cfq1%xUT>>FOYNb*rt<od;PJ^?MdPy}t3#&o5_#T#{>F&fnAcsA#GGzAH_$
z0#ZNjYu#&gG{kfMMW<8$r1#92l5+aD!<|g_#6#;`r}+iU*x?+gu73G$mcF{_Q^iR~
zFFoGux%kKpF+O2&!MQ(7{w7Rkw7Q@@U+=V8yr;3OZDDSPlDo}9ZfRZC-`7f~J2QT7
z)AwGbQ>1Kn`|pi^i#+#EvD)P@-TlxJv1wCInR6IiGCiivzR|~8>{wK#`aE|59$WS;
z{<AJEWvSkC;YR=YM}2=(51xL#LWnhZ>Hfzab9}27oOU+<YAy5mX56DY0!KdT@Lj%H
z?Yr;b{cWP`7nC~~R%;nJ-^fzA`^7t?!^fl{Z06$`r@ywWk2IaXE+g-3$QjF>2W{>>
zJ8<;yvYWi$`mY9>8??VwK4`=6=w$xx-ZIODwJZ}K&*c3h<K(kO*iIp~*<nfUqQ#eM
zBtIw4oAbBs$-a<1(^>b(>P?()ykLRTx(!7V$&V*qWsG}gkp87kL@+Kw=K8wpXRNxP
z&V2Rnr%u-wZ|UiRlU2X&j^A{d`^2O;u8qvw|K}bMZ(8eN6==fn@|FI-`{IA6EnndL
zL_)^G%T&->*l}k5w(cV>Z{?40IA-(gFsnYkORlt|`N{hauX$wtFO%oIAu#`m?nUY2
z-M`OtOC@kmKI*jnhGKc&mfOrmUr!(XI;ZatkKzAG1uLCw;|2C`ecJ5K6cQGA+{5s`
z^rz<OvH`y0-tT_-#haSgF1}pD_~-K4sb$Z1ih7-yu{QVCGUfw!t86s3MD6QMx}Gqv
z_v+TH`%7vUnz2btz0D!ucHw1l)z_mex><XTi+*aWtWLfw@bcm6m`|&tmNS~Tq#O`m
zwmVP!%^K<2yhOW_SV!SF8;R!A<_kiFd|t7gnH-$KIVF4XNfq<!U%RG=hIf@%1^H$@
zo+S5_^Gj1`j_tRzM_P5RsQ0ZAziqm`Y4VX3-`_Hb#kOz1>y}}-cboLdv?*fBr#TjE
zIJ)jkjIxg%v)IL^i4SJ9tUlvf<nWvK<|f~BKh3|?`7136>2UN5GB~k;f2+yeB9TQI
z8n#=~E?@o0^{kQQMCdY}%9r(gJQXJW^VA$`S4Fc~O;GNV+MdrVv#hya%_c?oi43<`
z)vov=R>1{D*|%PP`*kJdt5n3DO`?aV@-vm}pDFmS;sF1l&7S{+yyE&JIFCD9JxwWk
zwM94M?BD9ucBTApYwKM1d2iqTQnO03ej!_i+W8MPyRZD$TEVnl<41LiovFnXzN493
zyjwUw=Dw=?aWjjvm({&q^4fp?w%zxw^49;`lAj*5mN!l9#=G9=cFo5dianPX*t)*5
z;0SnfL%Ny6U-IEHburV`JcS3R?R+PmcvsPK)#5`vkD5~aR$VC15H#9n;bZDsQ?dHm
z7X1mUbUao10t;e06)m6g1gVF4-Ddr>X1{_V%hR9Y8%>Wmv?d*$6gw~SeP}|=sj1&L
z+_hfSk;>4W?LW~oD7uePOWkPB-F0^}Sm)X<I=0<WO8tHEBOlwS<cyjae}C>enO*Pq
zuYNBPX}>E<r^UL9^TyudY96km<qk||?ww1pUb{-#=r>0^t4?U(l<QuvcZ8j~)}wRb
zcRxq^)ve68j+$M+YhoXM?WobB#46Tf0+X~)oA2H--N21EbCG(>RdZqaB}I{L%1#dU
zYLk?E7L<v_scFjJ;PYg+>eI+m6t#b|Xi`~9_nbQw%IQ`z3ny|ueEREg+6{@1|DNbA
zNM`YVCOqrbHLm$KcduT0bX90+Q{o}s%!Vf3-%IZNH``%7rBB3yVQIpC%cXag+}o6X
zx#{i7jy_Jg6&E&LXtOHZr*e5my=|qCgn)x5+rdDVx+Bfj7pe|tgl&43@UP{E%NA8H
zmBnHA<zv_F&hV&T9az%gYAVs{z2CA#YscaTI~?QL6IqHBPuNukW>`Jm!m54u-wB^d
zoAy7bire>RdxM%6>tRn#7Oo#>)(2>wbnRnKE&iZ#N@$jAz>fGiwO;AQDn%cTF1{@v
z|9suQzkJeJOko}c9iLWO9JOIrt6K2t@dkONxXM)}TccHv2HLI6SQ=R|?Pyk7#L~U<
zw=SR6HrMuP!`n0Fy(bu1r#Z9)%zCj_eCcutEs+B?yqD#dw&`7CIl%B)lf&CXsakp2
zEKkL`ht9OF{~Pz@BiGq?S9`jT?439#{ai)w3$8TV)Q9Cqjz0<)m?@=QZfhE5BWzI@
zD|gS(Z|SFpnpc9nSe{+&+Iqj{w(=zpCL6AR?+zS+2d6z-FWdKb<$*7HkA!9~dRSq#
zzI|qakLvd6HTttApE#GytQA-keS~d=_u?0x3J#|>F44Uott6=8vpZ;B{qK_8s|IDI
z7PW_$D{lRL+~dXX#s@1RHoi9MczoyQt&2Ivj=TP+yB1#7d~u9R|EOB~*NGB>A|j%p
zg{=>Qz5K3Cm6?~kqtQlo7hC5^&M8OM#7Vq~wTY+=s1QFhk#+xaJM-KhI(L@4RaSKd
zNFS=4k#qWilN?`tJfqc_8C}dz=UhJD-jd`#bNdN4A+zNV+WdXo!jn3#ym@5(V9I^@
zZJ|f@WUTgzeEcQKGHj#E0Syc9w^ow8hHLD0**w!eKeawx?c4h6wH9gKeG&faXS`S6
zHd#Pwu|oUz2BYco?Y8r;i`ucoD)^pI^wAx29cRcqSMx2kx#nFsY2)6XYt1wiOIh68
zN|;`(QC3*}_|<iTT@A;s&*|6rb1&A#<p1@EoBr$^mm>}&<om?A_<Xqap6Ah;PbXfm
zTxE}~JY=YTRs5f7^z71es|5e<)Ce&3^Yiw*!+vGOJCEN2eR`%z6CP#dx6VHEHg{%o
z`G0XPzNt5(8<vZf{Cx5uK`4F38Z*%YypyaO8E;(U@V$R)|D(R2&v<^W%X88_*^;02
zajFET#O`fA^Dq6FCh_L+tCI^gWw|?S?w55wdeAoEl8*XTd7ToMIJR_=)^y%ui@Vf3
z9_Sj($W*<vVxP}7nXFq^Vviqsaqo=Lao2TCQrxx0i^T;qMLGihOrKU`u=z`d)uPCL
zho~xt0CnCSX6!#Nh~{&gy&;;Y(tBWw&i>6^Z<hwVV%nfJ=h0^VHD^O7SAR|CZ@!tJ
zbn3$_>)Re1louSny?=JR?HB2!&lmYr7yGG*tt(xosFdt_dV&7i1^Z>xq}WzJ&G@J(
zuxNt#NoL*;H=Vc1Z|vXLy2qhS!+OE@9U-OZoB8CYa?g6p7`m)*t-xW)z|?i^F%N3D
zf4%-%r(Ja6<gSjd%Z+DfHD)|wxz_IS<;T`pB^+~3l-b?py5+DZ<bV{PlmpNG+7EJ{
zKV9M2*(cRdny_+l+{bE<dVY_XCY=uUOQu3>e);=Z*CtK&?WtN9k)ZsiHETuNq0N&e
zqzV|@!{QH!M9f{Q5o4(MURCs_ZPrN_zIhuJE}koMkk1XN+L&-N{Fl7<&&~o<j#c-1
z7KCkyIrZ^YN78F=t}x!k7xf}9JD>KwvPt$7uleJy=ZlxyESC;G6EnLpoXvdy3el4*
z?LX=opIp7}hTP86M?U6UKU}+RX4Q(9|K4$kzmabKk}^kZ+oGJul}%qa{kJV#WT_$X
zi|K#Y^Snsc35>OF5<3?E<UX<H=;hnnR=7_HTl8qs>%(jJxOYDCZJ7Ibde_94=RbW3
zzHp3Z@8ads{FUpJWRL&KU7LIOd8G~GriPc{{wt^7eikddI@@XS=4}(|MMIhwFZ?^r
z-tNEM(vN$RGEToeZDMu3&8>j{E9W+W8?KhCeg!UZpYQ&mG;<NZ<BXT9F5JEO@95bp
z`xZN@I@IautO>Ax8t1bxrbpxZmnl<@q%0BZ<aNz-Z=HWwFIKPFFJ;@i6N{{((q{*L
z>|Fn@R^-^M##O-`WvV~9tsgDPF8nmrcjHlZf#b&SPM59w-@lZLiRD1Ffjj35m0&){
zJuBxhPvJb7#XM8;)1Jxx#aH6gp3Glqr_vVd(LDFY?34_Z#$8{|O05W9%z341?R_;%
z7QVi)fW1-*7g+NeudE3B=IRks`a990;-i%8{eYg9bV0S0hWj@;wjbH1eNRSU{iiwc
zJF_0z?PIvlUL>bGo7pSR_RWE>8y2rjxe>;+uSsLW&+TjuU(Ru+|Gsy2_d&N?YSUKa
zT}qMqJ<qgPi@$Cs*Zp`d<;TBvdF7Sb%Uy_E_2^jM^zcm=awQHF|9B$5&f~w{kxN18
ztw;5W<d^x(*q)jb`EPgjMV;$D8XO$cFG`q4`jq^byZH5NFRoq{#cQc~4EJB2iu-r;
z_T>Qn1di&nEIAkXQ_CtRf4jqUQ-AwL=9;5<ifbBn&+9z<kh!^9@LyvtV^rYsmkno*
zn5-@RvB)@3n_JZ-<;vckpy0rthk}glHP+3Pk?J-#wiTRydbhjS^||^}dlQ!O7}km1
z?f0H9^>+QnDUEf--_6XmN*cGd*D&h7Z;SOf{5bn+%@hXy&_m9l&*q%^6>v@EXV%%P
zYa9=6y8o{I!^A7M7QgR$?smDd_%J)$g|+&#pZ!v+uG;?QeR`0{9}|N&3R?;eyk9Lj
zfAX%i_B$oGV@^bJy+}X(VawdZjaBUdE*DSd?7VOAz$p6n=RMA=UA<;mwMoq1@?h(v
zi$a^lYJ1B!9$LA!LEI}+?`7B4jE>jymx}V-aA;q9MKAXEzw?dNt?BE^MP|>=_z-Jm
zFT@)cHPd3w8#SNmm}`-7KRY7}lkFrcG-abX0^TZz&apgReCD3q)3iN)YkX=Qu597(
z={osp<D<B>8~ivP%ukM(8Y(x}_dr;bLE|>D**9!nT(VIp3hsENIdfLj%)gHlWiM}i
zJ!9wVr^Qc~pOj3f<KaB=e~s8GO?jW&Jd6Afe>VB7T)e-|?Tz4u_SEwSl!^*p6dLC}
z*=q9aON5xl4ka(C6XtgUf6Hp_TDtz`^$TIE&wWxBea~q4<In_^6yI47`(wXv(Jo|H
z(P#O7e{OQMz=mk67M51l=8$mqZ+h>4K0hhBdUJ!VbEv_z4MkH;(sCxpPQTRpT~g4I
zi6!;nJO$bIkF#x7du>k^<mgtu<55#~O0}(c#-s_Q3lb*j?Efv_?Rab63Y&<9jpd@R
z)6M&4PP!8&|2I*L|8~HewRX!(gN0i)<xU$bzb_7&aUfTnTjPz*_80ROW~uy7&uL`p
zZ*~h=rTOcpPRg7GtUX_=1+E`2{I>APL?!Q2X9|*DoQRrG6uwmN=LZ|+)6+cf{@HEg
zxBd6mx3z`G-#&bD`=vF*?HNAHrhPR?S&{Q@_5#L#{P$eSGL2rBG41*ra>6#rSn6d`
zTjKx2?lM1`w9m%u{2g31@ww$M7BRu4H=BK>FUL1CZ{)r3EF;k@tmU*t{W-t4`~rJ4
zCX`(X`D5{P^YUgP`L#7}XBJBq-`2ii%YJ8``Y&_)rP`-_d^c~}f9K@6cAvI|_ZsiW
zX_w}&UNXIy+k3s~?Yh*T!dfisPc^P79A=oi(bnP0gMT~coL#1Lz2bXOBp-9|F<rmU
zs+-zBy?J?%{j!9naFCe#tO&#C?;Y;F{BOQ<aV$$?%evwDadzu!ajo#%TjwXMy1TB}
zIp@cm#Xly5U3Qf|yWmsv#Ysm~_4YRjmz(`Kbn1R^`|9ay>%68v-DvH>RIU;DW8PBp
z{YoD$+!cvGKE?Wlv+S|+9~<iQg*g8B8=b8RX5M<)<&1YfV|>J{?4m;U1y962emY&y
zHtEytNB>ytXa0Y+XQI^nyD#^x`?_eI*=^J3-`w6#W$l~1DMQ8S0oOv;SNo!lpAT%m
zSfTSU`smtq^G=E;Y+iL<YSOOoKP`uoJ#MtmUK#ZxFGTBz=#Nru&NWXe4<%Ju>Bk)C
z`ltE8^znS1H_QJ<ZhI%t-fd-jszhq#+^^@?28x79&suv$xv#q9v<%~ceh#bWVn<iE
zToHI9uOp?wZol}+0)|^JfAA$sgy-6IcSvaXOBM)P$k`eug;vYdDh6EsYkqX!j_9&)
z{JRfqzoNC_;Ds+w*!gWLnYXV<op|W{H*=n=bCfg6oTB)opRwgHyLKyfXRhZ?AN8oo
z-5*sH&gUB_7$)78bvy8I*Ut-IC+0qiyZ5ar@^@Qa^7*2Ehu*wqjVsC9dMn->Iv6o=
z=Ynl2N}anh7q8CL@15SRvW!<?p$&)7!sFG-r)zpmqZ*3Y*8Wm%dL_4M+N`fma;*<C
zf0nFHO4(Fm@>Q7k{)O!L<oFZiWj~qrg+=dO7WetF{Jq)U|1?&YY5k~u$M)gmuk1DV
z4;H)kIcq+ZeJ{GWlj{jjvgUW0%LkcLr`?~l`0J6$;;Wu{?@_Tk5&kn{pQ+!5BldP-
ziL2MSN6+}{&6WR)cZQPk-Jlh#ZGT-c=YDd9S827=%CARuP5d9?!BycSm#4@+-74Yr
zOX-)rTBS+TKD!lPO4;{a@bD?u&kRS^sv5rA1)Ex{+Zexlzx=GbujKnsjqsIPkq6@H
z)z7f^s!J|QR18|~yWizOOO(dksjORMe;jISvNVj==9zu1-bbYP?or9~+KWovZGZpd
z=<~hb>G?x|apHp$>O10%BGx{7|6kXL<)2bbLP)UZt?AbjQ=i`cacL3L$%}3?`kXhs
z+#nr4y?Nptchx(q8JzYmJ-U3!-&(f^a?f%O3rijiNJunV#qd_cUPiO^{UxUf>s-9v
ztg3Fdu`+vcy0A<7PV&B@Q&o%BTkg_7%5p+m{`eY8k;3A(7t;ka=X1Zixi8M)_5!v0
z%}=>!&%c^9`FNae_PV*9KG_o{-IXa+eA9Nsr{Ak<-EQu8+fq%!^b}23Bpf+7DOoG{
z+0)}MFWx)nWGEo5k^O(7&ix6@+w)={{Cy+x@`KD2nLLpLZwppxCCuHvZjQOzY|gW5
z-!|4<?tQ&YO42Zc*ZSAHou{>C3KdP9bGUq?c4c@wv*pz1`bXA=-n;nJq_{GJy|Cn9
z_|^Y`drjkaAFMX`YO36@W?S+)nI1LC$1+9}-#_kUJK!`SLhZHJ-p%_z&i9@D)~%A;
zj=TF$|CzAX1v}H<cQwlWd#8ROfAaHn^{@BGJTiLqXN8l{`IS|bc5yx0CEu6M4}WUe
zp(}seLujv0fJM+niI(j*gu5Ntu6M=NZtzRoeWX-wtA+z_a$;53oY%>RyIG!hpIm6k
zF@5`;DR1uP?GD@GfA&?q`|8*QrMu_9nBrw+el2H3Yk1it>n~^8_ZTL7{CnnGDWB?*
zyIK3<cAkZTGxuFxI!{vkfmrVvHLi;+@4pt_S<!PmX4b`t`e!P3eOoj84)fAo-wK#_
zWK4h0(B1s#RrP$C&JRm2{*OH^8x(Xp+OhM=f-4PSK4#6u!2yzdQ|wg_Br5Iy!>Ux>
z>7H5>{55qx8_#CXFFG$Llti7B?C4#5aMj{BYu-qC>3^#5DY95>mg!;6`mJC}qF#Ey
zpQpDjequLoXDCridsX}T@GZG|!PRfMbb9uk^==9<`!~5})$aJ;5r?(gkFGy5@xj3r
zGrx2iubn&DZgWbJ(6UblKP+8slfR_1(M5KNqvPvcZ$l>L<sOKrRy<(6;imZGTV^Mw
z1T`~q>;3z{Hv7<#zMa=H0{7Vn@b%=1-*uU*e6*=W^h4qDzyH}!1mBx?AX0Chi|MWf
z9JehNCI;NF;ZzWw!<6E6@ukpqy{nrS><sPZFNtybf4=LbZ$we+vOBjewoTK0>cby?
zLu<{0_?6#oC6~qNmaVbe+4Yd$uU74Qpn%Hfh|k({-j&FPseF6CKKzgP(i<E(p52K@
zLL<T+M!x6^jm}p1Hv7vVt9M(ry^-BLrTx(_E(RZCrt^vc=JLxX8r{}XiHT#2OnYhn
ziDU2nj~_P%%}cp=h20`3G&##}kBYK=%l7$K^-hOvT7QCPdFG_Nqt_n)dlgf?Iz_+Y
zLDZVw$59&=g)@J0bTRdt`e1$D=KbbH&r?2r-FEIxjGB5=xPHdX%I|4*Ki_@y6R%S2
z+wnv9!{r65_pqJgn4x;?P#3pBZ(jdbk+SFCkE$ME-}!Y;vv#}q<CL>;{L9yr&Gh>s
zc}FMgKewj%Jj-Ii^tjXaQiTsZpJdRse8bDwpifTIN|Ux^?ToLHkt}tu|Fq?q<>7M=
zWZm{XQv2$VY$@S$dGmy5uS_vb+j$EUemgYo-D`cLEu!k~?ZicOm*y{gb;?JM!R6BB
zgOX~RPn4GK3OKOuosgKPQf}<U^;2foDt!%5*s<>KtldX%U7xq;Q}-SxeiQqi@Fg={
zbEh|6{=st~If+gBKvi9TjF#tok;<?6vDdPyc4dFtVO06iVM|ZHrLysiZ82{zbFFP`
z)j3?mu3H(r@9bp<oy8WHGu6(gc(I;o6x{2YdUiqeUHcEOq<F6_xPDmF>FV#bnu&R*
zy!WwZpLum|KEJGR&HpX2ozJ$0oXeb`!t-@OOpr`&ecU{`u2(B2v+S*UESKL{Bs}Np
zQP#=ng*@W-uLym$IMHv|l~>Xr^Q*9P*MdE+{H|i^eMcv3DEX_oHh+m@v(ZF{Ew0iv
zQ=`=$E$sVO{`p+-#_8-kFJ2J879X6or)67vDWBQo9m?M8#Jao<&vyi6ojMY@wP!<-
z`YgxyXEyR~h*{~lrRu3~>Abs-veRtO|C^x6)OBRi1-a8#leTPFthS>2n^^42&wDq=
znp8SGu1&vww&Uv$b+1i5r{nI=aEM==y!h%K_t;0_6KktXZ(cCk@cq=q$p^O7?GpN&
zWqO9m=hJk5gHpS4we;jyialZT*zQK|yIS-1%&goQ8_%{MVB2byv0<k0&U2OgKf>NR
z&wP2~*3AXw$!FhPW(?VQ?V73g>a}}s&;L?hdv9Nuv*X%|tFA_kh8K_CG!Aeux+@rE
zxa5B=^XA`&CqCF#ze-EqRx4ZA!+)OOr;E<fK@WbV%4Z~>HS|8~u)S?clf+gR9{=Ts
z4sBV)VPQ1y>b6x2yXGFbKcDB_%1bv)@_QTd_b-$UoGrzE)9A|YR>|6_8#G#;2>kNC
z_VfN9PnL!QpVCvA7cMiu(0SoPY+LPfru>ajKO|)=?>EY|Y^;dLW?Lw|P)jJr<CE@D
z-Yv7|D=jXGEI%+$^Fhd?GdIt8`OKQrKetR<szs8EvB=oNueyHf*G+#5R{xYJbUv@|
z+v`@_=DPjOD(T%$Z}X~K|F)l4vg&3J-&DW1-z?@Q&W*|c7_+i%y9v|YWO>!^d(9Q6
z86|r%^-b)y5zSTFJx?xNNrr3s3tleG?7EAOgEr@%vej7DVq0Kb^yXc*`0}H5&)22x
z6?T^WU(VCz;Ce!cZ~vQT;w`gMwA_#MGVM6AXxf9REG0J`T?#MD%FImeK6Jn8n_tAo
z&L<}_%sEXR<zF?J`+Cc!J#hKmKi{L9NAc)u(VRaHUsWU8zJw>Fz6vV+x~g?a<CSgc
zs$C6nLO(9}Z|-2<8NmGSny;XEm{sSDb=7-q<8E_i8=kDF(%US$*KyILdA6$I(^sDs
z>dCD#|B-e=ZG!eq8GVtBsvE^#*yXsZuKMO0^KMoBUxr}i8*A=dzSsZf*zP~_b8{AK
z-60qFZ)fe{6-^5gW7i!}y>fO-(f2;%O>>stD!Rv~TJFNvyyLais_4`h|A}eUF>*}B
z&)WrbL)Qw57F@M4eE)KjC|}~)IonF-I9B`U&fo1@@xYt&$PvZ;%#n5+8w2FGT~g~@
zr_H;0!p+hcJBB5j%_q(Kb@ln_3bla5yDW!IPKd11xF7Ln`=(~yU&+~vT;`pBnf}>>
z_xXhlo6V}8M?L)X^k3kH#rfjjzrS>IU(FuKX^{0<_KN(x@MEnf3O;NVnEdQ~XT{W;
z_YSM@rB#X*UM%{=sA!XTd2c(5cHp<qRsnrQ6FgSNJ;>dWSMTxkQrYvp){pBQ^R13%
zo6Z!|3pjGKIPY_4oAoY*tcyX*{p_qRO%;h#eSG_eo0yZ$#GD=ZRg#6f|J|FgaMo(!
zLjsRD&xd<VxvTYKe%FCn$D_n8e3nMYJH$>|S&{f~uW;Z@#nYQLUPQ`Edi=S#x%g($
z)7gSEo*Y}M)X8}{=x0QJCgYcR@6GNMNPh~sxa|AJ=kxB~_;OX_>1WG{tjlULf_ExT
zno;%9Ffwhio{v*^oYU=O*E3p7FWv>NFF9l;6U)8q%7)kfj<wu0c@d>~@KUFOeSP{=
z)zyo&?Y739Sa<5!w68l>vX<L@N<DYn$ziGWAIt95CwO<XoOtQ+J)!fXZYIa>n)zW-
zXMQ|!5)`*()LFjv{)L}B6KwB%zrMihgjs0O8QuAprmfyqqnBr(9b=^@RJBp9BDE``
z`OAc9_am+?U0twaX7K;RG7P)DYY$a^N{jO-&3$FMjq^dW;l7%Vp!;fSPh!&S#a^gA
zSj$^qXA(3unR8!~`{%hYdbmBFu9(5HTR>i(E6+z==GwV0tDjz7f8T3U-iDJ~CX`55
zeKotgzGt#_lz#b}Wo;>`N(Z*Ge3+=Uz;?44uau5QmYbb@cVpP^`pkXnpRZ+kwZc<k
zpGn(>dH#z}N-J(WtT1!R^r^Sp%~TUP)D%QmE;%w8$m(TZ^)c<*#@010?TNq|32(N!
z-lulTOZPmrOg?Cq+EdW^_y5Fie;-K~-~VeqSw++3P6Ky+a4qNYS!dUH`6jaZyv*g=
zDERNQ;Yrz7i?aIjHq8<7zG-=|cJ{1O$0nVxe0OJ}q2?cZ{$utZXL&qV>yPkyKX2#D
z`uOYGY~Bl3trU*niYUBy`TF%RP3t|un>6YRjJx^vXwGK#l)2n;L6}qNWV-2`-Ido5
z{aM?zT2G(t_wp5KLdO3D?GHIyot>Y<@zsmlR?PIPvs>qB_Q$K=&s=`N#r(|;)k;lm
zyVTyMrAG35f5aS4-gev7>5lxaz6kzzQx*J+9WHe2=i;>Ty~A}ih2c=qa(4#5`s@`i
z+Un|;+i2e38+Rsm$7RJ8E6eXWW`rJp_|efkySXc<Z(nHTw2oJY+U7pZk}3S|{$ZMl
zp~h^<u*5jC3d3IkGa}`zThG+aEZga4B_|+J|B?5?&zAOM0t&n#namkBjR)ST%{q3#
z;zY+%JypZiB1=A7{*>KSqp{FQ*53K~!b6gmziG;xWY3v5@v7XN>>D?E4s+SRY!^Jj
z*iiV9htFI0xv+-+jIGK2a~Hqqvj62j$4cnTvHM2hGcLF=YA@)h$<~#P`*SGA<IJMn
zV$YKJMJKYAzb@j*sg#{ED=0lh)Lv9D`TFt)3kq_VE{Hr<B-B|r$vx-ef)!WF)?eRg
z%Hr3*TkD{RPrVt(w{4!h`6|`y?)SWv)VI&N@@_@>>%t<rn5Zz@Ss90&bk}!Ho#kA2
zX@U0FwMHxdZP@xk%w0ow@hYGG(3FIjSzdCw{%oFAC7+Z|EJ!R1RAj$C*EiVDT<CHw
zv+&Vn^>!t9L*-4*&W!!{=(S(MF&Fi$;1?TB_SY;l-65zdpkROF?yT%IiOK6UK0k<O
zy?pQPujw~q0zUV%Ov_{1ccx<YpR6@~+y|cjd9T^*#XK>1#o0BrMll+f@;8+plXJ1r
z4eX2AIBk2`u4BCpdH=hvgs-tUcP~ZnWx~uqrUw^k$#~shs9<83ijVS1z05K}Yi6yK
z?)ys<8{IN*{Z&~M?;E}|A){h9qwxIvX(ih#mfVbWwtrz<J(s_G&1#pYohkCX^=G1)
zTZ0X<)n&qdRhzF;Ze>g750?1+EKuAg?Mk|c;ZN&^9c3#twK|SQSe;z<R4#w_zQ5ML
zJ!}kCFnrcubF%Qm&&fJgU;8KX9oYC{-j7uKU1vV4ANrSeJ=r=$KlEsfSAy*B=*P>Z
zpI^T!piYsSvAiYbeA2<wPk!b~UN1|wDP+1irRC^)m%MGiC0@+T`)a-5r1SSLRSW)U
z8CER(n$J5$sohR)|JgT+`5)7+?5vvSbSqzx$Nrl)^PN+gOTwM)Y`^g{g$T1Uzk0dZ
z@I=(%vtE0*{hRyhcl6B<J2UO%{`_S>$8n3J%PQcTR!-p8IY!5w4{i47%&D4k_1%pR
zt9XC&%7?sNx}ZzK=<)S1fr?jRZ;Tcx%?WsR;grkdUgbs!{g+xvk^8)kymCz464A&v
z{r!Bk%LQ+LFZy|w)hvjW)%4VqsW19J{9A6HQTyb;ZiD+D-<v;RQ(isw+C3hr#z}$}
z8^o_X*Iv)D=!4<XdrLG}9}CR6xBJa)`D<67WzWjBI48U_BW>N4$5#z^tG*};%CT7y
zx!WRrpMfM-;~e(0i}p=!S&^3I5Uk28)qFsrBG|9@-?1-8C)F=eJ6A0eQpeL*&$o4}
z&2y!8;YF@H4$D^Tn!Ck3yvj-Z>{9287w4rYhV6`><7FQ8+w2BsR?vs1cltSR@@~HS
zI!!VwefhIZ)_dM1Nj1kSub(N}zu~6or49P~g$pDyU+NU?ydpX0^la_jFW9;q)Yl8W
zSj2T++V9dYpZ)c2k$YX<UMVkAoRKws-#x~UM~%+-Tc%ysY<1AQnjLcU^4(WSE(tDt
z%QJ2ME?eRHLUrPce}V~*^=E`As=haxf2sKQg=~9=*4ukcSq&Hc@n9*6R$y>97SY=*
zWix%2tkl25A9)%MEK0P_P<qLz#dgtf`J_A3?0fwlh*!U#ROHsUE6gj);c^6<@^Pb5
z_Qmh}7Zgd|*7{Q=SaamZF3xGmHw4t(ID_AFCacVvwt4Q)iPOt}Y|ia_aNXI?@@(yw
z+R)1NGXEMHj$T`nY4S~A+nYy5mmfASnmp^#yd_qbS^g#e_e!o{44$}(lhZ3iHrq4(
z-0Snt<X$%UuSlF!b-&Zu>$SFOe`dLep4I69x4V35Pd0K~a<Ma?$nZGj)rpPAx4y|t
zy0y%yP)AAq-*2xq>#BvlPEP&6lrYEfp%Zs~MaiAzOC%>goL?Q*_4cFcy!<&S0$cRt
zFZI3iUHeM5U_)=MkFMUe=Vk6Qy<)`|Y`N3MbZD_!R^9jFMw<tTe$4rS;$QC1S(DX~
zaA%XqBiCw=uMeYcFfZ9tQ<B@Mt5NNq)v?E8Wzkm4!!q$AHZkJ4$3F|&%uL=L*KPRd
zUfaQM@!p$G1@whxZ8*4PyXJ-zK`)Mmgx&d5<{#a)Yxg=|Lk5w2Rpttw-_!P<IhpOS
zLNLYP%uA8W-#$f@FU>PJ=p^##*rus_G^|W(B&t^4DZH9LS7L^y+2gOTv!Aa!;9VB?
z#p2OQ&0SZl?XHTsPwZP$wL~bT+mg>SHMrcDJ>vg_r|YB_WTgmXxR>1eaQTzF9e@7)
z>Ed;3yf^vmC{#@8kbHZ*ncI5_pS{EN^$u?JyPY4cYwKOT=*+6uuT`ztw|Ab|TJSa1
zcXGzAIYLHTSLUCwS~HU;F8IQK<yg1Ejag~Rt*`Bc8AUP;Iz!ehOO9On^v3ttmqOAB
z8+Lf?-E6fkTzIEN`P*ujt$I_8IxZ~hc;Xn}%3>JEyYkRN2DPRGy}O<H7cJ$D>~h?`
z(Y)rljqOa06D}q9?LUb)1^t!YU1br-sV5?1|Bypr>fB%dC2y_BG;3xpR#5QH{F;}q
z`u0}jiI~uj>aweU877~3cOv4E+Ytf(>Ec&jcyBcL93$InYWOUr;e(X?x9Nw!KHA<G
z|KanF<d)DKEyb@ySI<7oeqO(^@v8N%GoOxa{hKTFV$njji%EwgSMp}8I282oD8JHH
zUV&@hicX(uIwPcgGN)zYmZx(nUu`)mZSiSQ)vnqls}!Z%r(b>Gn3H$t$rhHgyZbkl
zJ~@{-L!-l|!M<_tLe(E{Kd+g)_rj#x^_9tCrCGcNjx&M;|KAbe?fm-6<TPLKqciH)
zB);uPJgW43vicD#3D#qC6BJf%oA2omwBue{ZoGsF&mD<Ben*UxI48{d*j-V-dcp-W
z<CM>`1(_vH41r6_^p3u~uUc>~XzjUsH--O1pJQf7y#3Il#Y|iLb^7j^IX1Dy^{!su
z`9%$`$Gs}n&2PIu=lZ(ar?O7m6pyRCzUD{<bN54)++3%9HqS!7xvTz-lMuZ#EsiH6
z@wwCQ)8`wQRux`&Q2c9QpnhxqOwlg)AIVE6eL2It<Mbbm7k{pWTS&>S+uxfx;g;e=
z(?apS@{MmMZ`y3P)2w>>(%xTNZoR*^`|pCQCgtM!*`E$&9%io4XyX#`yB%(HP&_&Q
z_==EC<xdw*cKc|ndU|`?-&q<Hgmyhk{r$a3igTa*!=210|GzSx5j;7i>BE=E^WHr>
z!}n$1EZ?<|Q;3mk{+-S1idr7d@-(sboHcv@9D|r=whFy#nch81mP==MlGr-E^ZyRd
zMX?J%&fWa{MSbN2KKaBWxnc|V{oA?r`lG8x0l8n)p69O-eYoyXNfpb7=+b5Lx&B5^
z7voLc>r}jO&7;G@{ttB|0{_|_QmsArF5>6&+-}bDOZ>aN6?^9?2OX7{u-<g8nP+l}
zE!($gPo!m29_7_flh=;f-zdkny4TpA-;H5?_Ofl99X57v<TSKc9kTr%sTKX(YMjCl
zZ1mQrCGB^d#LN5B9(B%m+7tX})qH*PoHLKk|Ks>&pz?Ni!h*#IBi=+FJa)Kz|HtZ;
z|9I5q74PS8VG(vW@_)21@x5|b!uR)5T^FtQ-?;L~dhNcGr+L=QzxDmRyV=fLzrA84
zJxx}>eZP9Sum9s#_nni!2l9xV%6*slr~ZaAkIMVh!p`D3)~m`Rwyv5N?0j|ijQ@QV
zk;+0JrnU<QM~C-09y=>=dFrKJ1JA=6TFLW^w+9|{QD^9QdUwvAYpPQd*F{9-&G$BY
z{O;IUcJaRF*RT4CzgJDF=VbW0{oQZ=f5j6U0ykx=O>ySwn$o*>E6dD6WfpfYmj0hV
z7T#1k`LE8(R(S83lG9~c>vuf(_#;JFt7eUv{<o8MR~AfruV%rZyDqgqDci!1H74|v
z^3B@*iP=m1*T(&yu$yz@8`k$B>lkGBwx*t6#LlHEZ&3ST)pT7CU;AGH3$uM?BV09u
z)@oJ8Z@pohbLbgsPFkA|=ZgNYrqw5Ny_R!*+#4T~B3H5WvCG95>8np^AFTMk;`7tI
zjLR$c*9aOvV-1_iVD!;wUuRu*pWc>x=ZdHEhWN3(*Z-O|W1i^S=l_gZzP<X_YEyqh
zd3ww?4*!V`A9re2&)prv#Vl}Dc+IU-XT7D`g1%bMerY!6PS)F;C8t;HJiAWIRO>Lm
z{@j|67nK9<{A`cXTGh`Mb$yPEc=^&v6Q4c4kbm~^zb}6sd6u0B-W2*}+LFTA`@-%`
z?)>b1@YV&j1FH3Xv(CEj|E9<BeM(<fmeHE;XP$Hw3vjz7)iWHrb8%%ZXUPoHB`pF|
znZw%H{>!cwHML*z)4KMF0{<1&`3wBsJuCk^=T@)M?;9&$oaNRM=$f~}a+Vvzxtcr5
zOZS|g;+%Yx#eL74MPFTRr7<79WT7m+S@7lG;x~D<#(5KWTi+CJ$c|NPYco(`Sj4mH
z)#(qyJ6Ept_21;j{9dy5d*7!CPpaqf@vFtEGrX1FU9o9x+cNHj3yR!xLT|gI3%Z>y
zGnp3Se3N$;-`<q7-9hIsEVSh>6)2M0Qh3a;{<`L)z8{wQ?#c~;yBiGs%~{;_KIPcW
z6*73Woxw}XB|ouE^`b?pb%#{OoEqs@n<^b&=Or(W^Yp3zZ9ipsiKzE$7p6l2nhb(&
zmHG)=h0fGx34|DapD5<F^hJWS+wpVL%BFlN(&A5Mw(Pwn)(}@a?~g}K)6=CF4}7m;
zdD10(?WVWfstb<0mbJayHG{L5^=9nd&R3jvmbWLb=Ta4EVtd48dS}1=hrOIk#Zwok
zhdi!TsJVFNaP$Tb-Ypw`{V#qglVq|^q<#8*ZQ+Tt&uGsJU)FjfC#g}`)go)ok%d+t
zliqFBoyVl3xGuf3FQV!D%6Qio6Q^;xUtp5qJ8CAplw0RjlFyH=@6tc;e%hL^xYu2v
z=h37_U-!j}_IGV$O}({cd;M2V&rfge7R$b!E7I@M5xnN#q;=h_?yGLSeK4(E<zi32
zk&=0`-gBL#|7zwNXE&RN9-2R8`p+zFwaxK)&#k|%|6lua3WIK@^yV&SnTwWhZnU|a
zeZ78bVC1A))|i?E`|ZMsJEm+16D~GmWGNCzYTPP+y6}HlLC}9bHOmH(c{AQfbnt)c
zm=IL#`n^fFbz<PQ;>sMeI+ndTW(q?0&rUtl?=j)wo#yN-3ftO$A9_4*!aW7yj~n0J
z6Z=rMKjWu^hh@B+P<&SS?fEIrQi2cH%z9xgaEY_y>8-c>8dkmbQ@<%J^x|`Hf$-1y
z*IqA+{pWsJNnhRYgwKZEl?QTaQuX5VruEkU6NoMmE;)9m|J8)22b5V;^V#(>zg@W$
zzCirY#CQ3e#Ytza4;(7=WS-ZMP_~WzeULQA&#dG_@mn3P**Lvy^mbHkx6gGk*t!1u
z`@MS7V%wie84E7)_S#rcD1P{EnR~L;kFy^hbH4Sy<LOm%W0O=E%UL<QmF-IknwFGj
zxV9YK{QmiZWl@qJ7<<$i%<c1+_%f#{EMUmkRQ5{Eca_DiHOqKE9E{dbJYVJhVs+B-
ziJrR}&#w5r^SbPvUY9uw{t5KQ6a}bt>#qBxEElP>F?-MR|DUCeQ#HL-{=CW{dwFtO
z5KsHB6VLoF>;Cn=vbffxTXGS@)s>rHDb*Ef7}&0w-<0bepEdub!I^9M_e3uUol3Q6
zd)2KfZh61)s;eH0YHt#U)~_2?p>lSYatxJwiwz4irZa>JzWj8vuHWY0h0=9X&u1z1
zDlc~zE<bJ`>#nfB_j{x0Vdl+6@0*P{1H2Dx5w6$QULWQck{AE@+C)|jmYMw9J?DFU
zab5b_Mf15##68QD-LfZF7wcX$U-Z87j?vT$v+Hh`rx@=#U%m5Wz#>CGaTl8fQg?X|
z22@pi`ERr~UAKRhRq^a2$Ih|JZ+ZAO!rjE}nAhu*TMBm9=S*oUYLV4S$z=LaVLX9<
z@zNLZDN&a%Tsdd=^0r4)+}*`5c29j4%J$bRuKvE)qP5z#lTXj}Dl^_I!+kaQ^;?~~
zG+rxS!>5{4<|(dWRQN2Icqsg0QZ3&uwwjuaYb`}yi`;p7?B|9e%W03<$|LQxT(7A|
zPCV|na%rsaGq=xr`72kYU;D`|lWB0~&+P-UUtjO$_2h7<@!zseZPu2om?NgET;(O4
z8kw0A%a+ExU3IH3HMt{k%L9#5-@XS3^H>YW9R4(SOWJw;B#*4(k6Z!X-uwLa8y6lv
zcUX+C?{RR3i>s()y42~5?xB6hqHB2<b}W!jNbA2j$6CKq?&;l@Pxt>w^>g^xm)8lb
z+0yk^#AEsmmAx}7jLa>XlB5d%nJJW=j6Pia^LmTJ>q$AOG2Y4X`h7C(r=K4__-923
z;|48__rL$U?wX?>&t&h{6?)+8#HBK2%Hqe~JicSm<~3b>ebUK(n<JZ&Z*mqzUSAd)
zu;=e=qkvy0ZT8Qda5Qv={DCQwj~KS}H5`}qWSFo{T%hBWi`mm79#Oo)rkjpEx~y6o
zd5$6M^{JO-RqxB0>K8xuFZ7veKg(}bQ|`aY3AxJ*6w@7U3A)YD?|Nw}y@_W%Q*y^z
z#v7~HkF3b_zREGDoaO7C8BwxZ>{KuR{m;6@*zhis>)9jEmPvg1>0q{FKVPnglB>?$
z#;@uTPH$@T>uPf^?2FoPv*qQv{D~a>LAfcHrgN~hzvgT&ICkdE<7z9Pi%%-2{<@iR
z^|^NT$r1yHP^%@`u2#0T+@32>v8f5ZeqgYW<ACR;j~+kli*JecdT+n6`P;!cn~%r+
z`CD38ommpd^?HW_r{*oOC2mG14wk<$Vh`3_8+Rz2ll4rKW^&cijP2g(_3!SreZG;h
zYPod7ztG%s+6-UU=B{zgZaZRN$2q&;>XB8OohqE?%0>CfDV|U``&YQ_+Jvd!zZUHX
zuAl9=IO$V>YmMK!olblHdDjQt*{blN!^Pt}Z`-%~w(aTlJ8u`UKg(c#FYxizuN&pl
zRSg!}`X8EgNUbYDy8Xqmv-7V!eb!r(|2x_|Yx?%B42dVh?5jDdR=D!)G<8Y%`D@{?
zTa)+%uV1Wt9(G}Rg5849gStOsEH3m%-}9O1ZehM_)<ui1Ct}xvwRZ%)c=W8d=4!l4
zbIs#>?;m&0*IBU0=dJIfsKg3J)AcXnCT;9<e;pR2yH{nZnL0C9PGH^i`<0u^^)9UX
zeu;0LqT|co@v&;>@3fWelzM$!;)+nc8ZWn<O5xfsCwOabPr0*x*<7WkB2yMi-{idi
z>(mUZ$?=O*F6^38cp}Pp!3jQz3H7%%mUT8pzW8GH=hS6~g~~x|^t=Nq7<O~+*s|Mi
zAJ=33Wg9DHlMBCm-n2K|a=DeJK<PX0v^cBeS1Xt|mA0r@TBV)XcHdCj-h0`OAC5hj
zFSc$kdby_iifWs`zKqaumad7<*B@MW^ZI51*9YHbCMGPco?LP$;-W(El;w7gzbX#o
zSS!SO?_&O(vtUNvZ_~v~_ovj_PoLPG6gyGv6vySQUHKPkK2EY(FsH#J>s7=Ro#5*M
zkB?1QBcJq@*MHiroXG#rXKc*+a-8|x#8cno4Wj=VOiD_7y?Pq&-R`nY+voB><SUS0
zYw+6h;-eI)AkQYw#vEI|xK~@N-oDITU0-mZ_PXWk9X)Xc=PGUIxqiH`spCaZ<llEr
z;gd_MG7jDijFp_H%)EKR%wyj+x};kijNr+gePOq;%5~?<O(yFPGAcjkoA;-N<8<zw
z0P_!QuReZJ$!vJQ*>R6y^NDO0rh`f!dLLG_pK0|EZkL&`yx{wyW#K(1=V*r52*|#B
zy;Dp69HS^-ucl?fsh)2KO@8a?&fajwW?`B5`ZK+=E@qywxKO_Qhkspjw#+xF2Rm*z
zFgrIFv$z(2-q^mLVWIi?mgYw(%S$h4ZEWm`oHpfCYM+aQlFgfJdBJ^K9`1FhR$zEv
z`jy+oe=U=6_win-rMHyN1nB&~>hk!ukBH;ypYIDrclRIl4UXXsD7Yk6eR<9^!8^{6
zOs2VC?~xasB4=pi`Qp?9nG`7%P4AN1lU<FEEl}EbsW<lH)yZwsIP}af=ko6r@?-xW
zB<z*3%%kG(ga5ZyyM8#`^wYkpws^Js?X-;dS&eH`?)H8BS!XJl&h};U)#)3Id*uRd
zuRFiSe4?*ojlt_Xk;|{WGI_gmQ^{+FZ4Wcl&C;d^$&~IB^(#MFdS~(nH&uz1f7yDw
zmqlhVma(5rwRPSmQ`y6ldQN!NPM*Y;TNAWboJx53W>V0!#hYi%_-}o?ZrOd`mjdBC
zo(4U;azV#D)1t<QC40Bu`MO@0<MYju4lvi>G{{wIs90M4K;mhK-EUUTGHIndQ~uRo
zPSA5-xb<|nV*J--Q&&BgU)or^Q^a)T!yAfnPv5JQN30h#E&Lw*&|mgXm-&ptHx@9n
zI_@-n`q25EWPsyRZjT*O4_25S52;{qD6^cmb=|)M7pwGSnAC1p-DOK%`i$@TYNf3Q
zZtR}h_`C7Sw)Jt2e`ih%ugo+vy?t`^!(01aKip%})A~M$LFDd{CtdfM4kc%Vckiv>
zbNu}F>F=AFrEi;plLa`m<V@`z25QGkTOScVdVKwdvP;?zGfx@j--u`5=+L|)ed~*6
zf%qqz?gUiTioXk+!TIAN{{z8^SM}aIvE|0Z?OTxT_Ez?>zFum1K*h>~<<CvzHKrLZ
z?wV!Xdph`G{na<iYbG0%rqr4(jCi^8he4KzW@O~cH%7M4XC|v1J(RG=M<?-o@csu)
zKh9f(y1!VrbzQH1;^Wn!92OPAZ(K6}t7tO5K05E3DtGI*XiKgOH(mZ^g?T)F6enB5
zQZ{iz>^rlYU#<(3teJj2T_xzbFH^R*o3s;4mWA<yn`VVaLLQ!0xwx9)`{8mCw{3pb
zukUSM_c+nx+kw{1&pzi5`2MtZxUEnbv06pStG4OT&tC6qo~x%8mweyX_*`1-sMI|U
z@oPTcBkY#W)4WpPW%h1ICqu2hO2(hpZ=EZJB{FV`8+p75ywSgsQDWaMuVZI^t*l#n
z|M_;`%`@f*Zn*h+_D*N_8^+x2Da9A0s?$WDUKGe%`t(w(<1xu>JHEmnRkN($zJBQ-
z=lsaUcFWSc+;^m}a4(AgtgJR;_w}TW^_vs9V<a=~#b2{scH+IhU=RPqg&&%XGV8q`
zo7x+QZCfLed0}0_3Gvcw?@z1zIW{%k+*Z~<q085^(kQc{QYcZNF!{BT(2JOuRq`w6
zUi&&B=;B(H&S_~(cVZlV?9zE0q`i()YyDGaSv_;-j#W#lyAqE(ryahNf92#d3H~s-
z_nj|1tgC(E4$Qx6y;x3D<>~XM7wZBSH!9`?{hzA#Fs091V1rLgzDB3govgQJm-YX}
zm6i001WE1ccHQqI%bUIF;U@2;3QNy7=`UY1q5GigyY5h#<Q-O<&q;C_-DqcDTyW>=
zioKhQuE+>B8BUqPZL?9<@2LIM1K}zjz58zHCa+ZHJ3aS>o6&hb8%=)J^`Z*tF16yE
zcjXGz*Pb-~`?6r;+poP`Yxc4n_T=!<F_vEWjgQSUTc3rKbK{X3gT#Bwe4k5vKK499
zuERT2+&#|BQ|c(IqRipvzF9><R`Q!--S7TbdeOqh{oA$R?AA%wa+o-?%d9$9O;R&q
zP?@&yb@TQ@zxVfqrdowgdDYi`SEfq5jIs8OZ0z3G%Hn*M?>Ggci?(Ln^S;tzJLjUy
zeTCNt9~4iB_~vQ$DKO~f^U$|dEBU22_n52};J6y5)&0Wr)n2*#^EmpPHdg%zUAHIi
z@fGRDlPXykkHq}C_lq&>$)6)O-6wipe!OOLMdHxe1EmkNXZw74@k;-lz5i}brwY$j
zxvVaMCvgEkGUxM&W>3FYUHvpWb-B38k@UX{91nb5E$QX;z{Fns&93JM-%8f+%XDns
zeu#D1yr`N*6KlQH%9j4SS=U|gKIeJfCZ6=iyK}Ej+t9stKfm~icLg0cc(yX^Iqr6U
zY09R}T&%(G=DMyFeZNP+NU*V@Sv}%`i|1s{!k%~ED;<g%I32$2kL3!z%^|-&{C2eZ
zH*LF)Kjqh^+iXgo%r`ro#rE0LJyH6_x8~hB_WjC*>CX>rw(|CyQc_#(y{K4iWomWJ
zm-tL~i|SRgAGWM6>0l^kzFJZB{u%%76;pG~v@OqUy2>8zU3zZIeEylvoA<Etzhy7I
zu}b6lj;_f0i*}j#=6g=iuRhjZ%AqdryX>#8x351>KBJp*>tYH0P?rn;rCx-wMulY^
z3*eV;T<r5s*vmuNaklvM(|4ZymA!hZ$KgnL7OSSvr|EINzYeEuGMw<2d7WXoX5nPd
zlMffk)E7**-WXZ0sCYG8%)gp-h3EAdzb1vK&6LiWs-|e!aq%MCC!V7p6l%;FD*Y23
z8>Xvl*z@&n0;9C~k&RX6vwRHW*4_WV&%^Y(mfFsJGd#OxLgpV*{W@unl8vgX=S%*d
z#l3IBRiy96lplO*d+G1RFX?a6K7_82b655EvdSu5`9plc_8{FolO@wkT+`mjPQ88T
z$7l9q@1`C02)cFk$S+x^Pq#(nr)n496}4Jqs3hXiu8{g!^|@l$d6r7nh%3HVXKNii
zw%swb*lXT27jrKc2j_3x6QBM2_BH0;&G+{6-(NfAEcl|h<>2ORTD$BLByXS8TX!uY
zBt<JzBGT-({cW~n$vqci_0>I3XI0s3DpdR|DjxYR^`z9*y#}$iKQG_jR`OYGy`z26
ztxeXZ56&syd{AK9dvDL32$e(HIZaA-4J#A{E?+dich=yEPB7ErYfQJ>FNB`#%eX&b
zS=*w9=WOdYw8eL*-|8=AS#;SbYxkNgC+X%sCuyZLQANdvw^`TbTg_UkU#I>;l+$`f
zOSEKVf{<2zl8n$-j^}Ds+k+F{vj?jB-PB>fr+HoVPM8J5e&#<;HnQ6TE#jsep1fkp
z+|4ViCM~$P;W=m2zQCR{txr<h9i9|d$W+<?^>F{j_3h}i)BiGxxfB`N#15$YK3_TG
zQIqfd+dE>npE}I+plVSnS5Mu?i9f#1H|SouNAq&uuQGqbiog1m4_GW;d}yq-&-kx!
zxRpoZgoWhKSE~Qc22Ai)k+6UHz4G(H8Jpfc?sz=^Zk6_v9rF&%JTqfS|JtbT7!DoB
z_vhLfmVJ-?Klf&e!p7hf8~@o;gO<9@Ppr3Bec!SzNVy=@;luNPPGyEOC%D+Ap1ODD
zmg@vY|MyzYrcG1(w8&%u)BTdK-A|3x{u``#bo~3)t-cdE@>@dh`mZl|cD3Z`1?^7r
zy-$|^TciGZ>ZYmg2FJJW|9s$d<blP@Js*0spVD}hShaPBRQW3B#`8BG?rIA0x+EvA
zxA6V>zI%JqpYdDurY~~s3GBRZ!pzyUy=Oz_SC8nJ-`vqQJ7+|wI>jGc;#woRwJbjR
z@uP*ZlTU3~a#Bi9iU0Y^85Zkj?5&xZ?Y$!5#K&t)N8(RDIZ}PiWc8Kvyz?SvoRk&T
z-850vG32MY;Qoq-JDuWICpJ#`@UZrW&GK&#1n;D*N#odi`K8a!=4oZ>VWHx)W_s0M
z{_)`Y3<=@R{ccXpU)j$5I%a=YujX{_x!D{?EHBTA*I_%&H%E7lx6hybcPs9^TIg^2
zVcxpU|K}8Mt%*Hz*fghZZW`Zj@6funPs{h7lnU}YdLSoFzdh(#?gKWjXFST<Uy2&E
zr`62Awtm@{^G})>%-_vV+r7<p)x|@F?*8@@PAc-AyI9K0m3wz_rstA%GTZNq`!$6|
zsH`~AakEd+b?&apDedv+_N_6EUXyF}^`w|5`<2iHl~u0J{LZ%1mR7CNS-G=yqLljb
zigyl{T;kX6hHqorq#twY&i#brV&cwRlIt!#H>my-r@d>->~&>#X9)NFi22j`{kY03
z)wLpLm$RhzIofD$=!}=G5saR-wrkJN7f1GnhtD-S9(C-{Dy}Hy#Z_;*-s(9d?{U-?
z%(Y(Gu4Q{RI4RpJ|8wQr7lNx+#RhjAS+j3nSWD#80xLIzqTc@e?wxyX?TL5Y`Pt(4
zjP$>&zK9(Ctu1aQV#&@O+4(x;?c2V>U1b^W-|h#p{$%=h!T!*;16)rJb5G~oSCxHL
z=-}Qrn^&l^{}KD8c<|WD?IAKO3>>f7-O~Tg@VTXS!+x!NlHOS(i^)s1uZgZ%(WJTb
z<gdFWKbjlr@<REJY~AbbegBQcmX&Lsl^C6URi-!p!A5~+F*QH*t{gpCyy$$;Hh*>f
z)sHQw`%WtR%yLOGrlPgcW=s1DE%T1a<+nJm8*Sfcxnr?u>(9+miTCuIpYB%U_e@-T
zyT`e0(p-I~Uk?vf^d0(t@5Svo?thynt22}~D{QaonEF#zS$p+6+X&HLriYByB(O9+
zvlJFTY-Bw}#>;C$v)8U^1uvwomUV<D7>Yjk?ENVHC`2f6d93h)zbk^zw%)mBRGWO~
zai&X2^_KPf)$~5jm>B=blU@3gfoxgs{Xg<0Cv9e0zjJB&&>#Jc`@Z3e2P(eXmj35E
zvb5{9yM3j^!GpcmHot9(p8n&5woI*DNpE`n5qbS9H37aSe;k?>R5d@RPpAJLmxg`5
z(d7rn7<G%d&k86X=Kgl0KR-w*JEGjSV9~)c(Y_CIpPb7MdEOCN{bbjzBgz{#ICp24
zh{VfY72n5u?9%5=Pv=<i+D~+Q)VJ&3{<fTN-k#6hgyg(hSuVXub+l!l&0wl}%~6Ye
ze&_Ug-3#--Op}@?yzjM+UfM;D4Tm~j)+rUp%&XBps%*@^<Gt&z{oAJXT%9K~%k0jN
z3;BjmkDNX$@Y_?o!TkuYqt}_=WfQ-OmDo;h^GeTs^dezXvDQ@Q-7-Q`CyW31XA+<N
zxa0EkoJxi%PY--Z@$-!B7479;|IN85Anpj)-p87A=TB_P+VRqMpNhZ+DTSL{hYWv)
z)?9V7xT*WuafRb0-RPgW{}wQsmQ9f1*0NUO+i76-==yO_CBgVf#~szZjBe~)c=^vd
z3$OJ)-(T{`*tOM0>~eX$aSC60m5X)Ci@5@+jUL@67;3oK7s<8>hX^K2e!?YmcV6Mz
z^JXXC+Pfave^%JLw7-aRuNb>Z-tP0J*}tE0&VHL*=i?Bn`Z)Q2Me^S3t6ENL>4;8I
zE7(6Jj)D96l<NG3$6nu96&`n`oxAhnmcZ|7`67RbvSSDJ6dp&3=D!h^-s!OAe8ZKR
zzyH6O7_67RmHKGGi2#Qav#Zq#@2$zHm#I1v|5C(pb!W}z>qo>V8k>A<tB+JNSQCD8
zZ@zHi+n$NlJ%<@&cFq&!7FPJ!5zZfH@NkY|*JtIxqk^#-D>j#VpOH2_;3Tr+bin^5
zU;CHNX?<h!LhY0J%3~kygiYAtR=4ilm%i{DF&E4{qkF=>UH48(kqJ1X+ArhbwP?;1
z+jmcQ?S0KCX#XlW`RAo~?j<QYKQb?`Ie6}N508j3zs32^ug!<{q`1zwtN+Gc#fN>t
zakVEg^R`PJT)AXT8vEYvfU`X7gHC_^(C|n8;&fNu$VC5@SFXC|3%9>r(xR^Q$?X+0
z_pR<Lzjco*A6o6%_0-kOf$hS=r@wYOu%&N$+*y@5k0m%){Q8lnr~9V<%Dp7$`DN4G
z(`JPo%c9%@Y@czc2ZbIEypb!d?rNp8R`R%m$BeI6Bo8#0n(p#9UiRqTo*2jPtSx%H
zT;(jkZUwAtJIUy)_1;r4?B{8>*L!-mKdsO(FWI2-eb&BZm*=GLZm>PdksbJz{eZfn
zSfS?jmra?{nQZQ%N4{R)(~~XoS9Y#}V%D2^T7}abCUw>K{W{2V!Nli8(eJB4GGBJ|
zKH9LN^SrNwz=oAUUXFSU6B$_^HXpP3rk=;V|COQL{wc*ZtjiKV>TfT1j=ubLZd*#M
z)X!<FwAA0^PjHYs(UQMi-iAAIeuFQcj*LK5VUT{*vU8vKnofQ!e)_QPW6OfqYd(Fx
z8+0cBufN+*`!%PwC+^v?^(|w>)srh;g~-<neoDNebVWsu&p?<xc-f-2lL{=3>a^%w
zlR9_s%Cm{fHot6?-g9u4pwfh|sV5^QiC>g_eKTy;mZU|mS2NGObHh`qG%IK4IgW)t
zD@3cfeDZaUwjZmP-ThWg^L9(=g~zoIBUgIKsx94@_oV&MPaV^jVd-n^-aRW>v^O)c
zz_WN-R#@rb-Je!OAJ;v8Ri)?_{~UcmmbDW@c7H5*o1XKKLpNfhp<uAz6QlmWUPbr2
zSwv0L^*b|mz3z$MaO}^WC??C&B^(isGgc}dx)r(ea$SLEp4hH_ky&QBtE@k3>rQ#6
zxJvEy?%5ev+eB{M+Wt^iSs_%mz0dz<@$_FlxA-1hz9c&#=J<YR?eLlxnjJh-AGAwo
z|5e=HHSJjh>!zo_lM_UlLN!>dQa)T=#$sdQ=cd$MT+LN>P3pXmE7y0a#Qh)cc6F?B
zSu*#S(4$4K4s9~!ZIZfK^p;~4!yW(iem`EuF-~3eQocFxY17JU9Z&Ahy!S%aXRh0B
z#jDSD7#-W8B$9YXtWedZRPM6<*Jrc#UkPlQHeqdz^OSv!+&v#d0{<62{Iq^g=;?+P
zF$)7jCuX+Z{;sTL=rQk846o}BmtX^7aqUnEkKadTTYBhRt8`LzbQ1qsV>Gj2=I+aO
z*ZwSZE#468ZO}X`U~^temfzjI9udnw_&C22NNwG8?V-WOrF*8_4gXLaqVZhn{oZTe
z7Ty<@tec@QWt&5RQ2qBtPtRvRG(Tjv**kywWS{5fZxnAaH^*yD>#NxsJ5t}B`o4W%
z=9hOO3zBknz4l&xPPaMr<MhV{TU(E6OUx`^+<D^p<nW+tGOT&6Imy4%CE_It_TKaS
zt!<TZ<87$j;%V8UfnH5Yj&{dRSML0?&2uwj*s9kP*M5B%TGlszy2s-3^UK4z&aUZt
z+Op>0QJZ@!m;djq;FWz4;3~s<bCTC<(XN@lZDoV+NJo1;yIw6I`NWKEduznI;>$}<
zxvajuODp&8t_iEWdP5gWCp?+CbJv%1*M4NjD$T#O^FmMVT*pBDC(Duw)_3i@w!dQ2
z`J6bWS-<5(J1>7dFzx@2L+5Ybmeu`k#WHD=X@6slLd{NDEzTs-cR`DF3htIEeBU8+
zbYs}ln-|)pk_v5NU5vHZ9z5>eaI<KQZn?g5$qA<!lNhc<+}k<7cIm$;H>SA%_G|Me
zPx{g8!{m49{LS|{tOtve=AT|+bZJMZp$q@}9>q1wJ40@lKB>|Ev&ZCA9LJUGVwtC6
zUMt!eEj>P6EN7aq<%1iC^qbD`Uz)bqCd27|b;`_X6N7A*xJ!FCC<{M2JuSTTwtY%N
z_rZ-473|NvBTs3qkPcar^z_sE<28Y=0=#FaG6afMoNqg=bV#JK!Ea4#f713vuKF`B
z?Roa`Z`^|TP5VFP$r_5KS*=aF(0;{sNvl`onyCW&9)|4><8n7V^W(gFRh8$pZEtVP
zIyCLKxNTk4?ea6TZm#>Pw=28&n|b>NooOGI9n{)&IYhc@R<fzE1&7FrFD|ohYX8jW
zt^X)o9Qfw_qx1>8ciy~}s8J@7_BqSzecg$(9qP4vE<ZkGSeSRxuyoCOyE!)7_xw-P
z>n@te>T@|kr8lML+7-2yd*41UZevS2w|j2Nw8L*!FZgRTlZoRd+g6t4tv}L=7vy^!
zP_}O0Y5C`(VWsfuIIp$AM-=@{mn9rKHI4aBY;g<ME#H?5@<Lay_?-D-`=81CUcM9N
z%2wo8D_pRQF=^5E<h8DnwOak6UpCxJG!U9moqyjjH!pi-WQ=^8*hg!p$7}kTjzvfF
zOBQvuEm0CHRpQE7#~-=IcGY#Q&puCQC(b`Asq}h+LnS+x`Q{Z@;w;#6Y#%<CyCyhm
zr(bzdn9+tG4fl-yCO;~B%y?vC#k{{Fzvg}r|9O<-T&K>IMcW+H*ixFqJsve(n{qX|
z)@nD?y`J&_Hl0^8r`3()WfC_(2$Nc~_@jKwl6Bk}l5L^}`HO>Bs9S%r_!{x%>&HEg
zMXEnqO!!|{M%-Hd<W;PhdUol|hxLBFx2JNgRGy~#>F9fvEvzcrnD!{St=quF|0w6>
zu4SyR?tS>X<5^6Y&+D&iKC(EbN#@u;{&;_(vvE>!NW0ziv<E_dkE&)#FMecPxuAht
zdFuC!rKM)S{M|!llwQs4{W9<UGLPrGau}i;4oJ%M`4n32Rhy~tyVhaR?_729C%g5<
zy;*Nx^JSUK_|tOTtW&}*=B$Tyl}=z<B%Z+^dEid>b|>dEaft%4oOMC-c;6W7x@vdG
zw6gZ<aYm;tTNvXodrzpVq-3VFzQmU0wN=-vq?USD&eC0XDJ}L;-fzned0zLo*3Y|_
zI>sq@{(JPtdEdVCF)_vgPw#D>R&hgN*Y#yj!-|uemIvPLF|$wQmpggbb+3;2E!73F
zGrJDh9a5NXx6p+9q}2WDnGU?3dzxQu+Hcz6VZERAwE84N-(txvr;?rj^F^(U&UGn&
zldT(f#9TLE?nTk)i97y3bX{obAA4gjv)Iw^(#~afYgrfSsIAWY@irh}!ra22Mz_Rv
zTFxwTwBD-S^t0nhYS)X;muKY2<=W>&|5)}r_Fm=DWfSHdYK!pIahiI1=_KLED<_f;
zY;g8@S}$X4)o3tV@s+!jr}M^htQ<jHQzzZw_S|>il*yX24igpLJXAkx-B`xE(|q3C
z-MiLqQrTb}ajYTU?M+&+YlTSURJNVhyPtEIoMlQ_#yjc0>f07e?mr?2Irc6(vi8a@
zt1PL9?VlHayK+99LH2mo*^gR>udF|IxvWxh>uEkM-rqaBZ|8oLE!cVC`UC&)i|v<t
ze|h|#XrI!|cIDTc_jl&y`1QYikofP5f`af>%jd#Bm1PAIw93B8*84m8W#09^J@fy{
z$NQG=GT77b&NRoFSvAo0`lQVPTA!}1J289J^9j2(EdGnlK4|f|Kjlni;+>v{kG4k~
z3vCg8ez5Y$y6}B=%16#AE^21(+K{+BWBu9F%{Tjhsl0j5bYj-o#6zJc`8F4n2kV7Z
z+o?o|e&~%`{^i{Biq&r&cN;%7`S8P|y3C@EgJnY0{k{D&xQ_ivcXr}nJ*C`qDusF0
zlwbevUzqdVrJiHnhRligf4<JVoW%A0XXgKM_8QAo@oy@!bq;Q|)nhxu@!9&!0mh>6
z3rSnp1YiGNTXj+AU9rp(<BP!pIVYIH=5BqyVZteabN?^)7bW=|h)8VNEu@(0t1Nh{
z`S$e*m);%@IQl%Pl_zR)S9!<VEqT(@S1&bwD`435yWZ;T<5nT|chgKG!$Uk4C|_$#
zun>D5aMb3X_WUmwzT93n=TGSim&>71i*Ii_&Ko9__|>O8Kv_!l)sj0l6Q?cQBwn%E
z?OBq&jA6<8t?T)$Z}3=gKK`-mKuqD`E$^d64;^A>l9Mvqlf1n=JKl1IJJ-@6y+Ve6
zcZHp})2*Maewe;(`E9w_9f5)AhwSGqp0QAM)eoM35fMfbnMOK=!d2?Ud$i?d{nLId
zr+NPEA)W_wpXzwarEdyOF8mkRZtEh+!TMS*dYaH(aWzBdZoP@@T~~I0vz;h5<-Td&
zwGf5Y@7IJb*ET$@fBHnVFC}}+<+a}PgCk<?<L{;mw%?rb-s%70Qyo`>y(0TB%Xcr3
zHt)H8#diJ6sDGO0+U=^gxP(tw(m%=P!`>S<A7%8<OgGNy*v_&(ey)Myot|*{VlLOi
zT~|L>oOrvvCjNbiMO5sb+>O)Oln<uv{}gcc37>6bMO4x^WBIf^PP@ZQD`a1?ms@(<
z8hs4U;kKz`5O`xMX3}D#?4!Y)7VosP?5n^=qeBUk|KInz!YM7BF?)&i1rdoS!CV`s
zxW=aJn_ZCJbW^kAP{X;j2+^XMU-rm<nm_OSm&mvT>wPw?On2&AS<<$=pRegIa?U@Y
zBdN}CiD|}z&&T&pzHt4Xu&Yi?&O3$O*RuJJFWhOQzxvy)zu%`_TJ@Ck{hq>mPj1?J
zElT@*&G}23;zEnv)u)fGzOM2iN@PlV?2CDPn)9E%&OWAd_FS3DsValSgSTgInX*bK
zG;i$^&41Z*0}TqEH_V=yAGxb)zN@-H%BjS?->O-Kd{!PhY5a&||2O#})dHLCTUZM|
z%Ks=Ues$O7!fVUBK6VCcW`6B8dt-F;xscmG#^vF`PnO5>1?2|to9()^??7wI5lPP-
zD^6T`yk=kO<);G6ZcP2U%Pmsz!qSvRrT+8$*7N=<%BWSJ3;6V-mGk!bCkX~#?Ez&a
zI%0-uFZx?!)|`}GwXBC_fqL?;WFhC|1r27LJO593s;pak_V(HdRYpu<rA4gLKE`YB
z9p$=~y?!TCOxaN*W|KAB1^=#jRKOFV(bJvdbwwvO)O))4n{5lCms&F%kDk-f{Bo{Q
zozC(NJ5x4nef<A%z?Z1`tQOHm3j^7Noa1in%A2O~OK!1apO}vL<%pmrm2C#=_f1s}
zSn+cH)@6Y)?uu<4J+{#|%b%YLV%FWN9TRA0RrGCl%ma?;_m?bLGvOhhO5uU0kq+wW
zGp{CXH?A&g@HIVi%5mZDr*iz4jnwvNyx?%Xp&PJbdHvc(*7sS{yklOacg_~GomTIE
z;#{n1?t%}ZC)XNJY5KZi-Jut6yE}V6^E_czaJ#FNTB9=G?BdGo*Lk1!v~`@H8Ls-a
z_+XNo*!1)K*&9uFA8%EbX^id>ddc{!<m}3%)Qwvo&Y5!Zg&|vi@)7f<0uAeu_dPq`
z>TQr$`>5b$EmAAH>;GJ(hMSk3xO(sWJL}SC&p$^^N)Idim#Z%Rqb?rm{gIpL+IEW>
zlDE$F)ClfKtUP(^R+Lkq*{;VGdsTxzZZ~^v?J;xVe?!BPytB%u^;*6h|0*x;!6Lp-
z=dAqMBRm)6x7~l9J;#;9>&FXab76~Hw+tq=-{_qEazWZ`rfDlSu0I%2!MdhV{g>WN
zrhA4C&#e7Cvl!%)lD}0RX;rewYSWF|SibCO0YmWKX+GC{qCUkn%_`Dc>@6Rk`C=(o
zQRy8&US9L~@7+vO(kkC{Tnb+4btyNKN2O+O*V2s|8y`C@Ok&db<>w$$Wp^vaaE+Hs
z>NFl6n^^BA8O4t+30GtS>$e?l{87iq`^kUD^w;diS8O>`^6TE^OXoD6Hh;1)W+=G+
zb~W$bP5)*Vx%iwnxcIB9@z$k4r*#u=Ixbr}f5yrghVMg;-0#`qdBuK($D<FgC+2M}
zT6XuZ<xQ^j3!fT?tn8h>Xj=L5I<-Y}l%fI@W6S1s&0{>mmul1VCieadpERC}hqIRH
zXuJ4oEqr?6%RZGF>7}NV)$d4j?EN7Bv*g~CeT(ZJxXX+0YHknf=d_gn>My=RTJGbr
zy)1LCTDt9JVKvz(ng4cSYj2!U@bCYZ?_Hb5m2)fa+^m8p-_!iIY}pxnD);Dz-Cdtv
zwI~>7J!KAVJ@dc%zR~N&_PH%T{{&P_c<nKNy3yKWndyD&U7o&Jvs-tv_a2U^PuJaB
zmc6v+(-})!))~5ie=?>mPFiHs{3CCbL3rr3S2hJlzHlvH?0)1<K(|Aq#*w#NH^lyI
zs6HIQqWx3r+`X&)*Scpc+03%SdfK(KMYTT5`X93`Ug9W!Xa=9rp}@QYcbBi<akac<
zyV1XQvqB74M%}dfaIlT%ORa+Uo6Ae*`R_?K+SAc5!!+UQtqcx}whYe7zYFF(j{LVR
zLVEk(TS}j|mP}t4D?d?9bM<T6q$#cM1P+|q#@ku5;aAPIwxSrXJq4$&n$<35`uDup
z-1hL6?&&?Ui`=(8G@kLDWqz)69`n;BIs&&^HnGmGG6`D6$aH#nl%LoAsHHpyA2h4)
zJe+3en!hPv`YR1tW?$W@%F;U~?OrtZ>Ak{Dnk!bhJ2^6LuZoY}y|iviXVcbWmNPg{
z{J$TiRlem*q<`#FU4fS|-Tsvtku&|83hg<!e!FFs*L~@%$jaD_mA5@l>|eiM`>4#j
z^ErG+mz2vaxKX)!(sJLW;inB8ETX0wU;322-~sn?wS_`4rM_G?ed!sc%M*U23go}%
zej@yv&51QDdt(yImK#U5^__0rcS!7A%e{|wu7=mn)JmRn-hbRCK}gx1XW|z7J=YX1
zW-nP7G|ziQV&%TivvemM4`^PPJnatS+TYjnrcFF{h{<!Nw7m@5)7z67?rv7QZ@Br}
z_gg|NAG(TvCYi7P$9O|&spIn`zCIcG$rT~*o8HygZ0C~56>(XftF2<gyejArm*umE
zJB=T1<mh;((N$Xc@nOn89TS~3RZA;kUK&>}c^cvyEj9mBMC0W&-b+o96Kkr>s|6i0
z93Ew4Z*z<cR$zQ7U6|h^oH%3g1CJ!_-HR<g^Rbn#e%ZbE<mQxBZ01G+Yj(b2=GP1|
zb~(JGBIn7YOYZOXt9O;@Gx|<A7ZJaCYUQkq$l6_ds?vXSO{@8GeUqRq)3dDfu1gB0
zzofDzdDvc=%Dz4B#*y8rF&gXc3#9(%uh?jje<`a#OqH3Z>$u6wFK;5m1y?wn{u5y~
zx4ko6W$~BAdTdP_XU^$#KEb2avf)ltZaur|YndxmKWgP89v5sq?vvCve<uI^t&^sN
zMebg8qwVI)Zh41gzt_Y+d!zkuj@ZXD8Rav~rZ2rQ<ItS*(=`mGyo{!rPXEi9qdDi_
z#(l-})-Ns=*3sC!RF6OCYlT?S?*(pKL)Am>G`;R>Da)*F@cjLHhx4Paq1`*K{<^x8
zp)@mRx%aZ)je^}P7H9nt^-YnSUMFU^|6AF#XB+1WZ2K=0tl)aNal_Xan$gmS&QDv}
z)Rz1==w?k>=(MY|OH??zWX>5l9-Wr@cj2Yazb;>V7VfsR#-CfpYr*?{A0;2`{(t3H
z)=35j>%G0V9zQs_XT9jN90lu359&B&x378`)$=FokB0ddQQhW^DZHGicNauk*ym01
z*d?8!7@>T<t);r`Q;ILoI+>Y%=L*)EJL)*(HOI<)ao?!(_rk+ZWeI;J3`%E2%#;@F
zVmq&1CCPg6Mb_m~fA6hR_AB&p&Pa<b*^(}`{Ol|j{*x8f6&GeqeZl+s?F6|3lY{YU
z#aAO!=GT?ox$=1}$AOg8-CG63^N;^h`>vfY5gjLUh-d0jj=j2;6Bn%r-MZt@8p;1N
zuj@+gymMCISXSwOrCICKpFHU*+H~vR$(pv(L;3Y~x?krn_}R4KtlkwthxI1=rLvnh
zJjtvQUq11&{Lv8QS*o+j`L*?z*Bp8^@!G!SH$<j3<t<j$3e0}N^Jcz<BDeMn;eQEg
zOZ;sGOY+O-YQB9vJ8;&ehZ)NnUhW7>{Je0T-InO6BfGMk49)j8&DHGmyOq8Ae8M`W
z`C=N5TMZO%O@5oRe3oBtaQx3C)x?#Bm#+WQ{CJ{2Hc&bA-fJm6ao+Ve-Ub)_x*uI*
zaClMW+|EUd_Bn6w+En?j$E;Du-I%NA(ONbyL+9zA+aJ$N=oZl1yy&XL`)OVmJ3d|b
zzH9aA{Da)H@B2?x(aX5@LGR*2<>d?ROxcoKlCB=6ciTfQI559?`?8$vlXctvrkq@*
zo^@J?ExnAtc414?&5dfW3Qbo{sJ`?qtM}0JU%QK6-MX?@jMcG|(^veXR<>bg!YlTg
ze-<uJOE*t4>2G^?;X%cL3t|^LB|B?&ST8j&x%%%v?<|$;tW%zA|4+Zv|JyxFv;D|X
z5B=R=oxNV&xo-Z%S!^o%4(A=y-_=yCo!Rrmz4}F*?-uvIfV&wJ3)kIwukk(f+JWBL
z``CkKeBSo^o-Cu<-{KcsW^y(EUOS$NvU|N?m*FHMi8V||%NZKXzcO`6{Z@0G`b<IV
zokPG1`RiWnoCl|$Uc3Hrm;Cb2ZyB}*+ADqzG)i9bia%9Tr=g}}ZuTFOjI8UEjN(l<
z7Vb8goV}R!+LhZ{uhVOG_i^8P`TD2FiU{Vnr(1YyW#gPZEaOEAug`gP-h02l(Ub72
z;x!2?^`3uK2#<D(StN0E$vZ_0m-aip@rBzamQQTn`^hFOXa#5O@(XinOuO^9UAe}0
zLiP2_YUX3Td`0zX{>vq7t*7|BIe%=eQw1Aq>zO&_KT`TbHhT%Je*H*dmtKE%NajR0
zr5{Y?G4`+RViw($x|8~7#)0{68+m=jC1v(8tTXudZsULDTvP8Wrd98ouKQUjA6GZh
zc;j(nr;V(2;p+w4OwOF|{~cIVx0=_{YtQA+k++mzJXV{rK8HE3TR#1p{yvA55`mBV
zR=-f+a?vY$zlXySiwB#unXAst%73;atGiE&;onvDqhecn*WR+%_pg2PN9p5so)AB_
zGiT*}mTDM_1eug<n3W~g{NwSbqayuk^>VWFW!^6lD>(3n(b+SuB)r~fP3eu^J3ABA
zJ$65O_h4-?`y}NjKWi;QI7$NFnw`5eXOYDD!V8}}j=R;|;Si5Kz5nRr4-e{PjoUA;
zei<X@exfV=?h>(?D;r*Rd6iym3%}m;$#l)7vKh(WK3wX5(i@hud}cW}|E$tW8p|6a
zqGJOVbTF=G><a#Wu=0z;e=gs$^T~@&zvc4xVAHMP50yC>wfRG4?9N~FFLW~e)<0L{
zmy<f1(`Vb%V@5X~CQaHt?{VhR*M{w>+3CHRZ|i=p&p)bsA>qe9x3bK6Z`><=kFVYx
z?rZ+6_~AF<ic34<xqjV}xBhS@&N?~aYs$}kHxIo!5q6Ae|98gU7vGq%y#31CXPNVK
zq2TfxLUyUTf76~s-dvMXY8$er>)D?VKOCxF37-0JFlf({9~l)dWga*>WjjvazV6WC
zS-FgN{R{>F?mjrX`gHw#7vonKS%jSYc-k*J=`KFJbJyIqULn?!HF6etA7nRath>4{
zS|^76a-*(jo7De#=R~FCA9;qH`mY@rBJ8YEw@vZns#8-_R|;<r^`4&k)bw5+n@_cY
z*4#JsA|285_Pgt`T>tZKS3Zx0l@oJ)@SH=1YlJohKPl%{IeS6T+}7&0+r<yhAO73o
zk-7BEk4OWTv(+CaM)dCXcUwE{{?eS8e4nkYWBfm{pWnoN+%xa&8z1hnZY52Q)U&~N
zR*8LAo4DcCE9J85O_9l)nm$Q(nP)RG$NY6!R$=C_O@U#Ji1w5M8;+8;J5M+yyNaLB
zT)F4_i}mv(7oGD;^k+Quh%wUcP1VJ`gQ0JK2WKzZ<GSfdQ?uHHeVp9co{TjU>|56D
zRxY^8|7_{r0=0^`+LK%N?MmIOw<*)Mx8ow)5^LxEE2q^yvkpsc@aSATt#MBG0&z_P
zeSZ^!#nEDO>mCK}w+Ird-Tf}7VfV9@fAW;&cEnrm^^hz4+Mv5KYpzfx^TIy%wQDMw
zLZ&U)==vb%!L?7p+itJ8eQKIQ|I6Yf$yxW`PYDm1V5>W|)RW2RLvEp*iRjF&Tl86`
z-V#(6`4FEmO+epe`G39to1eT}YGu+^HnFyH?%i!`rxop8|Mf%pT&pC*N3(d==O%K@
zV_R~j&b&YO)chLFITuY<zI2?uldt^j+LY-M_AHlOZF|j*9p=6t`Cv}X_1`(s2iI;n
zxt)Qz@!uM|tH;XNPv<yCFRJ?VV#2=r<tsB46qip}R{g`T>Yahu7vq_?FFj)Pop1fx
z`-teI&!P`98O$#Tu2g=)wOaO8tipY_x9QJ<u1x3?m=L$6!nf@AzuD^&C4O8f(RQ48
zIV?h9gIw-znTuMEmGKAbsx2b41=;3qJ^hiX<=s(1)?_DbzjJO*JF~XNtSf4iebe@B
zZ+i8<na5sf#d!Yz`Jf=u!%ng^HK6|()005ayaTqL7JSVTYvoh<e_vaq=rgTU;gWIH
z`CrLjRW65Z^$Fgdd*IpvkN4*!@(xsOn80Bs(e0MkuyNnI)E*E2JQvRd$8*gWAF*9@
ztlhq-DJZXdBWrJYqq?Y8o{a;4)4v^)>y6TXpSZW!THfQ#Gv8kad_~gy<>jm$4<4U(
zWO~=4oA+G~-sPYD?>PV4^gt_zj_IFD@9s_YioXz;Z0pCkW7hA9cl!T+cyYt=)-gZ6
z%A3Y(O||<vd<qH_w=ed6xmJCO)T@>PQ6}FvC6~+1k5BUaaU*c2rpBXnHT;WBVmQy;
zk4RaVVQ+c&-PCv{374V=cAfX)AD?Cq%@D44=2`yr-I<^M8AjVLE&uePbgHy;*z)d<
z2j^rsvM0`1IyWc&#%Hl#KUPVXuBp*TdcQo^Fk)GstGenwOU;yuI|t5(IGld|uX@HB
z=lxs!iq9_3%=s#n_;Hel!@)B5#`BXRzBIg&@aO*Llh3x=MSPDT=gUoJTh?(V-g|ES
zu5fpp-<*B>6g0IAwCByJPTDbF)zs+TG~Z|YOas!+9*A4|St;MNuVwi&KAo?wd8@wi
zoj$S8PQLlNb{@w|tBAQ`KO0Z#x$a&4<wWAXJx9%-W<@)zmCUSWWr?5qm|e@Kf4Z4g
z*qye+?q_3t(i0r*j}>`;{ct?ispY-%((cmwZH_y(O4&{juw{DKGMh)RY&p*rn=7Y_
zRQ3d{lnMCrsnB!1``QVQc%SZ5{TU)4>a=mT!r46^3cj3rc}luz^C}<ZReMq%2fLYY
zm8yo<OmW<Ps%h6b3-?Cz+9xcn0ju6xr+0jr-C!v8&b#Np&l!$u?(LHdK4`x$;^^`z
z6VA>NKAe}zxA22g?5&$m=W-X`ec73I^@c{}w%2SMra%9FM=2)xywtAc*L(MIIjX%n
z`$;T`IpFj3yx$RL%I+7u*UOx-{%z?4<@DmoZ=T-B%-<1U&X&qjJ^hMd1e;H{<eY!y
zT^6BlPqJP+b>q!*j}`h3&cC;s-TXdPCEll#rEdMiBNqK~PvcT^o#b{tzOJbI=C4ep
z;r%y_{O4T0cLg2y>C}k{H`>$`^zl~iDMMAsr0q|&-dt@EnRqBfM6>7mtz5^zrQSD;
zGfsAN_X~O~Uij~1Yn{~TV%ZZ@9voyn)^^8`eQ#Tl+Pi;=imi>i)i#8(-P`d*L1-7l
zt7oa5Y8#c^z2mfXZ*_D0-8@54C2!iZBWL6tTrI5Pr9b^{^YlJvlJWU3)BcGa+7~SU
ze^nNg`99&{j~<PQbE}t}zqhuyWcJoa44NO3XLC<GtGVNOM7d^Mcy!ri9sVGN=UQiD
z7YLkfG!+Q<zi|5;-?`6Sv-dvo`E#>Q$Kt`x`u9H{&u3gJch#TcyFpE|$SdidUdH<C
zl7$abbq%X{{}e>u>Rpw!v*x=<as8o-v$jc3)>jf2{OTdTUi*GW>Ei12`!CPE+~WSd
zG-F4A_KHZh`MI42doJjn@|f}Xhw`+Q2c$oBuAX?Pa<N91%G(^{6Mm6rv}ZDGsO?P&
zX4H|meL#)X=BC@dhxfNEjch1dq9{0n+pK-}=08FcJsN%<;tJgrFv-6($n|$^$CC`B
z>v!D@jL#}*-H%EtU2CKek)ri*<?q0N<;*i?X9r)LpIX_y?S=T-Q@aa!R8~y)6ANN6
z_<8l*^ziayJJ{}Wm0B6q{9sflUAFY`+Y6_AH-{;{I>lnOJTO0U{&ID1k(VXAW4<3y
zeROxy+`1QL^)jA<_HSHw-#>A0dcNtoc|Xjy$=Y8R7CQdKFg+#1`LLpC_`x0Ak)AWk
z8HFab&p6)X+%@%q{f*q|$Mx?!73N46viwVZ*DRg=dfA$?J0+LHR61^c@0%LCzP#T|
zyfEy`l6F;hbLBl^tJzCbcwMG#tdlcXd^OH<irvCXWp?)+SFvB4xZH|QFg#^lbLrDP
z{NAd8la`)3VkvTkQEBm4Ne`~w&nEnPzd7SK(*c{QP8*Kq$Q@w5sx6kRsP$Fo8^=$z
zD@jE+tMfO?^O-QPvpwK(Gzkm2t-rIRYR2BgjF<ZAmK9qWQ&zVJig_@VsX8o~&@S<N
zU8-#Jz9=r<9R|;0&f2TpoFw+3oF^f?a_fc*TKi9B9Z=Pr$f%}tQ2mizo$={Q+4216
zZ8dl4?fKj8emO#KM-P`|^0ix2|B445J$KnBZ++jBKN`Hxyq>+&x#5$z_xNl5@Er=7
zhhyb9(=7aF?iJZ8`B>mdP>hgT{3p(R_x3ChN%0r!{+ZqB8S`~>=BM+v>rZFbEO*pu
zV-%}#v1*->Gta@OSnbau(F=3(6@@r7t=I(b^7pLcknViE{EF`5FDtwrPCD$dyMGJQ
zO_mQ&MW@YgxihQiaK^us>fA|JFQzo<N;L3R2v&YtIeYs;xl^y-NnN@XB;nV5Z^GNN
zGiQ9(&fq&&bSV7gb^rQ5r&g*?IvpyUqST`PWrmBP_1UJmGx?Wq`uonk;GV`Wms!8w
zeaz)Mc}y>3ed30%+ZpzMGN@{tZxgV*{kOAnLiJCh>eEf^N4IQTv&MaAkp`bq`ttIH
z9t&4Hx?~(%cRgd@-0l`%j~7oizh3<MbWp>s4~IVXCrciEdrET8+B3=`RXJa*`{P1N
zwS+ls=lz~A`7pzlBOlql7^R=JSp0qWy|>Xq&04ZU?PP44f}BD_q56!JL-Vv_Q&(K=
z(v3dF{nkn?RbP0Gev(@0Y4vyAC#}jtrB;5KwKzyKu_Df5jn?Di)ypbEudRQ^9Cmr}
z%GRUB_BMLIAJ1=`dn~Qh!S3>vBK~jjD#f2;g6jHzUEh*+^MkC3eW$>upDcw+{FRp%
zHLGd+&zQQiAwP18)1651Ls@eiJ}rOrsCVNIE+O{#8<Tw&3BFY8oOJ47rpdR==sRZ1
zmUq;ox9kvqz^7zTSMe#S+LG~odmQ^`okE6JdwewXHvD|FEC0~i_3z|@Zgh0cnK0$p
zzwct7b_N-$znpSlN<7~(?lo4GNnPicY<gdO^GcQPhxs$Ms!#L^wO?eWqJHMqzpDPF
z6)B%z|2h9@_ZMTMqoP;08;URWko42~tgURDafE;ODdC3v7+;syzQ$QueK}R@!>qne
zeR0R$$Gz~}-ygkdT}w9yB>L3<(q3}Gu=CQy`?BGp?k4l+GheXIHosl^x=D-ilKC;E
zgvz;w=7&oiD(V#$v0vJeypQRCdahsbg@?P=8UHz@{poCrdXZ;n)p~cv&nMMdc17KC
zd=)0AEH`oI>)Pd$u3c=^GT9mVS3^p|OLcXl!P+YvyL7d_i}k)X|7IAsZ~dnuFVm);
zQiwTIzHsKs8yDC839J0|YSrV7|G#f{3;g-%5nJFd+3JMD*7;sv?AwCw#QxJf6*p6G
zo72D7tn#~eZTj>1K#uW2Z7ad`3G4oHY&yHj?o`c;dF89uFFC{9!uVWe``6xS=j6lB
zpZn!z_WDP^+r?SN`|HED>MS!$NRek#`I!;+G0SkCTiz|J8Q(0q?tF~czWusyu;k<E
zfyK<ODTgO5dc*!d@1e=|6CQ@LJ2qZ%(&|oReQL2aSURa9O#gOjr{0Q%n%bM$r5;^a
z+0Q<G+ie>r0V@&N-7UwaUP$b^A9+(Y>YCo4rUZ^%QQua##V(h7B)a|E%8ayw&sFa2
z+xex$wdZDU*6mjxUMz3DF8;dv!0vr&uFul=?+L`Lc_VYzt~x05LGMRK^EL&S?MlbK
zq@~Zkt7r4zzJ_9N+~!@f4=lTU`yM~YjlHS)^@osIuf;wkrQ<d?{dIfR$*(Z}^-9HM
z5<92S9D$O8vj?Sbu2B*Fy1OKr)0Lx>acf$k{R`I{ZrPW2^Zu*MP;z&jbFOwzeB0?X
zhu>%V+X5SJ*k;SNIvkh2dU%6sS!IE5bLxXH2Nh%^o*f8o5H>V&{l{u{b*bG9l?9j1
zv2sUjsI5`jS{2069B@*|vywgj%&UND9&1lN6MDYJ@Opmb0S~DcPbOVm%Ar4n<F?&L
z-i$C$UTc0gsa;niE|^$4Nj#V@@kLAJYr3Ak+0R?fXLgof@S5{g_tXN*US8YX9Fu4E
zpL@3F2K&2vhM8|`J_Kn_*{hacbo$s_@ml6JSKlOmb@bg}y+Cwr>&`o?(-uyMahZQj
z#7iOgu3~bNZo<b%)5}#{RjfO2IBreOxwkfqz4}R^TCZWly(u3nzb2jH_@&KJA<S(h
zZJ2kX`?%<&?J6a$j5`!q;<v>Me$tlu<oD9!LgwDY=B)?jD1>|Wx_!5C`2092An5;&
zW7X07Gz6wUcF=ebe6Zbi`|8hZP5HHo@BTfi4Vd#lZ1>)bCl;T-YBe!TzALatvYYuv
z$Qx<j)lA)O!I1&pbEHC*ZAve*6;^+LE|A}DCeh|;bI(?#W$}@4=Bd*BOEt`IPn?qZ
zZz&I#wKkI&zvamz_R8iPSHDbqu!KFx`iOT8Q-NW=&O?{vPyyDbwH<4^`EK7(Y@fZ%
zA+uq_$x5Xajsg8M8Q0&n7xi7R_?XP3yWzHB-|t9%4q6`ceAmuHb=na*zf9NNoU+xD
zsde>+l1InpdCr*YlD9o>negtNJiB=hM{fIMASj*i?vZj(0NbyZDvZjr%lvPddi{AC
zxhHg4{ZHvU*#in$F+Q$EcQ?f`cm=g||Mxs{@HpS~CmALwYI|8sO5RUnGQW0t|4h@(
z?EU@`UgtImo{RrfXLvF8)Rvu#_s7_>c|Qp|ui&;@%WLE8?fxFC4(9$j8aG*8wb|pd
zxtRd(-#7QRNZfu9oHWI1`LDVqcf6nP&(ce{dZN~^kcT;0YMG~j-(>FXKOW~e?pHqD
zG&xM8(6yxQ_=e~%7CxDShXjiX<bLu#>Jj^P?qfuxYJAi@UrFvmQ4yOCINbIK<37`>
zzw0r_-`griUa^es!AI0CnC9$Wv{*`T*4%@2OOJlKwAM{}-|r`LlDi+yh_9}Ee0f1i
z)#MqRQEa>)R#j@;=yH>n{aF3!S$3Pwahb^DA}0<WUAN|B_rl9oQ=T+C$s2lKQuHs~
zY?!8e@NNsweFo3UEFb3cW>%fOlOw)tpH}p!d&cWC5$;CczevX4e$CfVuJccSVs(`B
zqP_jBD|WZ?ax{Em;4=Jl>Z?rq&er;>W9nKW`W&C{eQQ5`?&1GuE&)?Y{d+Gd72Ge$
zbWP;jeqwQH?YqM*aSMC*cP0o~*7lgx7VX!Ut(oLKciG&ed#V%vtXk);9W(P`^2<P-
z0H?qC4;$XN$`<Ubku3l5+CS`7kKF9gH!+#DxBuu(yd_$<?%Y{!HKX~<RMOXoIQK4i
zl6_SwKqT$(c?*e~0(q|0yP5pvb5%U_Z(`lQ^nYE{9+gm!J1^$#TzpOJ{5!qtr(Q_@
zVV&%t?w?w<<>AFueoPmYVy{kfy7Xyr-$oO^ZJnl8iw_EF$8`oLMXgi0z3ku;djW5o
zzL2)bs`}1aogXaTv6x8dJ3AlvFRkK|-TJWN#FA&FrG}xuJr^`;r5Wp0Z#(c*<n^87
zH*A>t>&o|>`*NN&j)Qs6v{JFMW6#n~+1Z@`I3f2p^Tuk;?9E~Ao0!W}9L_adRr|Tj
z>f?Fohuc}xY6IgpJUEhdOkB0%N{Pb#TyFiB6U_fe9nVo*!?B}kb@ZY@W7kuzVtgge
z{2HcPfB2|MDm#VsuIZe5c9-d?GhElzcW*s%_;W=U^D&;}wOx!Jm8|j)w3a7L>#TlR
z`{BjB@c&KGaY0d!j2m|DS<u+<ocsDGG0mD)kF?(`e<GUbcg}fT^rIV<{I@<&zP$M9
ze60|TU*9b5FT9~OrKkGkXIb%_Nnzq4mrSN!-7WaM=i<E?t?Q0=?fs<CTlwb1{WN*G
z`D=w{ZAsgA%PDDff_e2z{~tm>*sqp0K9<}uVaxpb+3c%$KG=Qg7D|-Jx&4Lx^Zbl=
za>p0Vf41V?{#(zD4ovU0OsG!f6iv%~VLbDeq5jVF^ZtB|es$N+{dsz4_D%oXSX<Ni
z)lw(-ez~l-X;tOx!xN)6KiVG~U9)sXYhBTsg;J{?n6mitR!&I1_i$mlwRiON*saM%
zlj9Y4Kf9GGaY1Rjmf3-Gx8?gT<p15C?fzkzS%cM;7cJL(YjflMm17FpJ6fi;zLj~X
z>sr*VGF!5ta^2}uU#6LH@0|Bjkg5A(^t7WwK`)cUU&k5kdY9g?E#<vKqs590)g@}a
zY!=UF_|zVmr6&?Q-{x46^EHE3McX@ZyX8!K?aCV2U5@r1nBa2!bC1vD+|zEgL5=%=
z_;sdj&0D8m62Iyn`*x=zzAFx93t1&i`Z0g$n$1#+kA7cQ&k|&#yJVui_}>*PqMsg%
zO+Reo)O7l0^PD$xtA8G!RsUh(1MytnrH;QBy;iucmb`A#<m)-ITQ{0#21IOsUHMZ_
zYM=3GBbn(J{!M<s&K7@FhLPjRy+uphBJ00gx~gI~v+qQnNv~!_mZJGwmhCsSwx6=!
zztXyJYRdB8`{Tt{?pYBOF}qr+n(?)j9W%p`XY8#H{1-Pny}DPrHkY~d;G7Ff40279
z$}X~6=IE(>Yc|NpPO0|Y!DVU_{c2%EVz>RILr2aXz8f9#;K<Pvn}RIYbF>JZ^1V`h
ztHCI-AtG+>f*ZkwlQ_f;^Nyc5C2=V6XiWO2Rp*_I=D#&E%r|ym-z2;w&pCNx%)!7N
z;?WT?mS5GF7k?~{IqIqzXt3(JyVaKM-gf8TtlF3E`!o5O6vw@?Wt+R+Cu==Dwto3$
zUg2%`yai<zZdtN-S(J0~nv`dWXIXNeMp_gEG23Vctex>mVB6oBdEr+#cW~GrJiPA6
zfhD|mCW#4OZC(FI>z41K(y|q*hRP;uH@<psDU<mu-=~vZzJ<<fLPI?#omYDhwr0wm
zO`o&lx2!Rlb-9^+d#LNvf5k;7uH9JCt0@t>d}CFQ>5bSk+_n!ct~f2*&VNkt_?A4S
zWqb3lPWsboleaed*zBogw=OF#UK~H|l6HUy+fR+V3+^v<l=)`X9GSKH33p(j{+IJh
z<&<8;_`Ym+&M$piHqEVst;GJZ%e_ax<Yr!aA<*g>U+xsc6CQrABjao1#l`h8`MM5=
zoW7oMefn(9uj1xpk%kQ-=F=qu<b7X@IBYA9(0k}nv&4AwAK%FjV+<A;o(@#l-XEdE
z%b@BaxS;lv>76TVEwQPq3U<F`U2S_cQ(gadQkmx*HYYvDx%xtxk3^nZsW`e+e7)GJ
zDL!GLm1FBS9^0@@-lk1_Rc3rr<>i0YFMqKi&F}T2B`krf=XczG<Zto4>d}nX6P-^?
zHa{xhCseLteJe)$gLkmq=C4mKz3^(kyk58J{jnuSraaNVf380C&?otHHPd&ZrtC`L
zmr7%U6p!j@@8@Jv_nrB~EY|+XI^L~nDzk59srPSlnex_VZpMvM+mt7rZFsZ&vTOc|
z>|QJP8?E-vchv4S7+*7f_vGG>TnWvGLh_!E?q@Kk_OtBPeSG!BhWpmbrn+o+kR{eI
zd&iQzkoO5)i_et>AB#1(u=B0i_wAKOugzQ1xUxbkTJd(NucyYt!|Pc$o(MB5`p-U7
zHEYV!`wwP(oHAGKv7es4hrz3e6Ag?NONGCQsMlHM+t}PMUm9QfVnV{@#m?=MTUEau
zEL-u=`JhpP;e?mLdzQG?#RVQZwW+*#)>>QR-`xLhcHcd1)HC5ilH|vy4}B#Xa@2SH
zX8UqHqP1X&oXQ=m?0GduW=)oE&AoIYY5Ueq+2UMr$tlw(J9XSDZ_-^~>3QeWWya^%
z-`XTqJYD$s)|oS2Y3FYTOLw^#f4E>A|M`@QS>3TyM&4czcE0H|eRf4zcj?LJ?5d5I
z4;5$gc3!w)n$TEb`=sYlt26WA*<zQ&s@r$99@Sm<f%%Nv=N)FO+f=JQ&ny<o^a^ZK
zaoS$`Bb!@l%T2TJC%pkaC(HS(zHO=g-ZN!N&yG`D^JO(2H$P)^juv<B>#Wi7D0-OB
zS+f2>=uU%Ig@<+DPpaQ{R6%U%Th){$x8BxkE$oYwuC4Iz@J^eM`!+2`F2dko^GUu|
zh9~DQTmDlsYk&6DI$y1UNq-w}(Q&4{Pm>RPlNWkrUBa?MMm%xjq`b@>9CbPWHr?<s
zbhz)kP33D=i|Kb2#o{|cHhCsK@3zcueROA|^}NhY3TIU_<^)e!sOBQTzbpDex%b-V
z`%FKV3z@~flW6_9Nat*%|I%yQR{l+!RM`@=C+tszt>%_W>CQxjK%dtK_LwxT%d;z7
zl=x<^FVB{DE6%4rI<%p^;jERNN!6x;Gga}ImT5l-6S|hcu#P$A#^D2L$G86AoYr2p
zHvPq&Yev>@63?{rN9I&MUvc4$pdjzj`LUNA^z8rrE<AZT<b$K}*%eNlOTXRPk{5eh
zR%uoEdHIZ)FSfqhk}kc7SMZU;T#JezwiB;(KZL%#FPnE%nosA4>IUAn&Nr3XdFDMX
z##;AZrv3HM*|6aM@y;8Y7X3Y1BzI)dG_8Z5&l*^<uQT1$eCQod@^_=W7lFzvuXdYj
zS6Sv}xjMB4^le|uIB9ZNPvYBIar<%?AKaDs)Bd97TqB8DemBcbFZ=G}@Je&uRil~3
z^W`_Q?Y&j;EMo4iBUTeHut#k;*`QS*%l+xz(yJGn{;cHs75PMI|C{3co4Z9j)XwP@
zbj;zM?-)Kq=kbQxl?B<8f4p>&n;U0+;IpUll}oW-S&rMjUUABJa-n(6^tCN#ZRc!k
zojIXRC%O9wleSaXrWUtP{xLrLH=3JW_}OB)#Qng`BJ1)MITAZU_h0ngJfYm6d5w!!
zt;8?=TQ1u_oxU3p!oOt3>X`b*zovyBr)-w5yykIqx{GDmw*Jfq+KIJWPxDqQaC{1j
zF?=%n(Z-^!mruKEXm;sdY_Pg^jQ7@}w3GMGhX}1a!rjEia>XWmz327bC-EQEat&kG
z*9iXH<Y?@ytm~t<yqL{>j<@%cO;`WLX9u}P&EubtrLM#u;+~UuvnIJ~;=~gjmNT>#
ziZo4{ZN?y6+*!C-_O?sCw3r#+E(UgoAN$WA%jMEf`0|3kdxnVVFN-aVO@cy)xbE28
zd9+A8qt>lDQs67!iHjURBu>QNP`K1)9lyY0>)CnhgXd=I=LL7|n740n%AQpF-JgQ`
z*KgMeU%9(f#33nu(T^6{GmUOao4)Q5Fkg3S=YcO~OETT-cW1<v-LLcLQ%Vutr(%Bb
zJNx9RLelP157IX*mS}sG@Z<f`Rj>PW%_mFREwQe<W_s@U+nPPbYo6cMUL3>}cPA<8
z%R-Td+;Zkzf8ENN|JR*o^AmopR+DGnp4R;6Mb$ga<PsI<3E_--ZTsfu9(uExt4uq1
zimAcj`-=~0OxxyB^ZdSW*rNSOrYuJuF-6b(Jk3PvV9wDSzXR9LTCH>Ik&cCtLU@Pu
zjal+tFJ4q^*gZ$#$Jv{&>KMEf6Sz-EKYH=8GU8738ZW!!GC#w`?}#tA*IyXYcE+<W
zPr>BnV$JtUuX9XTvCySHKk8Ie_N-`@;$KJB^gr*o8F<O|vEz-C)-xWIB%Zu+PwtcQ
z>C4TU-j^4!Oy6;RM~-+Vf8_i>LE<0EKCaRImzwk{e@|p!rQ40Ut3(UtZFg|yxffN)
zRemLE{-3iC_eUMm*=eg}r2gGJnd{Y4w-pK6yU(rK-@AQH)sJgEr7x$X<lHz>uM>BD
zK}VX!zg36Ts;BNtSif>(v&8JSQzbc)eUqzG)uKGB4t_6ue^lLO+4IvggKBtm`B!@S
zb@~NOn`-$XU*t{voRGeRxsja{KGzjJ(y-F^6pu*F<lQlMr`yz-_2p|8R5|~vPrr0z
z7PI+gq30^ERQ)d;D+@V#c!Sp$=i}?5TudcbrCt~O^KwFRyY@!G?~C7`v$^OJ>RkPD
zVbjKk@%1mn&Y6l`yfndO&A%@T9KF7`ZM}K?wsqRqu*UE6>K1=>pO{$R^*Hg<+v9#;
zyS^XGyJoepc22v9rLafolgSz;V&6VT^grG$xxv3BFnMppo7L+#-}dO}UHDt5Y=+RI
zRhq}x7q8BIdy&g4{qO1r5*Ioh6qqeG+b!66zD1DV!0-EX&t>`nyKY^-d-K7<TgIok
zx?QKmZger(FOh2O+c)RzLyPWnNoNh?3hNfu|NZs*w8otn-81H=$~GGWMffao*5P?l
zcgsG1(bQ$Pjeq(6l+G>TZaI0#=0AsvP8a{n3+vnB1e?xE{Or25`1IajpPAP>%{^vi
z@Rn?d;hl4QmdoSS{Zp^29&xCU6^po)esf=E^P$`r^HU5Lt^XU{Ui0~ch<5q!tXp?y
z<jUrXci)wa^o%@ro_Te>$YD!;-d%?3*Q_QuCfsEW<bUu?Ei=#QWaBm44LK}6zrV5E
zl2A!H5af7i%_}y>`Q{eYCpIb_UMi6l(w_Z5i!)uW{6g%E!>VsQ-QpBa&(~X=&o||Q
z=BIl+H#J_}@Aqb3$Q!+)vORke*V};odmUr_^HYWYZlAfXJzhU-_v~YlXBz%KGM~D9
z?&gIO$ATJH=O&t;*f}F!P+?V<w2-Xn!kvF!?r3zGIO*z#u=saT8IH{_r2YtV>2GA`
zaGiZfgx@l<Ov14C0mtXayj3e|w!gY6dFW%*n^e6|>3vR_tX;QF?S8fey6!z5)Ec_)
z?&o9I3pdUF6~@Zvxl$wZ_N=Mw_8d#3csqTT%`NGWY|Ib4xnjqMXFa!$L`~ZvJYTSs
z<?0IU9r5Yuk~=$|>9DJnGNnJvI2p55Z@wCn2wQlK>BMJ8^lgsc+_h-km6I&VJbZT+
z`>M$Q%5{x1YF)PP*||Twyv8P<ldfD|^r2>(!HKvawy8T!G*0T)tEHY>#nsE@)a+_?
z!ARb)Aa3U27DJ(&cMtUP@5kvhu~tv>-Sp<HX-T6;K%(ulHt}MP=2xp`F*kVE?)@Hp
z{nt7fu4#v3`cr%7)x0|+&Hf=SL;89gFZ0bMVYho`J$Vvu&8ld=wpT9CE%;dGX3MwJ
zcHY>h&-MBAYyaa1oj<P0Ke^8J;=9jG`%ALdc$=%OuJAqSA^NIY(<pe&)F-<NZI?tG
zV|!B>I>*pq)7yQg1rm%cSDQXb%~zKCRlnh83zx+L=@Wn6tVxs8V!oNZrt$c-@a-M~
zhN9=bZ`I0Hu>bt*n9zUWd&~7>D<*HgbKLHTNx6Ja;vvu1x6fXkIO$1=^CYA5sj}Y+
zK8Alan-bgb<^JNy2OQ@gR_rR-_9RYv!_RA(-ezx-zbv^T@2gq8LSg#uv`^C?Z0-p9
z8L=(+;65i45%V2Oc8C92zN^>vYuJK2>y+bGR_uBCrCyzTy@FB3lY*l!@7-HAA%v^=
z;pZc)JVB@bwC0rOCjU<gSK9acq@++vMb%9GMDGYyoAc!^5>>~p8|d#hw@i?<&ienk
zxO4xGw%_cTuWx2t<cz!Uqi2uFny-iQ%z{mKn+8UGFnQ*n=%lMvlpNd4cudh{`Nw8M
z%a*EZv)|A0S@q*<agqBAvnTg+GvXg?`Z)8m_nh;S93#cl<QF-n{}544P2ssOW4-zN
zt*~!g^=n;r9pCPAdG-2)FZPdOU6Q39YcJj#dh+Fp)edp4FR$`Te&JJgo^Dsyc+fSS
z(@c5p_ah?F+%tb%xy}2mZhdWI@muzn3$rcn-QARQ;zQRTp7sgc@sAn1Zk{oFwBtmm
zP07-RQ~Gl}ioegCv3gEY*~(bSc`7kQJ?A|1GY^I2@d+^A;VlnrxhVPgcErN>E-No<
z-n}=QW818z&$1P>rH@!0-ndHc-m<>PQ=CpSrwRoGFJF5te9DgVcVyOluMoJh`}Bz^
z3{nnkbEA@8Gz&V`slU7Vsia=--^$ii%3Bt_SLW7Qt#87@7p}^Bi&5?N%6><OPJ5}K
zscxT?ycVo%$k}}%S>;`|j(B#;g=sU^b$LIY!5@_@w4v30(uXalE;>AYcju1f&WuX$
zpeur1Q+6>(Rb)>(zAaMY`@-ZyYKNah*?+xt!G8g-k6X&hLgwjHo}1LW*Zj+76XQ7E
z)*5{3=F|CnDSex(Pk9MyN#(vfb@JGbvx<F5X5Er$)8#k(TARI~`s>o=fBp8~^1Hlp
zI{z}U59M~D(}kHtnv++(xSscPb=37UHvfVy)kt41TDa_*c4X@%hWylYwU^p?{f$4n
zU%q%BHz!H2?Y^6w6USdiyMI?!$};x*9nx92Ikd&l+QXfFeNn7U!?W2F15EF^PAsgs
z>Fo7zYWtdlb#)UaG3_e$Dmug)FSlW@#0#bqc_}dtx^W4fhkl>gz!#G$a`e4*amd#N
zXAk<_So-vWOPia?h5dK9jhG%Ws7M_twchynvVYM1RZ+Q$JhPt43haBh+;G2*xWsM4
zmsO`;MS9GcZkDj~u!yc);EX%xB0QU#dpa)LNpV`Ac|IZUp6|U+YyawoL~wE*b8y*}
zbG*&e{ekko3)6JkvosVYJoVLExv5&Pgr`(U#d%-f{1PQ4*}UuO%7I;~!cHf6GOELC
zt$dB`7VpoA{p5Mq?XB<LI>{#+^$&5p=@Ip}2$j6D%J6@Lx7yP6yv3#aD(~y||NM8~
z)sQzMEXR7T!Tt>byK=+1RDRDe5I_H=^v0%Bw_Y>7D7kNDRCZHG!grBK`Vz5gwlx#?
z&YHMt-2>lzjqK%(#&xGl7TBk!FBXzgIJ|NvgT~u?+ijn2h+{pG{M~k<tmWoqGH<Q<
z84^xhJ-%9g+w?VW>v%6K`}+U=9hgwYdxS~k&jQ7>b3T<eSF|q<YVyAJa98f^%PEdG
zP0!u=m-Ki^Q^%*HN^ksJ4BD*b8nIgWf8XfK`d-U@%^p3Qqf=~~za^P#?{Z!5HYKZd
zg6GG*r#dC|CLDR+GxKEOxi7I{OowL0Oib(6EUrCy*-T|g<Hh@TYhN?>d5bn~*Hd}p
z_kY!6M|TNf-&tFCF-)4KV!L-UyL21lwmB7Pa@oEPi+aA?EfBo>=gYPEQ72T+*p*C|
zDdc}xIH`5Hx6<9iF-NSXwH}EJ>N?jVDW<Nwz3;yIvex%rxA&ep+5Ph5A%*=uky|^Z
zr{!F9m)9suW*7cf=fCV_ZMJ<*`ZtL>)vT@^zKb>#Y*t?>H;prNvFf1-bDp-Yxb|k(
z?n(c2Yx$0z{#)1e-g36fGN~77rpN2EJzf~-Mwa}UyN{bcDCxMP!^7bFYgO$<KEK-;
zv830D`>3vxUWRL030sj5k9|wm+e!ShE*;$aSi(xHe&g}UMz2F3X>#aq(VkR1Mefh9
zP05MEQ|9R%bNKvfEqjdWeTn+i+fHIf4<)AWU2rzoUSP8Jf)wrF=4S5}v!?W~>EJ!S
zZQg~{!X2L$t`9xpu>IYlY3<u9C;#5HvWZFNn@|3I!$ZZz<&9faWUZIGOpsIibYxwj
z&CJ>-M=X`pv$dMT3#N;3`)6Ce-G1~IbNw!BalUT`%t2f;PgzYo`T3Oe^LZh1ov!f}
zS&iod7u?F4A&|(ipe?Z~r@k!gO3QkmxelgL@fmJ+t~L4b@_s6KY&>WAMKKAlD;jNk
zPC4CpbAz$G_&EFG!z*muLekgI{Sn{Z=c~5z&m1ML@JH^)ud%i~&Nr5um)VfN$M4^v
z4~*w8_FsL?(eHIjjo0Sa7QcxiKFb=;O0Q34l6&g)&BNgkhttnV?-tkfD|JuPkN*^`
z=x7%3cY?q?(K}~Z%qG0LZp?a9GcZd!WXD_c%gV~~!Ym&xjOT_uzqHXWajp3bj|R^w
zj;Ee;7M}Y$<JAIHi!XTx5`Uj*f1q;EEFq{X{+1Fi&((`n`3yZ~OzS^78Whg-Jb(EV
zm+a$p+wQN5HVwJ8Pu(J|H|^JxpDP^t_vFqH6WZ_R7kiS&`~QPHl^d<!TubDu?yZ!O
z=Lwy@?|5zE6UC}afjPgAD6g1Yu`reMW3)3*Lzd2ci_0~VW|MAJCuglZr}^a0glg~D
z^_qTPpRZ&8Xf7Dtx2Aaa-37%JcJ;z97ap$iTQq;t_q|J2YkW3x75d<}^J10rA=T^(
zZ|zBk)y&#_+Uu9Lt1JJl*f+<$*z;gP>9o5?U#xueJSM@--?`>fs^K#0*ar_{n<qE;
zg`T%P=d08oFa2HM#9r;0%yWe6xu%|VzEgg*^O%Wrk<+uU=S7^-;`fU$vET{$R;K=U
z^Asl*AGxcAYc`358~*;OxcA6Ko#Qu;tz&Wx3yeEfx@K?PW|@q+Pp8j!cCp{=^UO7T
z4%ds5!UyE%UwV-u^lG2>CrQqit-QH$m(4zXn<E$|_C)BMTE?3zr+w~BmTjHraiO%d
zvf|T$!uB-vyHi><YbF0*<J%;beEa+5#rpSzr1#}8i64IbB<;=18>+2yTKO+T9TM3a
z@bI<u3~!O8>fK8@3X2v@i%?#qu%i04qWB9QiS-?`^2Mb-Toq&DFw$gT+mKt4nHgNY
zZppiMA*V}X*}QWt_onZ#+`4wormn-YQ+@XoA9>)CDV3oz?NQ1^wHmkkjKPmPyBA(R
z8O6?g>i?}@zLCpUn0d%0FHlgd=XzhSX<~mtVyV?l=KonA{)hj`=jD^UVp`<LeXy}`
z;m0p727goq)uSRGztf+2`|5&7)?y~L7Zwjgd@Py+x+{(rI<iFas9w2och#=IA99tU
zkDGTrUt%+#Uu~^fq|eKm<-dKF#F{=~nd^RAF>xJV-;Jm>br!sbj|JRF`}3$&J7OEN
z<_0_ADd8=*7L{ycn6horcIA6J9hY#e4>|JnS%&}byQi`jM81~ezx$$Yl4aPm%SCc0
zzqk0scBj<qeTX#vad}1kai^PeoI|EvnCw=_>%jZ($C>RP?&ospaDTL0-mS4^p=#Pp
zQGP+|+U3s5tPdo6zwgPq%ysbc(}K<ap1T#v+Fd=6w)*(S-`UrmpWb|dZNm9i2X8I-
ztsC<Ds8u@e`<Ht@JiTx}b5AU**SQSe3t!Ke6udeolkRKH8(Tfa=^=-@MoqZgvCR1B
z)<lhD)0?i7wy$QboN5|bswKI3^@jyJ+BazIe%62YRkE#EpPANHzYgYG(Txc+7QE|P
z63+9p@}QSU(9}qYGa*&XGeq_4&lts<-8toN|6ly-??uNH9^78xCEmAcv+0KV^Ru6>
zyZ$T0wrAn?$$m!~7@Q9;oGs|~r0b1gFz3vtw`-@*Y}M8mzG18}mwTP~#f73$>C0+M
zCu^-*Tx#%7<6!OdO;x+z+}O+i?ESg9r5~SnUF7u7eDJ*X-|>KV<=0N;typ#W<l4O(
z+Anq`t}@EYSU#bmsoAO~R$sz{B|&#0<DNy|*ZK?U7c70Fbg7hqW8UrcdG@Sxw|{0|
za4`ABu|pB!>2IcPtU4q!%VpwSL;0`w1s7jX%IGoJwdYoB=<`Wxl65AR)#vB%Z<N0;
zaP>%}t^cegyY{F)7kI&QoYg98@%|{Tyagd%UrN4Q$cwMG`KkWr*CdyTPXuz--uh=_
zn3nwXNY}#2HVZFlC&z6!3Z0Z|Y;oinx0Gt{A9i+`wJn=gryNwQJ?Zs(*70*ToCU{K
zuX)wKUgs3RURIQ}+WlGR;p;bVA2t5J+cIu$e8qxU<+Jv(T}|A7VR7QU>E0z<YF?j;
zU;6mp!Td#s61+^UZ6w@Z?OgKxRk2`B(7&ht7s`DL*Ofh&pSAn?(+{1|0<Er2(``%`
z)1Ip-D=%23&!ThJh$m!<Vu5K&jD+N7EyJ@Gf4Z(bjeII$7r3q4{)bOjit~(9qU#?l
z?3r+3=O!18b$6oAuL-J|xn*(rmhZ;FfA4H<`ZGgVX1`R+?M?4O=j-&d>Hp&Vu)2I>
zVs516td{m{YrE9W1ru1dEex#+6FFo5B|vnV`TPEO4Oxje8~I|t<g(A@-Wu1ncX1@?
zeC+l3;=RXWie|WYQtZRlbh}M)X)mIjP0lTnhzYu5a`$@sk^`-Yfl4yxUmsSw%N1pn
zcF>R~)hKf3Vjl0(dA~hkj_yuYlbgJ}i(Td8!|h9LO2kepuDMseUNZYeud4NR<)@Rq
z6Fkf|z27B0i%Yspv7jexKLhujD)FFC3nRpHjElW4I^Rmls4|~+mvPF$1;_H0XH0s?
zFXuGv+b)^Q?|eSV9L}y`sZkO%dg7HeUsONX_U6NNe>{?nCqLZhv0t)fpZ+16t6#;X
zrhm_KWw(u)v%b5!uVGrV_QZql7cY^T*W>=tdCj`0)&mh!jlLg!VZnSwDOh<*yZPGk
zU&q>6nr-gv?3moA$Iv(Pm}hZ)hs|O6Q$6x$cUtXwab|+Y2X5`+X}mXdK5vR*KH)4T
z`^YyccS)A1Zl-R_^~pt9@18X)e_Nfb%<jB8<QU7^4>8${t7Y<%V%Z9IeP6Kl>BV;+
zGOyg9{vfHM>&Vu<3|ke>{GIC3pMKX=Mzccer=G;=S?5Y?Ip_Aj>%Xm@_M2OE#>D5@
z^Ny6h5XnpXv*iGX+)kG=v5vs!s;|4wTV7{VJhZRT=Hcf}%s%Jhr1x(6JiqLwyjt{w
zunqUN9&n6r<zP!U;jGVG7MyT0AmM>r#EwHfhn75EX!XHd{m~~O(}(x>+o*A$R}SNM
zI4n8s-Sj!Vuj1dV4BpQCNq^HnfnLilwFBwrUL6sUd42lJM3q1MCnY}LXc1m5Iq7S}
zW67Fim)k4vEzJ`a@8EPS2<BS%<(&4mlm8~?Y~o=#_o<|oYu|5!*!*^PrxPW;YNbo3
zE?t+gJxhLBfAqd*pI@@SxF;XLy+cB7{()zAzIk}x4LlyyYZO&uw?9zn`%6;|cHg<}
zp5IgUKbp7y7khu8A)B51<j7NDoEt-`AAYr6p4u63(6>S8qdOPRP9c`{d_~hP+Y7vZ
zXq{?)@bI_a6Er@=@kef5bGcLWX=kVHzLwjZu{k0#k7WOrP5Aw!NLhs^J?6_r=7S#&
zZYg(izAZRAthO#w@XQ<!^;Il6V%_fT1qnMC=3JG#`eOGR-)HM)indy@zS~=n{9y6X
z{I@MxpB|l=Hbw5k(ZuCjrzrlqkSDnAoFh*zJ44ff=tmym2Id!M+)4_w)e>pV&x_pT
zwDI%p**nkk33R+Dkr7}DP`OgG>-{{r6HAuQR`{J6s^If>mE1;sVW}7c!{>z$s$2D~
z%2&TtJQAYA*0$Jht)NWkNt;sLsCgClA2@$;-}$Ynpr}~+pvJoH<`Y&7y&G!y#h;xM
zEStyU_kGJw&SNs#%oR7UEEk;HwZ&>a-|ilD=HPRu<V6?{8dq*qFRA4?xqea14s-dn
zD?eBJs`5!ZYAexsr=XOZBeZzKKc-NJczqSIN{#82NyU~z0x8qv&Q3}*_*?35&w$_i
zRBuMlVy8t;8}p-uTt7^@_Woht%O3}P+V1v$h`YsBc2@9eug<RJlX<M_)HoGHrCah>
z2Ajt=?THZJ<QILJd0-R6x>>JhKK;c1RAl9HyXltx=XXD;NV?d=nbUhK_fAakf^!W&
zc-Od|?onU7Dq*fecW&6>tp1okTbJ#-$|rf^*|fvE)px2L6PI|EyYYkJ)FqCSSIOnf
zH_!75UU|Ri-YnawiB_y1ryR~G+MOrk&5-wEi_(h6H!^LfudK}P?OB<tovd|k`lKtt
zU*-zRd!<h0OJsemRV=zq<k!kWS{ppKl+-C5HC^(;T<Nbt*~F%GT&wd!SN@gN3VpM*
zZtY`dw^h;Hmp=$g7k<c{BR46gwZJH1ZS}r}Gp@7c_N~70GyIWIdSpidcSQWo2IkWL
zv%C*wUXtW6H@RE5(|!r3XU&U~ixLVrW4C_`={En?n!Uw9fAjUu%>FZ1I(zlB3a-7`
zu>SoXx7X{L`@2&sw4O$v?=*X5#mk*~MmAyIyrK?~m+5^~I#LsMCGFbsde5dO-n*o=
zr!(~Y|GuI;jVtTv#->+qf8Thz;eDs)^A`_26W5lW_A$Jfpm2Soa$J1j-=v4qm9f)`
zUq{u1_0IfYHeG+ujbExSj;~%I|Kf|ZX{kb#|EuLwdtUO?%Kx31z0B$I4CWNg-F`n~
zb~QSuEj`CGm5t3Zc5=)LwqM<W+dcBDCUQ$Kt9h;v%hZuoz0Lcy?XPjp#fue-cYby^
z+ZDt5uF+2O-I84=du5FeU%mgrFGc?PlBi<m#j9`BuVUz3e(S>Xpx@cao7uT`b^2{8
zcqICy?dH9H)zeYWBb;vAo))`i{I2PH^~ccH1>Emk(w{56u`zh}u59C-dc(h;v!AY9
z|Ig8y@o>-Lj7!UWm;Yas9=BhOGhxQb=$Th`9yjoIZ;vo*+i4JxGWi@wVe~Gyz>8Iq
zdPeiL4!wN(if7&I8PgX$h)Q%_aN+g4RhAA{?iVrZ|ES4pP_@_>rgHE6+5HOJBX&(=
zeYtrH>zDgx=a;ib&hp&L)!24^B17^@X`auE{vT(v=T!ghw(0-*iTezNCA6<r`ER<V
z5#heT)<%{~=;@>59_tQYzOVT6s83z4y^rJaJ8fS3&t2tEYWRM@dFJ$*iS^SfPt?rz
zEM2mYH{H3rCajuQeqSN)>2>m}cS@EQ3fiB}+GAlc|5Zt1m3yX1+4qkbi#BDp&tJ7O
zOEBi^t<IeKlKhT5%dW5}n?<fazGq~6YTNH@VRDksfBx+<_x_fAnLP6wS<Vc1+h6=t
z{xj#{t1C}?Z+<YEE~!zWoA=UeTD_&u^xl(~Pu0v{x8FGRPm#{I?Xz~Y#)?eu4@_IR
z;m49Jfu~RH(oPHT23z~_2XIeb_bp!ZlRcwM<Ag%l<U@}4|4iZeyi4f9hj$zPZ(3+r
zeD3c2`l8pqd-)BX<jYNdzlvS2=H<$i*Qb;_XS#hpRGYcVzb=JcVA<72nu+!-j1g(J
zc6^7f_Znv05tP<4JL_e*bN$^FSNpsj=3PD%)zGsh+(bJ#B_%+-aQ`QT=6k0^FE70C
zWHbB9yXh>SKR6tmR<ml?#LW3sYZLmv-pMZIH#0i&hq3lULctvqbq-(dd6VM}1XBwF
zceQ=5mSX?-PP*t%*n{?Ey(tSOpL+dV`RZ$TfgAR_12Yf2P6(RZ!V!1ktIt1&a~ZCu
zemZuzHO@%rNY6}4ow!`m{o`Y$ufp@sY;U#^Jt?xLKhtk|e4*HMk?*I&9K6KWE!*L9
zg=d4!ZDs?x-6it5_p8N)-bu=?I%KG+yJhx)_1oF)5~B5Id^Qxm6dtR)QTW<MaUotK
zRrRFFt0qKT*V-X-Wp0{(_Q5+jH<h06*wLN4kadsXaaXmRzolQ#Z}F@twB$)^KN{K4
zQCnO7v607X|C=tW*faYxmD-d&Lyp@e@C#}*&MuHOWO`Z4@yshWd)}P29~2(_(VPBW
zyez?b$#L15cAeIp2lJ+#c=m3+LUi)h^D4V56jNn<{x)4dW<0;)QT5I6>LexSpc9|9
z-1bip_lgrzU-j?c=I5&)2L5YYGpEGF{<iyrtr{6k?ryvXEnhaq2fYliIhC*dqM>Qa
z`jmy6*cuGxwwfK@zVr+4jpkDE+x?8EU*`O}cct2LQoD+=q))Sr7L#ne@cfwX9xDXw
ztDHAITH$=}?8RgJuTMvwlJaB9i(%jG^(@DwTyx?Z#{9P{PP};LIP>oQV--(6t*SLN
zJfNBr&iOBC)5;@^wcTG;SPOYx2Pmg4xU^(v>vENoU6vY$7RYZ-x>6RFd&kv@FSt#&
z=3R=jj;#Fr{1X1jx(lb=T(;^=z{Q!Hw?-+w=xj6f)A)MJ+35XsudpVY!eqz03mfc8
zuI@T}rQx%+dFat%=hM0lmD{sYsvq`xY@KfXNX<BU)1)i;f6~iEl*^}1db+@Lua&E>
z<kfBM3Sqlf+J(nk$7`(YS{o;E>4o_^z4Bx4WL~;$ZtObgtgv8V7K^)|&2=tMb)SP%
zUSBhrGWD;dR>g)ocGuTAOfM%lKU`{MUNW&zzNbyOd)B&N$^}!H_B8*EEq+^k_qpHK
zg0R~%H4b(QmFIEYlI>ciQ&;)^&8E!^vfGt1+bvtxiMp@3m49rrwt(5efBJ&Ue(do-
zaH4ptdC8h(%)!&wE-h-8dcWh(uNC)deH502iMK4h7TbJg;qJtV5zF?K-=1;zr_sx>
z;G!=l@2E$-KF{O&<ukXR&ty)m&o%2E&uXu~vC+%fKl*Lb<*V_x=G@RbF0dhRkN<X?
z6W7{R+_xNdnDJoa9}~l(xO4B)`~DeZ_tgn(t$(z+`~RW``}{Bd7M&m;5_IaqNAW9b
zPQ2YH9QKW=O?K1Y)FV34jYn(FSKq(9zd^*IxtA}9Yi~l-d$HT=oTd5ry!H44=bSa0
z!fkKyL;k92l=zJs^;0bZ>jj#p78_+W*O-d`4v2mo*FV3xbI)V>Pu==0&jZ<8z8nnt
zSG(RrebdRSMSZuvt7ukyf4Yg=`0LV_l_!|83-XN@nskMERTQw5v>NaFzVXA|t#_)D
z4)9!VpSfU<w~CHUO!w&>DN{4&%3PRKYWw=-nSRIVI^1z{b9z1r?#<7z>d3!WIj4RH
z)27{%I~Ojf7e6Y}-(1`l`muxWKXc&vI-iL<rq`5hPfeD+dQ!$LwSA}k)-@tR!tPbY
zJ1^a1h_>5r88o}S)_L{puFLaQ=}kN*nZEe_bFZ5h4ozAiD-?L=!*-ebyA@{bEz4`?
zle-Xjw6E`V^XUU?P9*DBy2jnO&F51uA>3>udU1`BmdzvMe{9QC-#IX=dA2sYKW3W3
z^Rb(2{$yvL$_W!6dR)8P{e4@p>%%RRV;33CcKF<NYL4#1y_*<jtXT3gcwW86(w5y;
zTIpJgvbQRDCB3ZB5HPX$E!2DC)wLEC&4%(7i?*|$k)CpT<{MW3@C&}jukM+oa4$a8
z+{pcCYE0Iix!$?n3aQt=WPVoqP<&a`bH>KMnp^iyk#+y^c1^OpR>C>sl&JHne*`(-
zw@7%zKi<A+^{29FDz1y(E!c8%@xlKk(JAIdkKGmutPgu)+<fTc2bF?uM|0u2a6abN
zh@2x^WDKl$O3c^s3$Lgy+-<99sNE*LVmI%F#lM{<EV0}Fx9{s01<rz=Z<EDNeBn=?
z7Q6WBhuU4;OAMOVTwU@YI>wOaxtF13L&+*G@fjgP2O=BduFmLoPGI=lZ)7x0ooRbh
z{G-R`4lKC8dyn6TQhuq{&s!J8eS8?@E28&Rv*U%y`5Im2J2SR)M=xv;O$wZJA@?Y|
ziTPy-^=+~N4Mm>UmF^v^I^$Z&_+0c}>xq~jZzgrLeOuT4ZO+pAhpTUVy~X6V`jmQy
z>c6JCm%G!Y^5?D9wmX+8U~KWSv;4^2MbEfqn_Nmgb>n@TTV_ma&%qSKZSNjGV_Y8-
z5V0>Lq9<_k_ANF!qAR-gdcQxZDcb(UVCVkhS`MLWdrqux)sST9u1r33-qzAt!C>*$
z*9N+mJgc)ab6rxhAC_$5=Ul&EbV=`8>u(R2B?X8J?%jM}KArDlh{Wf(=PAq2tTml^
zk!6yT&f{-#oLQ0coi@x^l3h1%k>-|*%lLopdFH(J$9HiFnQH4QrhA{vV|t2DtvmC?
zvtGw|LF2M{eXiG5T<P8X@BS@ir^l(HSC-Fg4$jQA_ES)ib#2~VHgU0X<k8dq!jl!Z
z{MIZnnk72>lf1O|#HX7TU3R93=khS!7Ymz~!ftSg&-nl6g@ucLJ*nn4v{6lKNt=7~
zoZc~}y<1(3S1)!C{i?0AF=)TIR@bcv!EZAUJf1h_R}<6aPkyZ5mPLKNX5<^XY}HwD
zH7!BsvW;;?(Met!E*6@{x$j#pk9BhCd%yp#V4;o7q_UMd4TAH}KD@%UowMP&(E_to
zyFTv9Kax7%X#$^d{d<$dj)Dwrmcz{7g#v_p)^u3z;^^4s)tg{@Wm;v7^=vIK2V)J-
zu)WUgf7;~c`1aeT9X!;Rx|ZwAzslxWOtQ*9Ev~C&Pg(tAiS(wPr?>9%9`yYfDIBt_
za?-X)v8P^s1yR<!uI&1{>y&bfjk2qb{-22F7bndNK2Xgmt+0XFHvG=h)f}_*!oD`i
z$9j0?uwCBM9B3~cU|X~N=z|mSU6Ql)B#v&l{O|e__3YKIeiH9grx+v{MehwS+*BMJ
z`hU+)x8`ko6MB7gv+l?;EjQLJ;i(M1E_ar_xBp}*`@cQu3lI2)n#ZZ9`}Ix#y=$+X
z#(k^D#>>@i>gvC`<jlSI=Ywyv>{fJ~kKV=-)?)pKZ^CKQmF<$!$t8x<gT>tcZ<P+W
z$mer9qWM29tEJa~$yed~^ediIjIJ>#GCkbLvn~Gc(URul9FiVS`AqJH=VU8i6nBbn
zsANps#ecEop#SZ}?!4Pg+trO<$@Hw9e@t%G`A=)*6jy%Q$l1qsS8}NWtH9rPt8)YN
zCoMf)f5X_hv}Gf&W5i|o1CHOdzj_^i^-g(*>h8tonO^4U9bs14=ig?>eL>@Hv&r3A
zD<pj0b=q<z7xkBRUXArRaHs!RT!k5HP1aqDFG;~U%C^PJ_xovke*C^^uhdDoOW``k
zi;Nt9I6F3*&XU_P>w1&?o@X|bnd(<gVv?+!s>bT7c;INjiiJBlejU!-J8SbKu_`7H
zj<+*2PF9{?slzYwi23ltNglbUG}KoG_y#OAH#=qMr&qqekn^8BH-qJod*``>EuGz$
zYks|w`f8`o%Zwr=zPXckOr7Ajfcc|c!v)33i&K{EINN(@lOq3pz7`!J8QbM~U;f-a
zaB7jm{L%%CCdIdUef;kp$mZ#>)i<a<8MEQae@=7F)t25(@g^&)86swUy?D{}qmbh9
zcU2Kj&d<NN@l4yl%TrdWmT#V>_L6gb|1!ZRZ!M#)?k_dZJa53)D*23gZI#@cxweyQ
zYwE&N<TzUo#GO~ltf{%_mU&Ej--eeLIZEGMEWi2sM4NrH!LbO}zvACn1U}AQwufVt
z+kY;NhWQ7-7ls#X>&)ny$I11wKd|4RPH=wN&dRJS`Ojlw|En+NX`Ri{_+_z&!iE1b
zn<WL$Dpe}vJo#X#Ir*mT#2cF4e>y$}oM2pU$?5CyCD`q4RqxWBwpo%NJ&ato)(cwQ
zYwS_+P`B-RXSQwT?W}L*&n5+2_AK8dl<RYCsqWdtJ;zHE<NB{2F_aH#{g)KBOlS`G
z2fw1?g2j(#cC)^>u+z+Zk+M>6MWgYY^j;tBMfn}C<F=cqJ*ZT$_<2=mt1#p5Q$?cr
zo8$cg!c9MM^w`!+b2gZjb<LtcG00M_CRy;4hUqqq^1Zh?GviinlvU|izbACTQR@e$
zZ;r3KwWT{Su<Cl_W}{0Vmg^m`<v4G<j$`?@{(T8a&C7NvMeX1}KR;YLWAW1K0#g%N
ziW;0BSDz|*-v38*MbRbGib)BEuY0ert@@^t>?*OC<#?Oi{k5AkE=R<B6#1HZB!_L$
z<hcBL9kY$jdhc}+OYh&d+!&C2w{$DlSC@40D|L-mcgP0$>ehMs+uvJvWnR*KnRV)?
za_+<j`7YaC^HadxU%G3y%6x&MT9%og-+2qSop;>waDm*B&5qi?*6-1nX8ND6-L~@S
zP2mYEp0&Jn+VR0!maX`F;IC70*Fu#Ox@Jr`VzTBF-*qd~+S%giVUyOg8vQ)?U{c|A
z|8!QVl|5%#*X2rDT>G^xMf$kfEw9RQ<wrN&cct&vT%7*DkLPJ;>%?m{!ps#;89~`M
zSqf*)zG=Ggx!J_M7p~dxByOGVec<inw6xD}F0PlJE<1&pNB+xljWeY&k(Jg@?keu}
z>^OhKv+~E=C^z2q2Nmi{mT%*@)ht?UYq51r%~Az5AA{3QVOxdv1gv0Lz}4J#<a`y6
z`Px+~WyjM_?GoxZ#G1i>*3S0WUyW+-z2~#cI96^`<##){)-j?;)=zKcb>GL8A(M2<
zH>qoW*}n3j)2d@UP3Fh^<YV$4mvP@dw`oz4UB{<3{p1^&7h8&U-}`eVd2f^1A%n$x
z>W^LR$co~A^n+pEyVd7hBl?;Zr0lP$iw9hGSavRGO|Vf<u*<(mYI8!oKSnF@r!qbH
zbbNl^vV&4Z*SGa`DQq!4Tt9bzwa(u(*2152<0t=#PhRADdP<4s#JFdwe0IfC`2BzH
ztlj1AY%yW+E_Edn8@KQSR@=(#R#jB3%9FPEP^mk|bg%JjwP|}CSq-<vrP}HL_+xCY
zd;0#9&Ib&}^^$HP3vb(H${)|!8CW8?y)tL#+R_#Uy|x~gn`bsH{MkJJl*o^dwWnl0
zd;Ncq$M$sb%9|y1&C~PdCso$<6$WdGsQo(s+PLA~VXl2rtKXE*6jpn!bE5C8^zW|Q
zi?{Wc8}HLOx?={%O3lyHxW1qE+#9NUaN2{3G4XqAKThLqE&Hoj%gWKQUNwD&OA}kl
z(h{!e2|wTP9NJkb-yl(Mv~Q*1a-M&Cmb|xl7PR_;#`B0RON#3=j?WD?PUY*?3SetI
z|NM;TqqQpEgMyDN*s*D^N$~wSYx^=dznqx=^U(49X<hH8i7Yy~>$`7vq%Xt1hUaH4
z&1G4We0NLJHoKB(?^jF|(2Z*O<GO6I_O<n|WmY<Fd$lSq?11r$w)Q>lPj9@k_KBK5
zPb}r}jwK=K{#Kc1yj~wY7iijSdTZ|Wn}347UD*`1_o7}>ri)vR{5R8O9}AiEq*?R6
z=>HMcv6*?3o83=J&+?SRjpy0_XHM^{-fQ$MNI1FLe3GK(tOsQiU%Ldon2@njWLvSt
zmWArag1A$Qce@H|O0QY8L-g;N6Pi8xN99*>PO@oMUG*$s*Y2yY^Q#xD{*KL+W;)p%
z%r*0yi>V6#mM@}hd_Qk8B$i7~d3&Pt^xi-gW9h@IZTQ(`_S|{<Nua1juyWm;QytN&
zVt1`lckRqNck$JMIoFoYyms4KXWqAOA_+F@k51RKxLNG_Pbl#|+q{ZB|Cn^P^PQTl
z-M)EU-kr@G`P%O9Ug0!tO-(#om)CX~W4{^KgYR-Z-*ca7U%QKAMeSy7iAnQbn$6m<
zyZ6wV`%>RdESorUcGlDHyDv<%ZcDv8ldVqphsbWzIqaugr+jdE*B+R6d409}Q?JRF
z>i!fhpT0GF(p+tU^OmUxo0s2HnD9t!JDc!|q~rJBRL<0y_f7TowFi7DuRpwe{65Ae
zyRm)ltlX~^tsc^B3SkB3r!#)}S2-u;+2KI(hEF-~ejQykIrQmwF^2+$he~rD+@9w#
zY6`z}U+nL0x@vuLc4XYEqUXU^4lnh%XIc5p{>g;&-?f@Qa+o?Vp4^$rV)x<n8~xm^
zw~wAH4k~#d_sOjy^{Lt~*A?pf?@wZUth(pVu?4C2E4cq{bz{rAvhsSe>DC_+meIT-
zZp-&u3!Z-8#2gzwIX3&p{FTX<7qSWLesweP!ygN2Z>HLvCf9=Y&GVlVQ}ZS9(!aUO
z)D7<`eD!=HvgzFNk9+1EJuLLpm(A+d*G&&rxhbr!4*OK{o@t}y-`MRZ(ia-~q;E@)
z)Ns&NEq>#?wMkL${H#w8So5!i>U?ZIkiuAYLoOw)u(iln<Jd#}yDHQAEdz^h&9sdD
zIWI+9y019vrI51&^TSV{npF&5#Uv{TY~S{xx;ZpZ<i2Io1^YiyCQaY%eVkqOH6Bih
zovgigm&Ux@bqg08-9PL9__o-Y9V>1Zp7Su+w~W>Eq{<41$Nv}HN?ZRrC!{;i>!ysZ
z_IqF7c?_Sdx|^L$e0Ap(YwTERF?qJNd*(y$s8B7oW37`{<$0OyIwy5;MS9M|vQ>$f
zT`rnz&3mD&b!Ohe%~}U!j(N|xQ(|{3)k5vf;rsm4)=98)HU4t&uF1)JJNep0!IxDP
zODaOxH&i<wkGl6_vfSSp4==Oz1QhA5Ske=@hJ#<=Qr#VM&sQHFG_r8KdXxA-#8%e9
ztv19e`0z#dy_WOxpA`J>aoN0X&m)VorXSNpy(F@x?64?Tb35`_PTlMFCxhrivU^t_
z-BqU8r_Z}msowort8h5Ctx^B%In#`GFK{qf;r#D?VOK_s#oDdOH96-dcpuzfb?n-S
zYnf*aGvjPq5~O?nJ@@Hj(>1)j_|en&C6cqYOe^)?R`&ZJA79qjI))`OLRS~u?=<ul
z;4L%u7ugvy{q9Qk6?ZC~7V-7{+5Bv$cW2nlB!RsQX7RJ^w(vyjU0eF}qBIjLXMW3`
zq%TKHpS!qj{_AFaUSf~L`-rgp)7M?9)?FPe@80p$LG6{`QVE%V4pA$ut~}bcV5^AZ
z^xUT$Pc-Y)eLr|Dh<Lv75PMv~>Bpye_bhsLocVV^N#53Di8tdnKh@#<a`oP#yR)b0
zyt|^c)NZro{%dK{oW-k*UOKVuzW@C1xrz08n~zz4h~r+iX-k$J`&pf?sVg0f%J}Cq
z$IhNnxoPcw^#V=~xoXAdlY??v@7niF?u-e(QDC&iirMzvt9SZg4joyh>ysznmx*Fn
zvUo$6!&mmssdKv(_AOa|q%J2%V#D=EhvH_HobHmaSF|#jxMNE~Xl;qd!_=xtjIQlx
zda~NC^BA@5i;Cu+E%5njY}-SpcLq;lW6maq%(yGPv?TrUw<D9@2MC^Fy45ONwB^>5
z=bt9ne5lvorZ#`6W68NmON_e9OHFjQoKkK(STcW(?H|=C^EXFjPgr_x7Q^wou6sli
z?UcK@e;eGdziKU@@cVqup<kYtb{zO~ht+?zvnRic(X%qu2=0rj;u~zQeq`7y<MVM-
zThoq)%k$SaFYY++lOOeX%gR?L!&Tj{iabn|F_?GJH|fCcuvr&mwoF^S@}1~=9```q
zUuw^^cV|}IwauEde5$O&vqit$9u?RBm=sl~mpp6n<{;-Y4(lIDl+0|J;$@Ir;(IBS
zaoX~0r>2-!^t^q&;PY3OSqHrwqBULKy}RDK<KugWz?HlrKNFc1RnKlH6cF0UW16yT
z@^il#yGviKx~zYwH=*(84bQ{-x(}Ca>I-j}%fggjlp^~ha>FdvwVsD3^nQ9b-$L{A
zx&>L<Q4QLz_k7Q}=dEL!Yx!Nw%XC+e&H5+*-FjSVm%jPQIn{RWrfYwTEVi(my*uxo
z;kI+P)3r4=W=x*OqVeb8-Ma0UKCiiTXWn-fhwE2Ef||}KajeW(YV&n&%&HyEdy-vG
zTsJH-d^}&`z>k}^SJ!X<ajwlI@r-Tm@5R=3+e0*q9z?6Gk7_)c>GmyRY0!O{S961|
z2Tf%vyq~#OY1w*HhVwRg>%vO9+hTvkuZ))YmN}8>;nr?WpOXt?D(j519>`ti+qmxV
zo9WYU?Oqhfp>gwYlg0zH3nf3)A5YuvnAd8&)!|k9TkYvvd`uc%FFf@~DL&)4<nJQ4
zuI2^Fc?KEz$Fy#gST!B17YzF5`M9Q2E=q2-rNHl7-tyaH0}FHl&Az;uonv0c_WkJ5
z@5?kBkFf7p9G|$gN$#TMwN9;rSvUNSK3+7pKW)>>iPgRV%=;?M9^O!BO*W2Jyv)UZ
z@7%QL!#A{p4OZ{lvgY4~SIwu4=Jx!GJsJHpU)*f-l;aCd{JFZm&BwCGSMBfKCyF2b
zWiRPIzgA&ZujaKo{PsafJM`^>f*Ce$s?rq{Vh;Gq$ZEdAhFOKdaq*n}`QmcUsT1|*
z@~*MHtH9>axj}IspJ-9aT%+~ca!n1#x<oGT5}X(NrnQ;D#D5a&Ld7+~f$~4atf#%3
z{G~-jvxal#`KvW2E;4QBdRBbv!9?RIes#sS)!KJYf7`=1-|FTA-E5X!b|3HdY*Wzl
z<o9^gba2CwOV)=x-g}j<6WM%jPSTO2-+P%~Ev$*j>G-zh)12eG<TmZt_Ft$_=e@G0
zevta>CXNu*>E5q=K4i_E``A1n_aMj9KK_)icjTt+_UF8I)H1C3ZucZ#2_?5fSraVI
zX{_K%zkKBSst@%i4Zj@JaP%lBPk;YfLGZ11g~%1X+)3vqRa;G4+xPZQ_bmRnE%kE^
zP75W;9I9a~VJrJ(cr!`B&HIABa2Z3%1fIQ&3%S+Sbk6+Waqf3Y&!_d_dr!-Bs#ug=
zIjS_JVC8#5;~jen%Pm^h{nt+Ke)W8%Z;{zqzn{6cvaOcA^%4zOaOBFlV$Gf#UtCsh
zIR4yy@qdZvolk^VJg&T1`1fjdk%-Jq!Tq{7j99+qHN6OD-)(4lCZN#p`li|owLVTv
zN2+90j`Q6)9&MPkDQ>&M=QV|0p__|O8x@qv&SnYc`KP}l{#D7Q^M96XHVIR<VcGnE
z-@V~tb4JLXm<3NW?$6`d#eSf9k8DDq*wI5WTeb^ISVyXcF24F?zW&A@-bQ)xS<}PL
zDaNc_J$e1y(+jfBeU__CUh&g9#lUiFUiW0Sll$KM4P6$v?7qhjul}D7Z2t{-jAE_!
zUUx~Zoc%K2O?0BE*<``p;>??#DevI;xUup1=Jdk-cUWX)ef@45X}G+~dF)d0W@C@$
zuYR!`nT@?KdV^AWtqyTn%qmD~w_;d&sVIK=(!KgySyb=O5I^;C;b+&q6H>#f{~t^`
z<fWMWTlbQc;eunC-WwT@p78v>uy4uBOx>Upvvj);&*V_rtrI>~YejJHxnE*jD{a5!
ze~7HwxV!g(_lCUE2-CM+J3?+BUo>fBME;4A<)tgm?8-e7oG6*>_R?PA{xS88%ny4{
zv#Ro~Weq(XSgrJbADdff_e^8Xa!Wz$nTp>ooLOS=`sVXLao_U4**$!Fb#w0}Ra=LL
zPuwqeYt3A7b%D@X)&%7VR#xfRJ^%LHyd-wnZ_g&aZo&AA-yaDodA*JFexkejN7rou
z0f95gQx-&siZEIpPIG<s_z0)Q$Ao>RN$+OFzh4=-+F|y+Z!(phc6_C=$ID9P4m29a
zO5OPQ@xF|A!ZB_EnF*I}rfs`+VX>CL$Lrq;cg|O_-@e1mL(!pgS@5${_pUz5IeB?`
z(VFv9!uMEBZxLO$%|Yk!kyl^8UYwG7q9Ijy`ON9hcXh|==6y7=NuGY2=hu$e^DN$-
zePEQcD`H1l(Cowo?`J*w%fIl*^WeS-{bEIGA0w{%ears%>2&Ta=Ia5QxHqVH=2W~f
z>3vwXF?OfI$BG>qe?BzohtKX1Ypz<{^Q&Xx&a*F)SXY*I9nW~{a$>sd)Wief%N)MB
z^A#I#dR$y_yZ2_#?kox2xBI3&?Cf85ApN_GW>o**_rI!67B1wL3HjD&vbK-qSyA$K
zEr+zyYNLubSKkYqJH968_QT2o-U%Upl+SMbH8)hj_D9Q-9jkIokNrLL#+d8yySv7_
zPQF@M@33Kl-o2L3rj{-f1Wen`mp$Q*aZp-d!S=i_oR`~GSk~{U$j9bTwp;fqdVdy3
z|2Eo@o5bop*YoccbEgYtyUUbf8Or~57qhi|Yi2vd@4)WbbW?2ag=^1V9j}j(4NuFn
zpZGRm+KEuN>nWP%74MC0uWd4ZIq&nWJz;`V)iy-Sa@hslS;4MQAAWx6k=+4@3*Ub7
zU8P<B)u7+%&a?*~o65M;qr;B7<y_jUQ#0j2`=-2Oe!KQf+*TrU>dEz4J*!tNpQ!B0
zQB=G#Yu3U;nwe*`R~fLTCci3M(&2Ib!;aTYWpSx;so`1Pc_FF)V@;f|^UevXGj<TD
zyE~zzUG(>!&S#fQ4JT;uIQn<miqDXDdv|(`W}~h3Tb7%tOlz0Tl#3N-m~@-pSx<jz
zm-3FTBOOb`I@3RF`MX+nN=RBIhskp5B^NdNfA%rFx^$rW=*kuExFndX*H;BeAI~@I
z+xA}MOT*tK|CPPl-xNQH(yZ9yzxlX}*_O{z-S=li&a677+ID^Owhv2Gy(}8z9oCl#
zetjL2>$7`lKv8aOzIs)Zhfs*_hF!iFk4Z&t%sBc+-Qu2$(?QJ*iFGGuuhWTHy<Yp)
zy0S&3A0FKf&|i7vno9UT>j!o$n|aOdnx(VeZD(kYEX}mJCf5Gtwb?~w<+|+;Q+LaE
zwpfIzwJx5Ow1AI$;?tiey`!v3J{=V~;IsVXEK|#O1&gH~)PL{K44kqzPi)t#OZ%SW
z_p(0V+8=9{@1zv}Y_80T^_pFp%a^Q<e#(7y@7bv>GU_MPZCVsoDby}MYVy0a+f}ym
zmCl9`-S+u;^R;?IT@qr&4y<Jn3O7=m{O0`pv)x(es-`j(m=rsSnJ(+EeD!VSRpGkb
z{Z8Ap3&L|Q>Av%pezHbN&1y>aHRU|}Cnc9vc`Te(S!PaqdRFw4sYl^;`<<0@gaYeA
zqJ`Jks0n(VdbMcrp$@Ji;nR5&zKS<&eV_Qec~8@`Q$PErJgUEE)A@9wfyS(F`}Ol4
z*A~|aZtIo3D{;k@XF2b!ZIWv}{`Bm=Eq8PJoHzdjUJC2k?mKlsT{B>1?~Am6v-J`g
zw#;|)-n(W;YdXC3d_CVvdIgUf!;-I$_I#Gz+FD|E$C&4vwth}?#ryPzOFY8S;;{_=
zM^~4-87eQ|y;>*#JAcr6->Lkn#}4(~Y$#f`m?`h^rmR9wL(e(S`wNzyzIh{i^83XV
zg7%)vA0^!|oupyCh+R%ysjs4QyJzCjn^V=U<@l%hve*{QNm}3Kvh`h6hA7|Ch3qF}
zulGc~_e(E)S2^{-xstC*BFh_Ck8!B)7qtx!OIlbgx?5fK`N40Wjm}+ED%#&sYna2u
z?3yeT?-Sj$?WWI;C5&#Cl5Vdg-$`dzbPFgm8m{_u?{b;$j>G#!?CQ!t)#{~1{kgPu
zBFpx@y?lF@x`_NYi{HRDS%~e+Q3p%b+l4c}n$^$Q!twlK`u`8Q+uNPFk5As<Sl~a=
z^W2Iz-#QX`e6@cn&j?wlSgR`L#eGOm{7JxbZiAb-*U!ys`_PfJPkML6h80$G^`~ES
zV>;9C7Gag<BT;{R(zTZ5bA=fc*R9{o<+JVJ?)?GwHy?jdEM4sT+ek8fuY*8%a9DQE
zth~beGgQ_*U0z?zqjYBK*>%=6ua?CLJah7p;ctnkT_EsXH)g@nX}TGH*X%w$b1qrI
zP$_=@nZT)sXWnv(F3kVD^y=~D|3#)9YKzVgS*sKOY}uy^x1`+oclCc%+T-y-=i`Z7
zr}j9Wy>$%D-5c}QXB{u=zPI*Qs}EP2TSZ&y+|zMMS{Fo5u5I`DtMugQe)boB8;^VM
zE!g6f&Y?GTPr%E6tRZ)U<pU&VG=90ZMpM1|I~R}jD|PRX@|d@$^pe=82A9d|sWJ&S
zT?$$MAU~by>D$um*rJqu_t$656YX5@w^sF-v-6a)L*ITY=9nDKiIOcaDcj3?|5I{|
zpz(uHV-~N;^A-jh*{#_j-xJQ|`+J+!hnE#M`kyWji~c(Qk;d`Iwv#z!c+;cibWU$I
zpMFX7`}1cPuP4}X^xHK&ZR}IifALQ4hIrb(Nykfe*mA}k-ydJkRBtuU?1A@8!&I)X
zInygl-aa`S9KV+PdCaeUpQ54!-P`}3<6>U1If#Wh)|O3PMfsE0nzaiW>sBYcTJc}%
z{;#h6y~4(^+wM-iQFPf$<iWM;pPnRIPx{UFT`TU-o%xn0ro8CQSSsI<vm)+mjoqv}
z6P#nBw!Hn~!TRjO*8ZB{e#zZFmg|lRpZNdiz<EWMf4_SLtL`pXvTxQF-Pwf!52kYa
z*n9IE+lSVlIsa#GYWRes-WNQ~*Ic%{A@?DDodvI>YS_{rvZ=p+oS15~oUckL+H6CJ
z%)5}Es~L1EgVXXJ`ES)K%G7(!XU<}LSVTcVATQ#=A7|sGe*13Dot@a<6VBo$@htnv
z@+Vdz<(@lFF1vDwW17FaS$9tHiC|CTn-||akDr|V=cVlJ^A=Y&{oTsv?dVXnv)X+6
z^OMc0v-GX3#LVgw9Gw@}tH`|!EH>N6`0Hj+>o@u1+wx=^o^P}}Aec9mH{b5N)^+8D
zTvwufi`mp%C!6U{@G#PSu_Z66>#9`OibI+z=8tOHPZ^l)$VwC}bCQp|c4WQvB1UfR
zFJEQ}o_eSF=n+FJ*IJhZ?()|UPfKn*`=flzbGhef_n+>oKeX=q11?kF<9qII_+u-}
zRs8Yu&MTI$WPS+?d~iQDC#c=*PE;<#L#^G%@1IN*cqBeeUM+|9M4V7pz@(jPcRV`j
zEo_v<ZNY8tbm7ebkIJ(XEZB<AzJ1dA)?=Uk!dI<a6`6IVZqJjMm0Y^Zom@GBWb;ea
zdb(CWd03S*p&>r0wC2}i<7a<)5@L!4**n%foq53Qd#t+nr0ss3r=0Ej<5;9$yMH}j
z#X6Dk<0Ffz$}3am3bEEY=G?0)nDEMFv0m+_sLjg{crUq=WAB~5fHP0KW5Mi?%yX|d
z@+w3fSZ>Tx|7*43qqBA<s$VBm`5jy`{cTvSds%VcN51NWw3~u9Y5x;bTBIa$-l~gF
zzP>=cvGeD)!+$4~%n5pQkk3KIz4`tAt7YrB)`U%|$kh6MQ@*%E;(@7Yp`CK1kN8Zc
z*TUZ$&%6r=P`HuYw&bs;OyT+mCc=yB>lau^3fo8}7z>??Tyx$wZ+(HFX_)!?+AZNn
z?-p*!=AAHSw-2*Td3!)&e3$yN%a6~Ar!t+n7isZTbC1WgV&+wy9_>l<zkF1bF@Ig)
z{@*K9DC%k5c?Jglg#sMs*N9}_j!+2rt{l1W%8I<6cpcBb!R;-r`+jzJGjB|}@QcSm
zVCN~dN!}Nlz6R_~m6JJku3=i%f!urScb<DHuCf={tRP-)7dKbT@5qL7<GERrwN|h&
zWO+DEXIhZ)?&0rMv3j<zG*|C`xv1}#y0+1>wp}|UgEeoxWc(GgK;xOw?bFQeF50{$
zQCZxjYtHh|XpHf^;F`@RGeKnKCiQjl9b$EDI}T{1pV)dy&~Y6n-@0cv96Cx@RbLXE
zuXEU9Rdc_e*Gx%i^^g5WXME-{(Ef3Az8?4ST@$bGm09p^{gUn9?#jr<JnXPKo4n)6
z(Y7bo881KPTdW)3#$OU9@HO>2=T7#fP1l#FuG~0fnYeqkf~(&1hrfTHlV3gKgo)dC
zCa=0vyK0VqXSf~w?@ig&x-G^wo-a>?U3fg<&fAC!1&c!FZCtX^il_9|PnTz9(;l=N
zep0yk|NN&&qazsy#INj<k66;iG{>Yeztn4UZ{azO<M}TPT(&B!uly~d;Fs}aS8`+h
z@p(o*`n<U_ube%V6T2bru|sjE#>!*7+xPB1zS1!6`sFO`fC&{<J9c?}>S1VF&#`Ep
zbbR5j)MzC+5!vjcb40%j?6`F#>rCB?l*L9eSEe*`Zx;S@VV$S5=puIyPOk@{A9#!w
z?N8ahHAP{?^cc^XjFmgLZ~b#}i}&x{ElW1*-{Ze2FYEb=|McclYN`41`WqHMDfPS9
zu~6HXYpOVFg?`J^1c@q5xoP2=tly+>J&*4f+S$C@Y|?7OsqO`P!mb8P2wQvjyiLN#
zQyga(r2gLgRxwQ1q)f#mEYu;kJmj|C6N71w`7ZsRu2(M4>v@Im*sRRDbsJCds>iW9
z-})n_E4Q8N%z@+2<1X2LF^zottbCuWsP3#Ue=}ZrWTpIDdYz$tqxQK5w(NRFwWo`(
zi7R=ld@_3GVEwtXsIRQ%9LKl+w-S=B+we4pJl&S!?D<t-kHV6;`}Y+dNdD1TxNvo~
z$h}VaLY1#9iIca<ne6aNn492gcA${6`^sM9j(tnmi#54(#geAV-+OGg*T4B-$_a(<
z?3Z+Po*ndDv3<z_tGTO1uimJ)X>fZu^?vVjk1YnW5BJ@<!Cn&T`|^Its)Q)difQ*Q
zwa?Ajc5lh98h+JBre-Dq=8FEyy7~%Q?fb;{{HS$#e`D&uq*LKRlag)LSe$yIYB>25
zcXYR~%WcPAo-DnJdm7Hq!aSA#H&&-<i7S6M(KKY}n)iQe+r=3>r=Bm=-}_U0eNEW9
zV|klrPkoVR8|-><OUsLD>Etb|)2r6SpKwXKeq$@w%`=A2WyB7@KDw{z)h>mzF%d38
zXMSHez0x}=?QxV{?Y5g)SInMd#YMf(R7jokrNn<`u|=+><&6@-?nG%8muKFKH>NZ>
ztvq%=y!Vw{qI&kt(3f8{5|=Ieas0Uo*B0Rqb`!7lsv9OGPI>52C$hfxp_!}tOV73>
z_D}ap_D*==@K=29=fabdX3Q_kyK<;Ecf*Z(nVeaQbs8tk-8S~u&n>k~=sT$X<mKcD
z>4d38KIun0+C2WTEel<5Y&x;DtYXDxMF(f)?VBr4?X%i{FS!52_3W3@H*e0`F8p~*
z?XwH-A3tzU{!}+L@;ckE*_w9_OL9wU#&*_iTy=SKgy#Af)6~V=qh{1*tEaT*OC)Sx
zb1Uui(u8YyN#(D#)`b7NruJoG=`uH+I}b9fljk`6yz|28&%~uOtgl3QUzK{OETL_z
zAe8ZE+W8MRvS&{Vp7P?R=54i>OD|t1PfvH%eCoNy^Z1so8~Y6#KJ5(I@hkn(j4cz^
z|6eXtxp3=c(M6_qA?Z#pq|Y>~u%GeudhE{4WU!&uS$4Yo_usO&zO6OecJH;E*}o>A
zc;)lo{IA%2d|#ErxWw3EyM~uk829auU(N@<N#l6?A>YULhu@n|cAuEy!{yEE>|34I
zd5iP733E7n;tN>+mF<A*(u&)Tv-Q`Wc^51tR&(s7nEuAh;JL9?yJz@6`e1&klWoh~
zSx4Dyi^Mx7+AAHIXE5u*^>{HghW7iH4?euO<?qQrp8WITc^8F#si*j9+1)bW_302}
z+tJUEduu`C(Oo5~&m|HX3XSDhw;Y@F<LjOy&czK`O>%Bb*H#=gwEEF$<yXCz=kt{7
zZ?0^$eN)rY-s-}zX8w%h9$u_@wc7udP5kv#f$1Vw$h1#(Uiz1JKaH8mpjXww@k4mU
zw{yKxvs`A${qinb__kX9uH1shYKMdVH_z33b8$z*x%ajGx2L$(Y3}V;IL0E`{HRan
z?H+qE&z?`$<eWE0={LpPR5ur{-?=})^vh)Vpgtd_?@X7sb{juF=_eqrd;VmjYh2A&
zg$ZB0%LP8Z3wj`~v6=bNv~8@)H`aIwUb~~A9xlNu5cjfY+xdOZvnLcA$+UDyF4JN;
zuuN#Jgl52snu|ADdQxq?Ll@S3+hSGP6nN+9?%kiZb9ZnU6<#`f<V;qh{bIZ8nP>Lv
zD9gpa$ls9q$NZ%Ah8Yt)w$xPZYw>V-(Vul)_`X)u{P-iCYL1bNpGzZ`fBYPJ>fa`I
zweneCTy|Zn(r7l!*xbB_r|E)aXT`Psb-Q~fa(@aI?!T?sZJCt$H@rFX=N~rXTFL(@
zug=(K-95NarR;ZoY1PAzb`Juhp0YkjDmf;R^;7YjeEb9No5oLE=P&4PnP&6&q0R5J
zL6?eW-1M9&DB^Se)4ZMwD{t~O9^zOPn0;`MTlt@!+uAjM?)gM5Ss-ks{O^gJiN}_U
zI!pNT71{ou|5v!-u)!>kwGPcsC3sz}cQ`nnKmPf{`rDTr-u#)JlIz7&o$-Ie-M4O~
zt!w?B@^9a^xn*(Tj5lX5S2xyNeaM$0X}b5)x~aK=rL(p@P~j04vhX^ed;7pE<yRgF
z3q0y-BHPz#NFK@O^eH{PuOqM3Jb+X9+S7gOu1{`|n$nRbvhU73jhb!2-?pAfJ^0{8
zf7J4|i|@X_?Pj~hUZQqB&%P&GhhF~Lc6x2zllkpSPWX25MM+r4&pEX7@YDr6YgSlw
z1Vruq%`M5^S{S{UOE)Je;9&2G`_jc)3yZ%k&h>1Leq*!nzw57q4wEb9f8P>t;W1BF
z|74A{e>Kg`8c)5xtUYObw&8-Jir5#~lESxZ518it(b7v({`>x{q_9Z^hun=i#cjH~
z#sB{QyEP%KB4e9b?!`xvHWB}7xZe6^Uoy}OT`%|PTK8Rd{zWT(?b!YI9XH$NQ-=iY
z>_w~%7MIV^sEV4Ns%6%a!S<pez?+#xgn@y9gTcKcCTu~T(9-vI3=G0c7#a8&7#KqG
z3w-laN^?^6Dw0x?-^OxQNFTkqZBFyqV_r==4(&Y>!1QE8ih3arV~S_r)DxQ~q^M7s
z&*ggWh|;DfUPXK_4%XgJ-|5v@bpQKBr=487?%v+-cQ4hQBKKvV#)tD;XU)7D>A9Hs
z(Q{7!*EcpBzu))1#`trsv9<MXGm*I4m+!xRUF4UanRzpn{X=s9TpQVWerpdeuH0q%
z-RMVm^~pUi55M$1Iq}ZH%LiT0EBSxFEB^1$#Z^A{9e=*#D_(Y{^iSTdU$<Yf?wuiV
z{`s-5HHE9ix_=4P9t=<r<oV8<rM~Ria^tG=k1pPsv9H2rzRr^1ZgZ7`?>`)0#9LKX
zsAxXX|7B}SbzxOaq1I-@jh3}ei<<vUi<s{H%v~&3(lfKNWoyR8q!0U}PAzw@x)Y^m
zzvy{2)4hxr8M%uV^s8KooU=52b=(CmO$Fv<qFuI+U+PvJn|^bL#J{DEjh&A&>XbI-
zCH<{pVBGwCd(ZRD4W8%!O`O`e!CqqOg3p<6XIycyTc6(DDY$pSy$QBqxmm8);#%FF
zR_-{pdA-)psLYaDkxwfRJqqEQaB}hNzTW4bjvjjCaZj52m(afy!<`mu@^41H@ZwS7
zcD2=CH+xoqgKg<1Q-+MgQWIJPLyq0re*CJp>?@Ig%yrZDn(r^_c+PMkJDTstpHp++
z{nVB1n`h=)cyM*G?5*9wVM}+MVh(CpdhPiZ;qK_;U*~xQI4Al4*)q*D{p-BeJm33F
znO|8BPh7Yo%p>p8$@P`CmY0NiEB-QhO`Nt>_xRSF#cS3Tuh1*F|Mhi|@KKkQO9dx=
zmTkDz*Yzr>X5rP^z0J;r2j1_?lvmrIyZPF>qg$sjOnqi{JxYDmR?7v~${4n?y;}3w
zdHojYRqM9g>tDmQzHo&xcQp5kZ^tg*)Y?%u<ITGA71q(aT@USjThf!e`=4F^>+@F}
zdw$(!+jU}_<+_~oP}|kfr+N>nPTH|LFF#8xZ2Q#r3vX+05Lz95+SQNeWdFU;oY`N?
zt1HEK?`6~8bZeV)L+<8t+p2qaMflEob=z;tMHltJ0|uF|N<JMCn7qtOJvhQJQ>*lL
zpNX2Usox^SlG{A?YJseI`BQGM^y6DKS)F61%T%kGhbDN2ti4^PVAQEq^r_@muCdTe
zo3G}XOcPHAFTMTyXW6pJO)pcroYef+=G}HY@nq%klUJ;^pVde)y82*Ar?Xn{QoltW
zDMq2MzZsTTUq5-c^md>7w(BX2Z{*H4Jh3e{eX`oC8{1YF3LTZaUFPaH??lq=b;sYv
zrCRUqpL5r8ZGZKZonGCiEzPD$d8@dasXkaew|IG0-_P&9!Rgw3*RKQ}V!nJO(o6f<
z%7*>-=Pt6Es`=x+*@yD(U7L-rnkox%vD$F1udjHgGvUXrv{LcZS>Cb|A9xK;K3~gl
z^77G>)*X{Yr%Qcdce=N@_G1Qz>iqf@yCxeQUg}bF(BaVJg2hMX%P|Ut20ro$Oq#86
zQPXRVs@EJ{uQ|$IcLJxp5K?`o;&mr-%8qW0!tlUHd5ezhTy*5FYiD(b(038lcdA}@
zqNnWW*C>q7ew5={?ECifZzhe0N;kB=7yI%`a^}mh2|YU~BRHo(^~^=S;t4I=`I!&=
z-aTbs!u<uits5HO&tene7f`M9nNqPpqi~<bM}@#g2NxZ2cIo7H>Ew3p>~`twcI}j1
z<g#7kqO#W;5!JFzq3In$)4PPGcM9!x?L6(;X}jo%vukI2r%-r@5Wl#poT8Ua(3BUV
zs^1<RIk!~h<Eobm7uCJKbPF*rI${_2$SW{uzlNcPSB$DxjII|?rx3eKXJX)^1saA*
zUN&A+Bt%t@xpWo=KANCW7%^ps+msz)Q!2J-e0;p<NU}@ke|0aLuqi({1CyMlRLs#Z
z%=DeJbWO4ETiI9Li&|6_1Uz=mnOK*vBjC$&xYOhR#TSQu&OR_zaJKel(YvOmFTN-D
ze6MymR8@S4t@x0}{0*BYm7Q(i<mBfl41D#nz3Iy1)|Jw&D<vIY<)of5ExoYgVrF}D
zi_ZKFizgNPva2>Fevb)tHtY|UT&~#59CAl}=7HHyZhD{G%`#abWQnMPh{hoifi97k
zF`HNn8X0^p2ZkhRG2C!rT()wz=Iq$q2j@$!&GlG6J7PbhYw~xW;O_z3c05b@GVzFl
z!6QT2<Cku{^;nRzI@@Xo+oZq^WoJVs{AE$wJ^N%G%ZWOrbMKDbT;F!n{%Fc~gPVza
zYo*e^KX?;<@Xh?hP31;6!yDerKYG);@Y{Lc(C;CBMFu~2&-l~csAYY#k-Ov}Q}`jK
z<r`S18L;xPvihmAPJ6%<et>EDM%HOYtW^$;mpvPo?l|yt!vV>h##Eoirwb0uTynrD
z=7CYf1D$OS=D`c3MJ#5Eyg0_H*UEY>ky|I7ONW{DoGR<I$4t|GSl>ReY0o|$#Au%V
zc<F-NdG8C}GI;OkoT}gEs`sF4Ls=YCS4@V8;<SAnVmmvu58c|uEwb~5hSQo_MV%tf
z@RqIR>Ka8W16v~gFhx8uTE~%H#II4bGqB~xpPGm#T<bWpOUyNjmIk&&{N1y1)v}s%
z4{xQ$8orjE-|?zINtDGkbK_r!@?EDM&N}(T!S%nn>)qI$w;s-7)#I6Y>P+x+jq43F
z1@^QU?KgM5>%Q~a!&{k`+fqWV{I_F!rhVNq#`r_&V*YtHq9VWdtdz)}&+M@Ab*5p`
z!pR96^=EW#G`l4)vb#}CIqVn1q&*iydbS2zYm^D=y6JrVCsG>!w43kxIfb3(#tWm5
z%C330>du>jl`72(-+fuR;DPS5rZsz-9S%m%Y;w7ux#2<Xv!*o%elB?M*2i(thmeR5
ze8rAoj~W*|nCs)X=%dIDzjsV;S(dCn^SbJqR*_(adU<nM(i4M_dA!~Ck~L4Ais9(G
zcUnx<>U@*y`^_S$t$GZu@6)@5mZl$C#2?YMDTtrZRX(L#Xl?p|g+CSBv&-rev&~vh
zU3BU`c;U~6!=}8k&Nt6D-jrv&+0J^?+TokKV_6Ars(rcEiigJ!<jz#(d$MgA^JN=8
zpX9HT64Ka>-!O35DQc`}Xo^3?s@uI)<1mlF_L`fHvP-!B)lK?Yt@h)$=Ck)^rTI^_
z`J&5Tv)r7Yw&^_6_xfdb{-!rGoi}2A#_;F4!9ESS8-gkI^G?2F`Vp@iZfM=eq;J4l
z#wPl8(Sk)~j6e4sdvaU!!;f8i&)s$SHhspMV#jaO-)?@-r?)?;zLLM-XXx+K)l3ub
zYlL@JH-5UmMSs8fjz6<%=e}dst3SQ(%f1Ki?(2mgmD2dXtM=z#hu(VkfXAO5Hom_l
zp3T1fZ=B`D-^{`_^9>I&E;zt{O7w@sj)(kj^D7iI{x2?i`n;LPUT^wCg$WPUpBl;V
zNc_2SPoTZwlf8C$9pi})pNp17a2WkrBy&h9p(mcJXQPD3pU|C6vJJnazg@X|Kw^Jd
z&qKzW^~v-13dj6gyH|79fv4tgz4aMW?rXW1X=?np{{89nfv4Nw>X$d4-oJhS9+^9T
z`JeV&U_SN#;=Vr%53tk=&3IrC@J9A`lJ<ez|1s~jwKEI<X*E13xZptiX;BNIJ0J4D
zxqWE*RIeYtPdw#^yQT3Dhqn4@&L5cB{%@Kt!|?Qf?7ABH6CdUmNrpA?{MVhnP+sD3
zY@=b*f(QRkiN>&U?Nis-Ar<kk_86Dqg!jyj`xq7eE(yDRioZEYeS1_lFH_v|Ez?$h
z=Fq5EwctVEgSzDpe|N63;_8~Kry<7t-J1Ene+++Nzy}}4gNhUCrYY1)ESazC5pT@e
zGVQm9yu^RYXBXn1pS!T^Z2rrP%+RR&B^tWLQO~xWxov7^A>s9d`Qn3u1M@$$hN&OA
z*XWQ_=Usk!VsM!4+k)>UUmh&w_`x5Q|AO&*^M7;BmoFcFJ~Wk8dG*S^vyp~6%B~Fa
z3ub#Sl%ByA*~7UydD^2Hj~TXJW;$AaSU810xcZhj*T3$bJl!S1CwrbbtEZ`5zL|7z
zPP$@Sj;Z6eRk}Y8iR|X?vt0X#d+jpr-79x*d3-!G%9GP(`sD-9i~R#--~Koia(Sxq
z716i1{#<c%IQ!#TP1WIyz|SQmCE45u?ce$3=2}fYmlwH!b@NKsS>}^3225+3#(w$y
zAGO*PLASYS)%#yA`hC>>GOJ6R_tQ<T0nMyGPXGGSF;91y<5{)M$}cQ#*F@>7eU3K$
zAz)}fO=nqgWY4Z<&aJ_v+p~9mwTbOuddJ+*RkdnGe}?c)LEH7bx?KyiB|HrkWmoCt
zvwzoq^;2h;e8Oa{t2{1eMBN!V&P+VXaD#Kg!HNf+g6T5^(pOk-$)5S-8PiJbtJ~J4
z&02RR==LqsdV^=&mCekJ8t1O4DfMWTsKk1tPI_tRv3@~nal`s)p3A@FIJ%#4FS7Ex
zH^Dz`p@h>7O(&Hyy=Nv1!kJ6gKW|LE;M?`{%P!7{`3sc3oPGJ|g_7<D)usK+Cz#yk
zq&mF`+<m+7Xs#c#(Sm(ujM2=mQy7o>p7g0&;$jl3;nSe~U|)2x@GUE+!fl)dtyLM-
zCvOBqcRru?<;Ia2%knjwmMvji-LtfN!mC|tql#)|?x^h4Tbz8xQt9RaKigY1-yNg&
z*b8uHFyFI~__}A|jAy-v_Wxl#YyF0|ZO`6?4QA)1FE?$hoMryzc!zb@tzWYe_QZc~
zbBdZ@t|8K|+i-L1)`I~Cd%|AxyD;x{pY9{${PSAH;=p_hIi4d1tGt)UHvM2b{4c@A
zLV|5U<JO583T$<pd@fE08|oX)B(5eE=GSQ0N!UuMwAU_FtT)&rl>AP`DByiS3^)I=
ztpNoJ68t~5{!lpVD*fiNgY=iL&GviuHq^;1Xk$N|vgGzn77lj*g3J3fcBCdK2=pmC
z)gG*n*l^|7f%o@5T#Py*-OFC<te79t&Eu-vyz#)oE$Q!)nrwn>WqLy9HFw<IdMHDv
z$9;qC`pb@=8{4iv%a^<A`QOFa+is>-)=rxYxu1`icy_PmEL(Yt)w)K@*l^OhybGIt
zwfR&v&+~oBo#1Gb(y-3ym+g;<%*;V~wrsb3Z?rLpJ8azXRe_f$dPRX+xQ4@yiBBSm
zn)%$dX6!QLJwAC4o7=2To6M=jz8$BprY<mkHB%+$=uw%Bw?9i5g^r#nYP+H%(S4v`
z>A`;-kzyS8-YM+~Dvvv$VZNhp$!7-cmF@LReHM(5-zFY<9X5Sic59(6>jMEPsUr{H
zFR-x*j{5(EMe^!TuLA|uvmWq;^E10GTT(Fd>jEFcoeX?3uP?hEsB+db-()%WV0oj?
zL$=A2Lca-pm6*)Q^`fUFVEIWViRK3D*(XGJcdHbJt~>o)?SMju;diq{y+G^R7gsQ8
zS<hvEr!}*k?YjBPNZq}12bQ~eUpx1BcD`BL@miO;s_WIc58PdII;V9S!?(+U-E6I%
z)$+bgi9YNfPEPbq5Rq-nX<TUdWS`oJy;p2+Z7nRgZj#rfvN^2bc-v#8St~idI{%)z
z?#;S)*Vg@9l(6PwrSmLvCO3)s;=DE%|7|A99g>=nlcL0G<7u>h@wu+;A02+(=uTUx
z%y8KJ#gW&OU-C%$-*S~*Jt3yQS~X{t@P){fje9?w=<Aur{#JLc`&-FH2bClW>xGr1
zJ~cEuOk{oa?nK%G%alv6zqYn^7Va?RyvLi=ASH9roKc?jwg8)rgGXWZ!WI3EVy{lC
zG@fiexWH^#|AK;vQ{oq-ss~Bha)zh`Uogv@&?acFmbJadLZVEPnQ_yz4!e0Lb7h;?
z@tNH%sf#UgKKMdd;<H2G|KrJ$?J+XGVvhB5PcVe9QNJkb_9dmTgmI1X4Fh9|{C%AL
zJoAtHKiR%WTHJyEgtB=5_l8Cl+ufRT&!;N-M)3N)w?DzMEx?xL>%WO69sCX{tiPB_
z-mR43+IngB7oHs_ZQ|uZqE0E4Z0MLUeWKa>1+KI57X_R-cz{D9HOZ&F$T9vz<3Con
z%e_~g%Oo*HFVjmrR-9bZ;JaQV=aLv-l(K(rW5$_;l?TnXzpIe_wL<3t*Q+^fni?rf
z&WLqIMaSJYn5f8hX9Bb6eAnbODHdB5(Jvf_`cEnRt)H0tKVIk6`H%k+cv@s8E?#_a
z>R*ijj$cd~d<z9vnHh+5XYXaymFlQ&P84viI4N*3u_-6~d$#*K`D3CSQgxP&pN|D{
zh_ELZI$h57zMQ-(XqI;AEWIBg`W$YX9t$sMO)py6yTNmLv2v@cLLM9QS~H_Y-$jel
z7$05ayx>$~!OjrN+h@8oaog#ZH{sQZuk{s~Pb_x4G0Q70P}#9gqBKlk>fr`6f3^<S
zr~+HbRV7IeRMj#}?3NZKZ@B$p1OF7Y*&K2_(?2{`*l^MD($PB>FSaje&RA;sAjna7
z#`2Y${wF?3ReNH0^*&$vVFR|ttisj>4~35;M+UuI`NSgYdV(0=b9GkPZ<#>{SFo_X
ze|GTtn<eiiIM*&&;CH;Nc<Y-NIhhyttea;0;FACL$7b!xX1ixSobmca$OC&_mgP@R
zO#3&D<CeMAEu(i93!jR(pSJ9~c|50KcPQgora8{?uV<{<rnPoL>)%@(hq6PB*6Mw@
zTy$NpD4hMy&z$1xmM_-r*m~i%-^y3p<k`2+UF+9*?9sZH3mxyjYN%h=dia!<#TI40
znO}CfaGgowzBMh0sYU;#?rE{<+YTf}1xvfF-p>{F`}U<pyR!_}XNG>h#riqRS=(dd
zj|7!VHD#Bx6UBMzJ>Hb9m=!CXX0H0me%Hk%FX}RUyjIS-@^6)q2($3T3t9cy;qmuR
zy?BrybEf6-#e)GU3IaUGnG>~d?$bJPZnJ9ko7YD}(nEZ=&Rw{Rdx4bXhoc8{%6%2M
z(w{N@DA~Kx=W3hb+YF=2GeeE<PEXvG+^NYS{vgVI%}$-$tI~F=AHH;QLr&T4lCZM{
z_H7US8Xh|2q?$b7J|Oz<1Vh5Dn0cF5J<ELePUgHr&4t&|cVkv<UcD@8n-}BNXP@Q-
zo1SNun75m8-ok_mQ%T3#3$@IfeDjuBhn)>g-mxpd{grgjuYmmge?MMk@tjTLpJ#2_
zlBRGcW%2tMQ{UGT_uum#-MjIG!wThVDbsd`oGp@Xdnz+;>F$#%<!fg>xyN(zW=D#S
zz_G)DlP%wcu^;~tU}AIQy7`V>CuN?8oh{Tm9Ox=@y&~Madd8i-Syl3x$L}r9nkAol
z{NCoQY4VB3@2$?7bj|N`V(FW`uOIwKEPYeE>e-KpC2wk1FI&DUxMtys(}h!}2IPyj
zeA}qX67PL9WlR4&o*GrL$v6Gmd{m?|m?VE#>8TmNU#!j?&w4E7!lLrfl(dJbvoo_7
zHD+A#TCuN<=l+rA{H~;+-{HPl>ONkv!e4p$S|9szbXBIYUpcep<7TdTH;-qfJ<BxO
z%$%Ke?Pf~a=j^m=S5wm1ub#>AoIF!D#(48m-?TE1vuCE6&XlYYh!@`cPR-c4|6W$o
zwmm1$TqwS^YR221nP+u+x123w>dsz%El2-CpsDHMx$b{`%b#bcmxM0qaTEJmZ}IL<
zu<_<J=}nu{($1DWk2N*U-ew&iWV|_T_vfV$P8gW;Zq`iG+jI5T&y3uBx6=RYnOSeo
z@vogV^P#b~a_;822NJgiXKxda2sAZSUuL#7Uqd8IZ~5WX&r(_>8XG-gHe6jiaY^5b
zSyL{4*t@XtV8ouES4&f_p6R*PI<uBz>a(@8qAp*rd!XqvBP9Ro61zE)oT<-N&x|Z?
zt3AcDS0hAt^RpdZr*s00H$Q6;pAs2=_RK8dNr7oEQdiBIVXEG<^vtj9v}<!N%s1k`
zc4m#`Wz|zTp;yo7bhDmawry8g)>+OPjjaK1ugntG3(8^+4K_C4nid|lBQ5Rhy3Gey
z%`~lz?3&aS;`{3GOr5|q_p4{t+?>c(6}?9-?QEaP1!+f9!|bvpf*@}z_b!|@)71Ks
z#JZW0o0WUHmd}}zcrB-V-?pr@X{9}B!PX$l%4W&0pBuG#?=wTFO}GAoj4Un7xq4>S
z-Xu56+C^D@zVU{Omk5PUHuAY~N7VS#n;olW)_Q2CO^Mo+m33^H*}>P6Uvuxil6}>k
zWb}HKTJjZPs||PFg`T<^dco?#G_i6qt7q+T66?C|ubVOda(zfnfri};@vm*+TydgV
z7S3P#N<w+kSM<$T{Z(W8)R5Y1E$&;A^<IR2$lB>L`!uU;MUbv|g#Nr|g*O!rvtIKS
z-q~}iNbtsrec~&<-G3!JWlB1k@$OjI(l=c(C3$U|&@Bz;6U#KsrwCXbS*+#6wPxY5
z_kUaGS04yDma^i=EH75s1K|@-ZERnan|#tK@ciS+d{<^B3(ridve|69F>ke%>1>(H
zS6{Z?aj{#vdh>_<oJFUaSF39N@tLo{d*|#%rn)|zM-i_r&KbU%(NLdLIOmZ-irsxi
z{kXomS=#EEt7P2mTb^jAE3TSTSP}NHqN;n|$5b=Dw&UIU&-U4GyV2e^&*ocJ`{dKD
zuk_OQ<*(}ct+(#iz85V%ALrU<?kj$)9@-Orl_7`k#D~zr+qJ&iO>YWrc1Sk}O(|Rx
z9{P16Z`C4$S#j|p%Mae?UN$Xqp<$j--Qkc4X4eh3m%bCJNWY@OexaY=?nLFm{_;JC
z#Il@jh(*8HrS)$2idVl6U5+?vt~V<`rkdHceNAYN6mQM~HRB{P;R#1|Qh&<4GnqZ{
z?v>0PH@7ZS&KEj<__5~a1hGdoU#EtLohiKYEW<RI%Wz7Rgco1irJ8A%4&A9*{5R`{
z$x`J!gYCXEqWRDF&YNGd;%@8VAKYu%wSF`_xT%rS|CRmsqs~Uh`UJZjHBWj%Pf5Km
zSdeefC3hsk%m3rbXGQC#*?x0#n(#Z)V%D|KCu_1qWapO|WO%S=_ci}Kmb_}sw8uVE
zk8Tg&r<3ioTRJLAdu6BSBdsjv?emScU(HB)F-7m&j@u?SIR(x0Sht_d_^>1HafPNX
zctrowr(bGo!=tBrKf5?(xo_sv4-YohG3dAZ@7I3B9CG}#Wk$}HrE7d=9ujSE@7tQq
zy|q)v(u?aZPhFj`+|JqOU$=gY5u3Xu!exQ-hYGbT&9|nklnHYDtKxL(b-@7_0}K1C
zn9q#Dwlk$%FK};pQgva~)}Y(g9^T6i-0k`Ofj#WtV+O}uhmevssXbmQiE@`M<d)8w
zcTV;FigRpVYF;eS?CB_ed*CV8ihbYirIan+=JYydlS6mIZADY<Ee{fuo_uo=DlAV@
zc=cKJz*ET&`z0>8Y-(UUbN8a*g?=%m+aj!rlMiny550QLLH!NSt+a1OJ3qc#b?Ft8
z<bl*fb!^o;i}`k}X=$rvZr<oOAye+;l1($Ooe5vA;_lXQ*hs!>sn+btvr8&wEL^>m
zS-$`1yx)7-e;=<oF7zV#K)=2Wr~mm`NpeN6tZi5O&eYr%AwB&~NIFyZQM2XUD+A}6
zSgKEFo;N?*D17?S7&&u;@bp9VAv%ofW~~*CZr*ldyXIyKpZ;4H&($t5d-Qefw{<)!
zN(VQXZJ8r$;IETox9sr^|HZAR%u>zRnfckCBs4W|++qIayW8JciSE^FEM>%OoUX<#
zOZW7g>nVS0?fqqMG#0MEedc8BqbIAP<&WO#Jlu4g{nl&lN~?*I-b$9e8TadFZz}#O
zwfOXzJvORwyRWRBnDka_@x{G+dfdLSInLfRyXDx@w79vdamBB%d2B3uzTpAmnN-uZ
zg~uLdEbzZ0fAKd*?kPDTtLZa#mQLOAHgdaP*VgGhy0)^ZIi2@%GJ?OYi+j9b>0Uj}
zkGGcR^@?Q2TlSuqmel(~w$sdY@`a4d_1@llzO9Z{J~~T%@kQRZFScFmxVJ4M_?D_k
z)U8*Wgx3EB*~<4|LqJ33`i;_FF&fi4;%;XI+lB2tvQW=>?K`2h%&(_;Y<yN6@xkMR
zk<h~xkGJtNUC>s@J>__Hwam8}Gj;V1t#{;26?rYdx7uk9^Ivz#sd+-LbsiWz3^&Y4
z<%>+&mD#Xq!r?~7t~GvaIfCrZLi;t;ue^2pcEoT;fEH)9Sh&7vUaEWY)V^O!gAB~s
z+0MV$Q!kaA@M@!E!yT1RA3aW#M9;Wbuyk|XOp!I-=N7Gad+d#_7t;dOtKPj)H!rS8
z&HNjrdBaF^W$cMXsY@nFtk_+(Qc&AkYKPAHcrDh~6DLcpRG8Z0)b8c6^@q55Z#>`X
zcrDiGgN;+wR<w6d?iWy(KdGwy>Pwlf$cM=@*G%8d;Vk%j*Ovon%6;1y6eMp|3o2~B
zBk<nsG;j2c(@*MluRawfe!^k8bj_Qm=PVy=O4xbud|{j1N}hBJzuSKM7;}w$*w1Wf
zpRRmMeZzFkx5BXs%uDa4FRESC@y%=Hs%^aY7}JfOeVc9RUKm>6wb#e8{uZlIz&S_Z
z=l<Om>nA<D6S=N(!olLLGmC39AN`U1X7uz=<OQ2)LFW{OpP%ot;4hf-Fz3QN<Ly(_
za^zD~c#8L=Gu`tRct2U@O=<HFpC6T_6IzYrpUimAv7UQ{`;ryh$`d4~SV`XO+Z6EL
z>59MNm5-%fe?vU>b_ey(S}|X1iQME&%?;Obqq$~oKYDgc`!vN{%LQ-!uv%JcwZL{u
z&m}pdi*~Ud)e&7~JK6k}C1vYuDP*>9zHsci=38UM+-05XO)uI7d;HGeyp?C+Ddw-h
zm}|86*N&Or4DwXcA?BDZusvfkDcNVsd9h3X?EB2-h}^pTPVe|EpXq(3o8=B=-+nG|
z?T1xrt=^iPw9?<gYS*4~MXIZ4Ngq44ZB6gX^Mz@*4(GZ2wsDe<U%ush`_#9~woF#L
z^_)9%{o{<o3is!2tCr-N{H8)!eWuapzNCBN0{4DcJ*`!IAe&mzKcgaE<H_3MJ&85p
zZRTGr<xVS{C{jOHw0CAT3;zRg!FNBbp4J&YkV|Cyz^nF7oO@^gDHGA#rLrEgf1KVj
z^P9lBPu?84HFGcR6WLa<>{P$`#eE?j)ynR>o9;(8t=i+`;u@27%*XbX;}v~naHtmD
zh_adTYewg<r%taTHD9fmI(?yEOxigg+oqNAjw>F&p7LwzhK&YSj{9C&FB0@$#eJ{H
z`sX{JaB=Ge1h(fYN6m70AHG$Sm2dN#H)*EZ9VB9JzV>tZDrlsrS!A(kv$XIH7IwD%
zzmqviqvflT7RLSm<Z&ps_F3oAMdEXBPw|z}`*UI1+8cJ;r#?8fXI(ny(X%olf38j3
z5+|0&&Cb66REW{qP{Z#F4*3~b-Cf{&^AuzG%6UeUcDm-dY&17sDBPxA;P62^=Tej8
z7GcXRpSbV(Xm5KFleXotzvq_Z&3^oszu3j*Tc;hgJKR{<KVK)zRITjDyfp!frkFfB
zU$mg0zGdyDy469qUd%b=`6sSlO3JXm+^Bzh6z{Yzzt|4f9-NwXR`JCW>kAvCB|iLg
zS}mNHlG!~mFu6=?`3G~2)wWNzb?KWrsLxn^<@P+w9hWOCj8d!*-f@~!*XH|cX3a0X
zSAK8bp5D^5k1@Aa(o_7HvFDdWm*qv%u2y}k)nG~2NGgBe+`ieuF(Iy2oNMN_WnXxg
zo@MlVDkJByjeq8ab5Ad7>3CjdQ~mJR?o!XW$pKPkYdx9sj%Do>Zn^$ddufLFhYV3U
zX<b*Zw{l^>F9;g>33!C-o2FDTaGa3Te&+Xd5u3--3~}$Ru@d38w#1gm^#<>Baa)!-
zQB1%%?DSj(u66NhuDgA_)M9>bnApj;y|Bq)d)3U<Ymf9yEm~gW%A69kMSE$=p{+^M
zGhZx`S^mkAb0+h$<qZupHhR4aS(nw|(^a;0%9*9#uDSHxSsA0OXq<AZfuTckH`|<3
zTX`pVUR?M1qSv~YpKGdG*}vzlh~Ja<!2Nil#nji84nN+;ME_-uSuQK79<%>|bA()X
zctG5tod?}M_tsSXv@m_rrX8Gg_MSo1!$K|d(1bHT<?g*Wsq<KD|B1&Q_9veo$eT7L
zGdn6@LYKpGYP3oUUqnjK{EF<qMw&NrgLEa1J$}UZhIjE&<>f`Yd%U;}U-7+P5wFU+
zdoug#4L%Rv>1_9T#=H2c+VZ05Etd@B-5H9mDBp|SAH-G0&mX3k(6rkm*V#E=Vy&;`
z)aulhPy5&l7TbN?_p3E%!|$iR)OmQ{{!))RUZll;;=~hXwF5oBj<CO;?s-sd(yRFe
z;cc=1UHrS|oH{I|<y^CXzawz^50AfEnl}~~X(et65jwfrg@4+dQ^`VF>^fS#y_J4X
zKmH00+Mr&sVUqjbNxr|P=U$rs<Z}8dV~1Q{k#5UBliGtTyUt%&|8453;0+NT?2PO0
z$3_{XG;cl;pd{77dLhuu@2R%L-G~pl!OMT#sW|$*IOFK{Db084znck^>`G8eK6`zo
z&Dp0f?g&2Hp!3UWYI=p@n{T3x0-MhA<i-o?RDU~mIEC$p4*%_idYkfP8SBob#2UU<
zepb`xzHyCmScWvurO!o1Gh?liX1++#T>dGvd*QD;KP=B(Jrbb8ZhFP^K!Ad<=@srY
z=U8QvE8TmVw5IKxp|1Sz;KGVS;?h1}7H!pw<F@L)u(HPYP)cF<Nv9=?_Q!2M$+Kj7
zh02bwFr%5NM?UE&c2|T2FI*b6{L`kEJu7w}cG2?k*c-InhWTZvTxaaEt*4UXZyA<+
z(mFZmh`CA#Uv$VX&h7cv)?RX`>UG!R-Ee=C=l^ZKzh2ku@}7J7o}A?A-i*IhMK0&F
zSDz?)RQ{tTM?&k>^Nhd)uitZY@A|2wKQpXmI=ilQ>bs}&AI#Zt>hzh4eaD$Se_Qdr
z`5hGWF>BiO{de57W=8*UaLSrLoBtw%wbkpNiJ(flf60<b9#>vRs!j7!6Sto>;Z^zP
z^|KkuN?v`Q{A+2@hWTDzu6?IozZCOUz1%(D(}Oo+>7||L&%d7Tb+GPW^Tzj|BbSy<
zVVPw*sp89YA*t{vYt6ae{;aC&6?wy}Sv=```<{1ClZqFe4fK0@T_~tFtoYK(^ZBnp
znzhwM^IN{|%C*wG75Fsd-V~6<?=zXoO8$Kg1G(#cg~7S<?j=F@btiRgPqdu6U3ClF
zny8mLFTQ`xUYbz<;Gmb>&wjI)^_x8F+x@p!6?~fU=6sD^S#Z;WyqOZ$U(2^WT$`G=
zb=CA8ANPA)dGED3|8=U(`}o&u<Hg?Or{0=m%2#rDrAnlwFiX?ws!sX;yIZbx%#OEW
z{<7lrU-!RJnm6n#4Jy_+NUl8-SDAnOQp^3v8|_xaU-jPebH54;|L&h(ISx#;dQg8|
ze@4^a<NFM6``+5%{{3iE%DS{`-Z8)Gmwt)j@!9)f_t)1;6aGIwcxrcvMY{IS5<lg_
zm+w6@V$PoTP}F_ZdVhVqGVAXK_UM&tTDPXW``o>*bK09zo@(p<s{DH}Y15>|VJELh
z&p*R_RcU6^+(XS@SGiAnyS>7H;`YOH8+K>7^v<aG9$a~6Q+7w;zX_ZN`hPvX{7T&W
zpx^U@5x=>POo}bM*Y`A`A*!j|jpI!Aj~~V=Z>&>fm#v7l+gx{2<c*HpnkSpjZ}YT2
zuJ$*^?(VC{Ctp3Ntg(A{vMF_j#@rIc8;iG{a<BRQQ%E<{^gl!D)c%jh#IHoJ)w$7X
zBeDHi-c(PyyWF8d>+2@AoNCZ~_ua7W$0Jal_kPmjd2g%WDV2SHf;eZc);Y7{``$Hm
zGezDM$@Nqp(425mW|MNq&)Os1@rsMDh)nz?vA;U?ub1YH=TCUN;x-FQO|kg2S|w$j
z8E=){v9EEl`+c~|c=^NX=I3PYH|IIfDyGW!CyH|=<K+L}4E4V60wvM&rz5BA30K>q
z@$t@e?-MbplKCq)|8)Wtn!Gj=+cPg~UABnv(762Jy1Cbjm`p?UuN%y-uAkggJCR*`
z=l$8am-07y?w{(veOLCUfQM#w@kbY~H&GQY75US)Ie2I6qO{+>&hzINZYsYLy;<kR
z+#uPb72dvAnm%}6^n0o&Idj><^XDsW?go{V?<P*^EHC3o6`EeVzU9nRv6)v+-wOx1
zfBzJp#~;)d`aD`MKVf2KqFd~n=C7xhB=CEd`hQz$9Qa*D?{^~S(!a|X@13u>>HaF*
z>!93JlNEm%`tKW;Y4v-ah{=>}UC~~<2Na5&p3T$b^E;3Ko75B3?YMIC-y%@pO}3FJ
zujq2n{9U%zW7Wg0^Cw-nmA=qj_HFp9>s|-vJv-<X_d{~_m-O6A^B-Tnc-m^t^|;qx
zCs%&^TyxE_bV118YfmknZO~c2KX{8bC(~|W!Q6)rAB2D4-gh$m=j<u9`!8nKePC0R
z{QsM&U-ft14A%Fqa`_IivFn&FY|J+}_FiD$70!*eZ8iOh|FeTW`EqaU(EEBiKEI=;
z>$_0Dcu@G%$^45YHSQ;`-gi0Re&&k$NT=HMm3xIYE`9fFYTJtC73)7$B$|f!*;-x~
zh?xKGg7NG3MqkP$f9+RXdjHEAzK=`m{><jR=>IWp^6S5e%f3%H>x`(XzwzSli{v$a
zPosrIADG&QIJc~<{$J*-)$nMq-u2&stOxGt-%)C=wx9Uk@JYS9*S-~hgSY>`+je4k
z#r?^z|GMlu9#*@2;l9fO|7$tF?tRwF(V@%BT6aO<tH}HINqg^a*mpaue)_`wr!V|h
z=KLF%_<s4rgZ<Kr=0Ez|a$LKW-+rs-|CzqO&i^baN%-@@YyGp+shg`-&2XMCQmD26
z!RCYRF5ensR<15>b#0Vs@OSal`0m|vdQR=bqv@|=yv=S*;(2mx_xX@@#XB72cJ2Qb
z9@BPCHj(Y%zgy-NjBhOU!x-JtBeTxW*D3yU>(GAj`6*v256w?m@Mk^ypK5P+Nz1AC
zXZ(!UfAT+C^53yz$Bn-FU(>(0<-_-fyyxN-{&=#T`p<UY{_!{Yb*In#XH)!t-_um#
zaCkXqc=AMsO-3KLxi*MPKX6lONLj#?*Tmalc;Nk6raU38AI&`sf3E2YJlH6D!Mo~!
zye?DK$CJtNDoh@1S`X50G))U+tT*D`5vlP&Hjbg%X>uq-<@_*%?QVBGU;p3Sx|_dv
ze`|rdq{jE?#Mg;C{vSQ_H%#&S{!?4(zNwbY-le|wf4<nix-<XHz#hqG|MQpU|NhxO
z@9RDJ&%bil_S&G19h2Ms`)ili$UT;Au|Dar^FHU!>3u0BTK|oT_XnT&d*7(E|NeX?
z<^J5=@t(K-*6aMK{qbVgZjSm%ji2^QZ<~ARW~txP{b~Qoxqt3I{keU1$(x^-7t8JW
zr@HjwWt~Qo|NkRZDn34_<e%tZ6u|lY(8hL2oBPJzJHj;{*2X!tZfxiI_u+_}we*B<
z{~KK$^tT<XbzwN^z<P)OxZE)|^+Ne;j7;yuxh!V>|L4aly}-;RCm_o`jdO|goTiD9
z(g~dW0-P$$Q$HwdVN`w?vblx5RmktLszGdoK>j1W9F|#W@BSL{R9c<i>pS<->toN?
z+Pa*WEW`HG>}g^<ciN&i=M6U3N1XWQ6V1$VWAogGW2e;w54#+RWD@+5y3M_VV^VWh
zg-B;YT$=T5okxKSJBlj=OX9nHe^r{jeE#|6?p4;?xAQLv{y9hN^K9n(+&}ibndjzs
z`RTd(ZQu6Jm|x5L!AWk{8>6&_=uNskE~OKu`mpLfDomMfSh=BlE$`BvxU$g1nHf7+
zy1b9C{BWZCYU{V6y?2b49O^h<@$f~EoXfYXs%$TfG!Jj;UVhpoSx7+Y^V(w<rhmSW
zdjHZZQ%zQdmItCXT~A|Hbp>f&nQ9ZVRGsfhX#T+$tY<A9-u$%WoNBk(_V|a)G{2{-
zszQTStgc+aWo_59+2x4V-+LCF!RsCdp4Maf;q&}h&yUGRRW`@f>h`mi_nhq5$`q%r
zK2t_DNqgoI)A`dU$Qa0`wn|<yef3&ec_vexV^9&R_GFJ2Y@8=+olce{{yKKdV_Adw
z#|F`3DesE8o#a_kCck*uEwt(Hp<}++7w6~o<ZxAR^Lu>XdSl7`w8?eW^ZTal*t2rV
zyo;ZA8tz>o>|ftrtIM6Fk~T>*!vD)Jr_RQN90BF~CzYq)6@BwuexYixWQTLs6FoVP
zYnMMKlzYE4O%uI)=xgwjas#m=C%${Uc>7dd%At#)Jz&rFy1gQA?Bsf?t&gsnZoBHf
zTfrG|CBFZ4a=UU=o<EltV(Po%Zy&JGI_#SFM!6|Q`qg{(_r}Ec=ycm3QQm#^=(nue
zu&stp9}?x<u6^Dd(C?9{D(${U&dS-?ledjG{KpEH;BO!K8yF5IY<r<5BENdKrg1|5
zY17na!Oq{BI+bgjoC*#k#T>ZkY*DvTu!(nr{^x|H3;%k~{<1vh(*8rs7tf53k!S9i
zbhLBNx}#rm4$k;#t9x}t#Cq)&PkuPC^odLSl<a)Cs6RjC=*B_@=d7nUf3<P*y|%R6
zdL~TPdTU)#+TqVaS>aJvf9-8~82&mu`cPHl@l^#9J2tM+yl2jIwCP`SWBe3>*Uw|+
z6*!`76n|{pbu?(r`p*$}UdJ+RJaVyHezNmMyG37B<+eA;8)Sby%DH!Xr9`Ct0jIr5
zm*2*3_59!F`^)_2m)a|PZ{21;zUk$}k~n|S%a%V<^R^_I*v&fqYR8%E8p(GG{@%KW
z&x=b33vH`n7g{>wQMAz3-Cfe{$1iEdrzx+Tlz;vD1dBD-E7x$H<~a6!H%st(MhjNM
zzLJSA4F9IhEjZ?IqIUZ6iC@iaZhWuU@X0!GX1b}`)P)&34#)ny|J;%KH*0>R+JTG4
zEw}h96mAwr`exW~dC6$M$@Bk4-(UVezTCYMd+WAxHk--&BOX@ED~}&De)%;sdD++C
z-(@Ni6Fw~VNY;6zG+nWt=?+WtKc7Xil|RGvCnrB<XuERa{Oi{SGS~DgbiV0$HU|7@
zTQK*6L}c0TrAKx=C@(Jlrc%<_a%+8s#Ld9ztyPDY`Tn`3_Sd{=n~})tSc%?BD_f}#
z(N%S|My_pF?|+(k^Lpu8+l^a}7q0y9@$m$6DgPtae>Ltt_8|Y$gVgCuYUeO_i!F-o
z__Mu2;HGLO+sksBpn%v&Kke#>`Eze*2v}RV{P}uYDC@7<*23n;?_Y;TJN;tr4@qR4
z_xs<R-l+IFHj{rT^T(ED-pYUUTw9Fep#9bBt`RHBmu!)0uRN%6+b{ddg<t8MYZ?C~
zTeoI(K8SyH)obCutjllzr%nD>KKo1khnFwDni}|I{B_)KW-k=|#**XtZ}s`>FTK(z
zKGd}!PuY;4_u#*y%F|`De(&I8PRO|NC11|%+TwzU{|`5ct>pHZ(&n^qVMo{*{}<aN
z{y#PR6;gkbOFMV&lHZDFOx+gmEU$dCDQ?H~Vn2p<&IA9BKR)4BY;&X9W=83Q&1+5A
zp484x`_<_C%QN`sOZCq$U;OR6;c#X-YuDl`yVc@bJ^o*`c&%9zmgl$cN|r|1%=DE<
z{TeIklMYlAKM9fBnREJEp?a~4+jhR5B_4C<96Ri>JxA<yiClN|WucDL>CtbFu$}z%
zThgZFrrXP{t9+kx95t?#dFghtaqiLP&^N6rn;PGL=d4l`k?B3^8B@Hi<w2bB@r;ET
zHPtWY>|!-ec<v=RqjsOtw)Of_pZSwtd{&vY`F>79{Jjq`&#&K|qj`<>+cVJ>Pp@4*
zQnWamIk4#3+g6)xs%($N<8}lmEcD#Q@~QIBCnY)0GNVkV-4Uk_y<vZzWBT}gjrCM-
ztt~Ef*A9Ey32u~0oHr}}RI{i3$}J3WYU*=rpPUV|sAMSI*-|k7rHkUB$#&NJ7a1PX
zd@!}>(9Oq3j~;rQ&78vXd(Ih&w0D<3>M|zZ*tyDyHSEHf=2+e3({0o5_^rQqX#L6q
zljHYq*z&>Ry2ARk_b=EyC@ng4b9v{sL%ny^Y__CcdD`+>|E9pKGkKGby+|vY{h>j0
zn*0rcGv_?ne|dfGmR6bad*@2&64RNz-d1dG{t7PnYy5YLeo*u5Hts)tRE)o^_rsHJ
zquAM-Efz?gnWoe=Tf1cDsacX6qANB8?WtSs`zzP%<@V1nGj3H~aVc`?c5RA^SBsQ5
z`t#j`&l|fJG!?U5EZ4Cv*jr>;Ez+>mn*aS1$J1_XjQ@`8UCi}3vmx2!&+ZD9oBolr
z9=?0_Fl^=Dr&kt<wT3zWm6gp%?)-YeR^?8;h@|cNl@~-J9<KIXujRC=?%SDb)vsTB
zhaLD`;ZT-%-p`h+{_lsLqX{>{Y$P4uPZv7RzpzDH_M==zPX*Tx1^yFmhs++?%0}!d
zR9NF+d2{WD2`!%{y!@KtG$n6y^$x>Rnh%seo$_4#eoMGt**jaUg*6Uq=Dgcdy#^%n
z*hb-Z-mczHtM;Wety-{!|0U-?wN&|)tv_;0Cv4D9<M|uEDqy<klg|n9&klOkJ%ktO
z=L6HXZvXwoCrxScne0IQ%2!`a=C3|9@${WlonI?5<r|i+o`3$sh19(zbMAAB`1UbM
z1Sy{XWHC)+xzpRCi1QyK?v&45Q*`p=qPPol|9m)8>pk76Y45+pOAF>r&j={Iy!_7O
zWQOAz%<*g2JAO>i|B!GePIPtJpJx;IFFt+mz%icJuAI#6726qmgJy};uB~I9zG2_F
z<j`AfE$4rHKD7Ao+J|Og(yH@!)_OX<)v7zt!n2t1(1)kLu1?z!H!;|||J$Zu>x>)U
zLOHko72DdZT3xd^Z>z}b**0R;b&IzumK(^|Y&sE>e4@tJDQ!x;EaR1HQ&!Zj&YLOn
z`gV|;>h6?9h7Z$1mY7}fzOqkmVcFDF7rw7jJo|&UM|FLT)!h1IjiY|C*`CO^6Bd4}
z4$3;q)9%HyKOQ8>EY}@<b*o8Y-QVMBCUK8>CmsK6|74?fg?#b!*vUT9zyH=9VZQpH
zR$_zv=Y*2O{*t?+vzg@AbEjo{=V~u9nfg1EwfbZ2ta{B<2l>ZparTclF7b~weEfIL
z@zoU-Hf8>K3U`Bp@<jcX74DxPAZM=oHEB`FrLU*kIF?uJpCpv`X2bgzb|>Czx+;Y|
zOcDFu*78Ad;nA0KUSH=G^!r$M_;F~*z7OYk>)l^&=3u&*!t_0w^J3uTomDeb=H~6a
zDR5Eby{=}qbn`ChmYZ_74Q|(qSf+d}7dq7Lvs!e9hAq>Zw_tmof7J3_ej_z6x_WZI
z@_$FCvMXDhS~D*#-v950z)g|&{z1Jm^ZTBZUlus4HLFJZr!42i!i8&JcE;D|b!2pX
z7q`5aQG5G-VAg%6i%)Xy?)U2G<8r;6yzk#Nkp1s%;#v!OWklbX>Tl(}{>Odd;<EpW
z3wQai*tPq}r}?~rz90XouAH?a_u=-}9a%+h-tAWnSue6GE-vZk{I(U#D*iwHxFhh+
zBB|c=AAbwhFTX61cQtp;!QXoPd7MU-4;MW=<9m43|BnfmUiXU~_<l;_&aLeq`HJh=
z|DM?3V9t5z-t-H$3MM;dyM@Y|xS6xsWJ<9|oUDJiwzjT3@}Y`{_00Bl3NaZ4QlWDX
zHDA@5!!+*{b67{ji;b#v+cQ?)o#5xR^|Hzm_akP@H(q_&JJFBv`~ybqEu~cxJS8Vj
z_IT^Vc{g$6$;^z>u;h~91Dh)kcoi3ZW8Av8NNCMm<%Kp%VSbBO7fUam!!++W^R#DA
zo=<=IcN*jUADjH|&tmwyZrM_=Jt;f(J1lYvi`?B%u5!N4V)Z|+hm87<7`6AF>pai$
z@zBhbb90Pt#p@{UzJH5P!Q09r{*uH^kq?)Qn&&x~{hTuQ_*vQL#EE{#exF{l<TLwx
z;bmv8Z<SJ>%M_=0^Gcx0b@PaAD<@z4=f(MO^%1jlyKPq`9*TU>lH1^<aXQy@%Vl4;
z->X$izRy0lOU-2F`g~J2bJjg!tGXxWhqxu@Ro_fmvER?>TUMcU`o1GqLDp7j-h9&S
z8n@#{hWhL?XZ9<oMxU7U(<nSSCHR2!=`BT#`RVs-Hhg@0to%v-qzkfQvuFPd3I_$u
z?58D<n{O?6*Sc-j$uEDzIPV^QbkFzs<?Uu53wznu2%MG_E-^^_%$%PlykGkMjQz1r
zKPO}^=TY=}ex=;R&60J`WcD=%g@$4&R=XNLUHUcKb*G`{{%-c|Iq#qM>P<Q4v+Dh`
zix0d%Zp}y+ct26NfB%E0ZzI@_-1fV@=a|pmIL(jKmUDGz^=^HaJ)xqj!oEKu@vq?g
zmG8@^e>=k2GWo<?M$U(V(yK3fygtarxx9nlGxBc7wZ@|T%WvO%rBYQL;q+%h#hm14
z*ZNI7Y+P%mxUbpbba<b5N_M%>0b4aiTdlI(GhcpvWb<6!;eW!kVo$(Bz25gs4^>)z
zPipzF!MAz2;`Qm$Dsz<VG}DW}=}JbwROzYq^4hgy=j9ErwRtD`3C^GP>h+JM_Vw=O
zzZaG^RtTN8RaUHXkU6|7^Uc?s<|DyJo-a8fz54xvqrYvJvY!b1sIs6s&gsvFidnCg
zG2RH5R+*=?PeFa&nV35Y8(!)N-<UAtoh#=@XR}9{Jm0pmbuRCSpDyJ8t)bG|;dXof
zt(+s(9wFZ+wfxxe($~=Gs&n#&>C(#c9BW$L*O+u3%s2ae_nURtUOAyldqMS$&8^A|
zhHt%Wn#()xPcgQRYxr8$aN=05{LKoFi0`vnekgv-c)rl_#&#){c}jJ&-F4P_?bq|U
z@BZ_^kMoA@|E$W}KB_ieGne(^{?m70PweC7=7lZx0=#_ee+ufWl-|g49C^0A#JX?J
zrdOHmyO%F!U&3}c?}vZ<`v(Dg)TiF$_`km{HgC}khW#pL%l$n{zI^#m>3EJ$Ih*N8
z$?f!`8(P=0PD!<yD|$fv&a<EwY|EUMNvj6j-nO1EDF3+Js%^ch+^)9#UC)A~ug*kX
zb0fM<qO=OUjr5hypM0h5?<#CVb#_FVt+4LCv8!d}gT6jd-3R+SlGi@AIKCp8>EFhq
zg<Z}w{?@SXsj>WJIXm>>jMp97ALfglHN1cE;fp-WOBe48Wk%jEiaDKeddK6IX~8nO
z&n?6rg-tytw&mj&G26$db7FY@Y3RvGd-tkNQl2gJLO*8t@wm4cg+8ItQ|J5)I~;l^
ztMKY}r)zq5-%NfsTQ+T1WcP!#;)`b|tmTrr%+got6TDobe_?)d$d;;QS6S<<&V1(&
zDg6KU#_w*P_D-8P*@?+}SFP&Lxggwn@yoA8R=oe>47Q%&>irPBLNF@IKC5Ngm7RS%
z*A_27uzT?`i-x+I502-!>-Ibr-R8r6%<Pg;`>GvR7p=-?b)Rx+Z`ZTxtM?7&uUKbm
zvLP|*O<QNpN-L$q4JY)9W!ZIpoj<`fBWTyk*6482JI`k9NYsj-w)oSv*XnB+zBhz)
ztd(|udXnu_m6zYO+tqT#6_t_uv{t-{zV~a!&2Xs|pE50{F5I_OG{kH}ul;3*x#v&4
zta*R+9hX)1#$_i@CZwG=4S4#wNGttaq-Uz`wJn89<8v3B6Ms??CRg<8JCD9uz`g5F
zp1AhM*IM{!-aYKJblNd3nW}wy$JcyX5K*nV_lnI_{nIOLzPE~No2+j$tX^8VC_Z<~
zi>PCK(*7TMpPl@-Y|fj{^Z&cFip6ppFHEjjTe)ce)r^Z<Z`?ZOU$eV%Q~dPFbE~Xk
z9LxUZJ+?j@{5#fiYyO*y@dvxFB&E#e`)g~NH}&wjTYpb{pS|)kdy$nk|0>>WS^J!2
zZ{$y&d>8TEhxI1!lW&jHxc+y}`S4h8rHK61r2K{EKQoO}|F5~YDf?mCWB<B)J`eX#
z5Z?Es>8fbU_4k2wH+}Z*pLF^6%=GVT9|TKX+joCLa#&?k{BHN3rJqCox%s6&J+M|R
z&8hy=?Pr~J!G0yhZsN1~K8Afx`S0iVjdinmSivIR<Zor4UH<R8xF~z$)?W3W&Yx5M
z2l{P$+{UbB^6}T-6Z2;+|0Zj>Ra)-;@+R@j1rz`49AEoMDC=$A6aBMSeui7lm0zU(
zGuk-yf2`lP_E+BjF8VzDKSemMGGXn}dxtJQh(9~!Z@%TteBS&O3cDNc{JZS)@c&fd
ze}CD(t-o;L^>>Z;X2I|OKl!rn*D3AxgHtZ~>fU*E{r5bXrKkK?W|gbHH+Q_pUi3+8
z{jzIDi#Tjgc&<%*@$2fmJ6cP(ee&5qZCn0h3%|^!d%;h?g!Or3Z(MgFe$F+Pt;<iZ
zTx%0`Eh%>P#J4?aFKmPT-WRVwyVAhqs{hM1YA0-VfBnxGfU-4ywsWDzVK)Yb562i7
z_))gT=jG+(6;G`#JrEOq(fs=RyUX78v%R=5Z4y^rr3okB(fGKo!`v<HX1<w<zTUH$
zTpz!KgP&>7-c5e<?(Xj2HT6%o8y7#DV}1PDoSBoCx9wkg@a<ZD+i$Jn_iA46-5&q{
zarW0$B4N5ZD?Yux{(4W`_xGXu;<sOWzPe_y-&`$w(QDSBby2_P-pc>K^_%v5^~FJl
zR!8rSn|uBK%J$~QxfSv~E{7@~9=#v7w)3Xg;r!b8glPK~R?~}ioZQ)qVui%6y0G8(
zzj0se;(42S6>qHd_x#MeTebDRp7x4wpI(2hi$3sVUYwZK>eo+eH9r3Hsq*zX<@8KS
zJzcT*ZSbZE-PuW5Vnv?5JSUy>)^;w8JaIKEDMGC1>nw?Rtuc$$sw(9DY82+iguPz6
z{eB(4@ZRM@{ppXVObtD%u-@y@Nr|+ZuTxoHOpjh5@VA&-B+((SF^T7lYQS{qo3{VB
zxi0ALD`~8AY`??Q`eI7-0*=@{{VE!(8(zpyZjSEQXt~2xVtR9Q59h|1ji(ac|Lttw
z|NF4`{-3?_)Bb)q`o8Xe>na0d4b}~E-Zqn#{CSjq|KGL#eSeE@*p%=4ey+MSJJI7q
zhSI_fZ;s~wdt{xFS+DT%Mf3gC_1B-Cw6s{@@|0&$UAeNg_F@6woOkPVcydcWzggN<
zaQ9TyDi80g=i^pM9N#=$>spZd+BHkPE+|xUdv6Kho*h1A{)w(nQ4<R47ImMP?|kI7
z^ICzAlRo5iRESony4ZaDFQGK=^?J5NE!-u>A+q8>CQpjkH+5mhQ|UIJY3o-vgg2W{
zIsSQnpvKYQeT5oFH~%SB`|C67+RgAIzpUFjzLs+ehF|c!c04{yo_~^En8de_LfyZA
z9DKi_pWRD7U0`d!cn9m^9w!}<-RX%dSfgk2tKNC?YV*Nr6+xSb{Arc_s&anuiDH%V
zJ_@}mO}kTVPcK>Zjd>Hpvf`FKM#063V(D3WB4?|OOu0itWlmj@sXO<|=d5eQq?J28
zOx~Zpq7xFj(LT7?Voi8zmfo>TK4*_Es*GQjws2Kf^UPU?7w&fwYY!>noOdoDMyc!e
zEy=FdRZ_QZ%lm}Ooa<X9sjGL?Lfg4;rAFT5l@TjeEo`29@llYf|Hexu+?jE&ZfG93
z6O!GU{d-MFwx;K+d$Y3E7Ol#<Gc{}N1m!2KGiPxdS_g0Lo0~gx*8BdsvNLBL-ndyI
zxLEL6O4hZFn{9(X|J}IRIJnrN?Ah|{b=qv3D%PocCe1a~RPwwm;}<wBX}WOS(<927
zDGPHy1#J~g%AI9qdVHcu?)Th6E#K&j4&BUKT^sa#XU}?&F>BlJ`}H=y(LX-UzPv>=
zxptP>T%+SbE=x`nz3)82UOBgF>$lta*Xx$9S@VK>{q)wHp0djMXHN;a?!B8X<bSj<
zv~;!1Q|=2!?msVFGN1F~Q|pd*N2c@VR$ICMRGM=pFm6p`hw-_~o&4$31-{naDLFmW
zX2Tsd`@PTDbvEA7vfum6WZkN`Ig4%H^VChf@hNGilfvGInoNsoxBky~v~c?CtF;f;
zmOp(E$p4RB$m3`1x7wS6sWB-+r%R&e$bV=%wI)6x?tu2h1shHTO>TekcmBc;cOINP
z(jHg0J#Mf0>uGy`huySZzxQ9(-c8%H{|A=6PJMQ{Q+?Ctl0{bXXHV(r?>q5DIBcy|
z{j+AF^8#!!$&NGs{N8^3|H1n=%~wqM@bvlY_t&%!r2pI#{7>0+Mf`^iTzjLwecHV~
zsbNc4-QF`vPM#Cr$hJs1-D9qr|KM+@*Pmdm`nlbI1lgHX<dV9=RI`2;Gt003nkH*^
zZSTLdyZKkwh3^itWz%?~`rdBmny|Z7pCb42#C<Yanbp7T%+Ca?<q9XYetSGR9BFy$
zalWmfX1Kt#*BLglni89~B%IeV6>C$imtSl6B;%mf#Uj_lo%dZ&x60^#FWVAR7_lyG
zOU#LjMfDG3Et^l@OMd&Je0s~RJ}JXBPFp&YMHf1YWw+|&x_sBU7F1^VPyg1h35!|p
zcWQ<=-9I*kdvBk)va;&UwQqUi95xkhiMalP!@Df&cgD^ses2>4(srgS|7RVfv(!J@
zrCas)7yF4xnz6T3qI7On{_hdtmW*0cxg{bq;!XU-Bt_?L({8cVVq(?$K{_cJ8>d}N
zx_UV2e+O7q=9Y-w4L9=Vozf9A+7c0%wtuRA>s+PeFv}vh*RN`AKIVjlMb0(9KS@6S
zYUSQ*-W5M5cRv5zUC8}9b$!?Qn8$n16v}M+A@HsJjf~xty;J5MUVb1xeSOFC()5p9
zn>YN}ATD|9)I+fnGrM^eYSQX=dDiy&tIa#DeJt(8+`Vf57O_n{7s^+E@&0D9hy1JN
z@8y_Z{eRljgU=uQPfPu<@yGf|?T5id?(dUt>I5hsW@4RxBCUZ()6AjphHd`7*l*8H
zzrRwwGHmXxR?qM0OJ)21YIxt7zte2DePPU8=HgEt9}JK1i9ga2T9go|SEe}aNs@SC
zq+Xd}*psB`35j}TnqtqB!Vh1HdGjhl?)<c`iSuM%PF|EUU#8=m=OoqIO`2IB*<(y!
zrv3hT#J$+FW7g%kJk!=2v)p^<%|G`nFt|mx^2&>YFMa$>AE}?;r1VT@`h@&@qWM#w
zu*ZKX($F~a^oN*=eX0KzXL%2ihgo{8zb5`J*3>xmHHPbp!w%!=O+s(Zr84i;-Z|kk
z+tM4ey&La!#++KZKx*BSUoBaS65`kSujtGE_$&2NPPgBzM-zHIFM3W+@!WcNQT(@t
z)yyxpNlPw!DYPgpL(a5y$5i*;z9ov5SFcQ!p7tU`fBJ-D*ZOZ+w(5rV^xgW|=%Og~
zENDTl%xrH*L8<3K3l`Vb=&>HnnC0Er_pNiM$dm{3V!V!Qay_^rhRf@sLO6HHmj07V
z7f4Ax1u2cuW4(G&WqQ-AMF~xRH@ZxX5wg-vyLDd8PDo!>DR+_Z>KK<Bhu?lzm*cyc
zzdw3=-rMcmA30RDmIpc&-r4f>j%=z&vHxv(Uz>?@!_^*t3-PN{^z#Z)mX7C&-?Um~
zUQgmHcax$dZ}CT7H7`GKt#Yh+sT9+#FFQ|N`q*^6W4%8vJzTh{uI8msOpm#jrrvSm
z)ep05He0PbZmuO`<oj4k^-S?h=4Bl3&r2AbY?Zz{w}&x!-=fX4t`+4^nY+C9o|5{0
zfwgm<3;7@ayme)6@hc6d&vUE}y~~{+>~0wK?22GnQTrS#FN1q0mMZUYjYvrOa<cjC
zW`@U;%$aV^*dsUlyZCI4@TvX=k57vyl=OXi_-A%bTF(j*PSF|S_kTI{bm_}}d#qx-
ztweB_#m1$F<=)J-oF&g8vN+miGmGrw(Du2P%=eXOS{<Fvx7h9PWAAY1=RYo8-BL3D
z$EB+mP4|^p9Q}QC`ntx#kFr6|-sgK?`z3BGJj8wZ_n9Qi>)VR8)a85^Z+fv<lH0u~
z*-6?y`Ju-BjXQjp?URjcADk?3{yXQ7)1ApJJvlX+cdqoOiY=<N+03E)c;?Xz+4DM%
zlPl6RXB{<Oz0lQWvsKhl!=?RFzxq|0qE0^P3EvoYXu<dTY4=m~w?8>%*Bm8k>sxx%
z_{6HR2Fa_zEi3oMl`RPlt=lF)RsYx#olg&)tjxP>IMq@o@;`t6N9WVh8FO6i=1eT!
zbRzul6rE2GW#&wG-Dg!bGw^4@wcayH^QB%)bhVq4{`d0JulKeJG+(|g928m?Q&l}B
zxJ5DYiSuv&6H4(t3qh>@vr6$ziC~uh^y|B?I+gfN=U@5o$4RC5mb}O(hrjWkQi|_+
z2V#9cuN2=T2V(L2OunwYZI!8^MlO@e%GA)-tEMN8X$gdFSJ*nOXxfeBSu8@E3%Bqp
z7Zr3HYO`-=F)l9fHdJQcF176U%FXdRi-PYS@0rA%wW$1-gr558^7kCqKk@iwth*P-
z({K8%^RehPm-}yQwtoKi=BsGOIp#u}Yn2*(;UU88iyt>^<@K38*DKZ5c~QtU0}C(R
zb)AA2Cx*;1IcviCHZ8GmuW?dD%+E6tV%rm{DrDy$oK#v@Q6jU%rK0NT1F>WuzC2F1
z=MSbOh4AH>_VnD^vqY`U{cS{)@Zm*QvP=z4yw=7E|6OFZe%_X!9V@<0Icg>^dN^rz
ze_!Ipv+i!W^LT8&_SF6Udm`BI*V6~n7Uc8gY5schAk6vwiqM;uuV-hiynZNj0mJX$
zy-n9oEuOah(#n%Fp7fSv89k~no;yn>Km78_lNAqtmSh>pR4C4!)$?ucX(!zyrrJku
zJq?jPJpJ<1$+ZOrb7%Ful|A94dt_$GUB%E+dC$q=-Jb%iQkL>`6=hkRGF#R+{kKl+
zZa=T8P^<3a_x5J++Prz^w$kR&I+xeFUe|VCJ%8?z)V8A=cFfXU$*h+0@ldIHl%@YU
z??5g8bHNwYrmD@pqLjszS~xlC*oGaRYm*};&7C!M>9)Bt_Y~YCVy<725W8-w+IF<m
zce8T*>F#q!UtACK-j)!1<<zN1Vrx1R3%Rcy->`!<d-Eo)rR&N9oi(~&XUQLawCh${
zs%Yn-mmmSrb6trW4@m|}e-x^*$XfhKbw}Wr$*a`Qmb(Y-RMPo-OYmY=MX0shvD=qd
zCYpLLzN*o^Kg~02+O`FSVbiuf$lFqAI%%5p%v(G5pNg5Dn$|hdO*cN~^2(D(OEaxZ
zcka;hUals7{piapPaf?uo;xchX8ZEs$=$E}O0tSJ=1kn>s<N_m#=KYGrUsWr#!O$Q
zx^st|cdXm#m0J51yK59@Exo?#ijs-%debGVrkO=Px#@XQNnVcQ+p?>x?jEz<rd0Z1
zO7P*v%i4SHc%*)uu~RnmaC3d?lv9(-5~rNve)UOXs`!<=fm-@2b}tHvtJvl$9jklu
z#p0F1Cap(x?Zpm1dM1DJ)TPb>gSMkw{Kq#OIehNarI(j)oIID*dNwIy%?8h~X@0w;
zS87Lcb&KBXN!)no_R8P+Cmuarm3nJIkk)#=-r&C{in21^U%j|aBR=-pkqtXOZ8g-9
zGhUq(VN-DJ_=buvZ#ok{3d|Bc{HV~xy6tFNhQ#NSIlXL=kya7U+;{JF+jRSUdTJ(n
z-uY8nV%IF+|LyczueE;7H|_d0v7+2(_*LY5m!5Ea_=#tcT>SZ;GhN<I;jVjnL^<=w
ztQR6F7k0E;rC-{Sy({I?4*z$luO>fP=wtb=m2IX;rR8ML<lXa6UOCQY|Jr_Dg-+`-
zu}A!G1Z<{O*m&`?r@2>ZPd+KKdCsTJhBtWBH-#SlVm2v#wr9HV)>Cfj##>LgiMt!k
zt~0y7HmAhwW^Yc3+3l@4C1!Uw=9HM-7nEdQDS3C3b!lPcqI7}PNliLGC9g2~oN>}U
zvP9>Kl26$VLCFxwh2AQ5VoP72+hUn5dGG6DUVRsHbEP#4|2+NlRnW>><YHIrI??=6
zB~g)u?pv66zpn2H3s|zYCrqJaZ9?#trlc=jVGC9=oL=1Z&R+hOkZIt?kbOp3i-bzb
zDqGS8LQgB0E5&xL3w-&Zt->Q@m((uzrH6k%Yz%z)>V@P~zWjD}_odkhUBVOj-nSiJ
zHruc!c#DHyw&amj5)<vTrbabPj9k$wF|{?S<>Jd_diB#=++N<DwnRL^Z%bio#0<NL
zclJJ6LZOQmm*iF5pMLr4YjJmN4X4c|%v>8KbQc{FGVyuOth(wRU*O9ilRmHZ#S4Q&
zc1g{0TYC871v{-+*|Uo;J<NC^F?C&|;mgI2oey{TY<Ewb+0(}TvUcT>RT2|gqZ%4P
z_KSo@cX$UT39fVLJi0?nb=rfPU*;Nt`ya-I>=F@Qd}-lZ=_R+_C9W1&YRTUEmlslA
z8q@35zHPny)mY}{%b_AGJN;cdTW`GE+*~wYBkAy+<3jw)gH}CSG1F1&fQfqZ5%x7c
zL4s*1le!Fz*NUFpxzqOTYo|qZcPFkWVKhtGvQt3%^0bRjVq_<#&3xi=cWU6}zmZip
zJj0_}S|3eW8szeF1<%r5tvP<tr%v%#XrC$RJ$hr>N7G%oJ)XBup7yUkZZs>nNmjDe
zv^po_))b!3sJfuGYXvvvowyLyHCHmqbZJgS+qK{MUh3%?yzSpF@~pkO!P<HCvzfhT
zE@-*v+8a7&Tk!U!XRK|E%>2Bs#&YJXADq$i+iKta?QDO&=gT+w?EN!*<PNjTdF?38
z+OPEQ$-f0bhlF+Pp1k^Pf5See@;|$E>*@FZ6Z{Ka{*{08Pj}z{=GuL&jzPxL???CT
z{PC{n%+m5{$$ESC$`s#R`*cR_k+V-{{5{nBbVlvLuTN+E-M^Kw-YmJ6QSaZzx;J)}
zi_7M(>fhY5M{BN5xv5j!M89dLr4;qO)lcX4PME%A^3z>zC%P}4EQ(it!kYP3dwrq5
z)Yd(RVl%IN`c<|h@bv22)7*5|=Xy(p=C!x%((KjR?(B4Tg4<MS`GxDe7oXzo4!F*;
zCuHwOx3%V{;;NpmS6n%Lk6VrRpBcNVrWwoos!Xy^73iIse&Ff;N|~PWpt{cy64QUp
zK7M$^mHReoZOi^mtC;wCfmPV{qg5X!Z_V8#x9|VtskMI(>W6*Z%D!k#MzNQtT=MUr
zx=#kulRr&%zjaS!ug#6D4@<b~r?x)dpz9{pDt*o4+E#Xv%;;S_=K0B1t+RKS&OLPY
z&V0Xz)8sss7bJgQ8oXog+soO{)wUabZRUEyy(BtDMXst_{G0jxwaforQR_V*e?hPJ
zz}x*Vmk90nx&1Q7onO(JEO~$CZumTj<z0>W)9od7ukx>1D1J59elz>m?5|(_|DIj;
zV*0PyyoL&2&1WwxeU)$K6umv@Q2pz#`>(J2>@?T@@#i<a`@TN2PR-x<XZfwIucrRp
z`(|m>*L$@q`(~t1{d)0;@XgB9O@G&KnCERHd;Yp=`CQS(^LY)g_gtL6=fvE^V=w$G
zt<CM-+<zWD==J*N5%<SGA0F8I^l88$-rRZJ=WTDCskWMT-u71xpIP|_W6K+N?uQnI
z=uMA%wz;$a$mgfO%{=T%`2_zR>8*76p?&>!sF45B?t=7?bBV7%J-DG&X*W5zBYLyd
z`U!V*o{1)1UVl<~NqHsrCytkE@AcU@Rqfxdw_c$BZmj-%f%?01ZJesoE9|Zxc(QcC
z+$YPAf2_>RnAUyX+co(2&L3`5?sM1c%;%~9bo}$l590Mo^LfgjfBu<LVP!krzwP-I
z^<s(bf6R6!uiK}yKm6U4@Q$;0wAKsU-c`Cp|5aym)qmE1e%i%t%RU~>wMz{3w3+F<
z<j=}~4^DK=)l=|d^OURI<D<CkS=b`>Rp-8`3!Q&9?c&qj=|_wti<C^1zNd>OI=mBm
znVGZT@5dP3UH|K6hn&8-;KL3xqw?mijmn!|FX3J>?c&6@TVpidtl=$`omb;`UhCJf
z-kB*KrO9);o}8`<yLkTGo!UuCCoA5FC!46q-`w1`u25>y#@qTwGd$8<H@3YioIkPW
z^Sb`uJx^{|hh0?lbG<bEhS+kYm);w?>?}h?lB(}$aR0ouy!z2E6UkONiR(QR^v}K!
zz21{@qAGk&SBjm;YKb|)u8O;E8pcl&dihT9`syOCM`=@zY*9*i?Ie`WEvo7Ae+NJ3
z&qYZMe>Wa6wshUH_Uinz|13Q<1%GC(+a|KGe2%NrzEv;elS5;VR?6Rz-K?b%?<elO
z_Kg}ZYt|!e%Nu*_>nz!4Z$IY$G~x5QA1`Hc?g{Ll@c4FxzKrPQ>8GCO7I^5{y>B>N
zRBM%AxAf*&{WnG*6>d}=HeOYszq51N`R-K9pREzI3~P;l+&a3t_?5;XrOHbp=fh=Z
z_r_Rt8R|X`nS3x@HrLqC^rexi#q7_~hq(8c8r~1Gi+P{M^W4O)|Csg8A2u@8r#`Q@
zu<JiAxATXMLjLnh`Wr9B)W}UrFZx*NG4I6D=Zcj!kB>|~C@vg(;)9=&i_`b-adC=f
z&rFo(3q+Sx#VMM-?%Cs%b9-fF>x~1(pSd<pUH(KgDfs+J?IYjpvV$KnXF5HU(Lc~V
zQ+8@f%l7RNFVo6Gq~gDIR=zua`CI3T4n4umcl_1uriJ^YpFh-<p>yO-R|e1ZX-7pb
zMzmOpUX)m8(yg^<qeQXxBEy(+u_xCxk}iM#>cP0|$z>JCeM~-QubYWp_DT<NNmg7I
z>XLjz+4%06P?OjYsU0Ez{x{z-3f*}9Ps*d6HcnBG8IAu&OmPi(8n*MLoNvfF0momJ
zYTX^HR!w;?-M!(++3Twc_N+aby*^3j^lELH%-5e@X&wBuXV;;*dAV`1OCu(Rhf6Go
znj0Q&`sUp2?!<^J&y?lor>ySdt1NBZc>7&t>D2@C_Ut-jaO0rOE|;`@f7oY5rf^*q
zk5>M_H??ftoqI=%pWf1&cl!42T@`xov!x&J+_NyZ<M}5)GyQp|qi_7F(A&Mddycwr
zfBN%lN1XkSe)y66{Z#XlH9r5Y)o%3pcjfO!pMRH_H~Rd$;Jnf2-?`?EKKB-dA2Yhi
zwpt}$ReEyxNlU@{t#g(ub6rm|>tX*N%XaRV&U=2BQz^E2S)mSV1bo+gX-*E?s8q9p
z=~UOn9ZIFs9;BQ(H(77l=GRWHcH2ajYb`R2*{&Drl59F>UB|J4uU7Gby;lpDDM=kR
zeUntzt5l|PKSA@r!<t!6?;mwVGH!H=S=SLEs2A$8Mq;;?Qdra9pKq2WWlcyH{dp@$
zW<|%bf-Q4abR5gFh!E_3m|+<OGHivC)ZvRoHv8QKZ_mu%B9i28=bIRJb6Qu2Xp--~
zf4s)W!@^zGNUR2#cJ}9+ZwmKw{9Wx9i$rTLGL+H%t+i;Q)V5HUWQ(Y>aF^uLob@0(
z-ke<@c*N|Pw65!o3I4$|l(yT&-wyH7zB?s;@t^(%?kE56oK#fXI?rIUyn9f}szu7b
z8*lb0uXMV#VfiO5?E~ljoI13=#%TQ}r<gPAb>1xJe;yjv;uiKTIDFLvt=H4;XB>@?
zEN=4_4a&X!DO=mI{ZB4;`s=q6@qZc<6#Je})jYIukI~T=GCNJrOwn{)zQ<_k4w>R<
zUK20o?-necR;3Wm8~*7PS9s6t%F@u|SNH5XwDnO{T&(GZi>H=r+jxIHovc66@_C)z
z$CYc0`2WrSvnpQWeAp4W<q98fa&>pV-*ZUQGvx1o&4p*9e*BO0XH2th?K~g4rzK>Q
z-7}AcH-(-*wSRHy)D-75XYFaHm#<^{7-Ls{wg362gW2vidneV2oqxLc`nQU8Hc2Iq
z?WWJZ6aLT1KTK`=4u74=(lw6%R&QA2llT5+gqr#Gy;J`_iBeHN+J8iJ%9V*XzI|}q
zn5z>kr+9SBa+h5-g*`vLww%sCR(!MW`s1BB2eLoLoHXirzKQet@#33p*^hVT9NBEB
zyvJqNp6Z^3UQ15zK4!G@V0zNp6K`!rPd|CKt>@(@p_iZ3A8{2;-`<hSUtak+LaqGg
z!x`VEcug<wGK^nY_OrJ_@Ai6co9Vn2rt?mV-4QsSD!i`y`6k}o$BJ)uJWtxSCuG;2
z=$?gMOHc1UVzl$%@??<VlBb_M%QM<sUb(X5=i@@wou{pjXH9*x;l{C|>GEAWKWX~D
zOM2V<W?tDO{oB`K?RUrjad7#g67zL)^{tmlyKb9sYAx6(a9w+mVTsG^P?u!EyfByK
z7rka{JB}sYS=Vvwf^zPh_{AM_zkmMX!RYt=^~4WxPYd>Kv+cMQv#R5mlg!$VV;kJp
zg}5XO_JxB=o$1<(HcF^#FEV^HvHPcK*NuHOwm}_p|9$S%vUE-9TxxUmq~%VA-JiC4
z9pT!zTPex)MzG+<q&HtTTc3EEbZJAGquop=6aG!sPc)6PF2AcR6<wGb7yIzQi<#l!
zhEdP2it=^JRhCX&kSiy7@v^~*ea2TW-2IYwW?!gt`Q>YdafQ5hecvCQ{`72Sz0LIU
z<+>kZ?#;V(BuB+GW&az=(@)I4cBB?ft~zeC({*ps%sUIt8s3iMy{Z5Gs8QwN9MRR&
z%+Ew`RINO`C)sYIX`RyN@Aa2AFAj>Zvfnq=Rw`!RPwm5x(hX1DJYe4wIM2tpR?)5U
zlzi^5)SjYu3*?V#_^U0bvv#XI6<_wtwWsLaga4g@^L%{&`t^L8vj6{=%Mwp*9O{G?
z+sxeh>#W36&HwEW&TnQvlG4E2snU4&`O0sc6J0i$>{~TI|HzByhE^ti^+tC~9p?N?
z*|qwG{M=x7MYU&F1lMglT=Yug(2_Y;heFFL?v#HjkrrHTKhyuVzF)o3{ID<motMuW
zmxau!^If8SAjCLLqNV2Q|J5;CTo={TMO|M=f9F(;Uo9~^*xm5g^DBaR4$4pUkEBj~
zsP@m|cgTe=x^Z*&&2K*Y`t<jr4VA4w9Qn-7f6RZ9@LA_?-Z%bmv-6uz8ny-6ou7Pg
z=MS5GY)>D3{vnZ}5UBa5e_4D8r^-B!8UvLnS8F%NMb4f6YG2TAQCV%{v&)6$&z%bT
zeSY$PZ;eyWx(rmSJ<~Ez-u&c#<bLJjrB<cy_J{p@vAFX{{Qn=9%wO+`j;_k+`s4ZX
z)BQWDlY{Du<$XN<o^_KKuTl7z@u~c0bYjeu{aVu;buM3DJNfAI6UQ#TpQjh+%ClYI
z^IGtf(AUpt&ots&Zd;yG|G)dW%+v0R5&z75Wx`LNKM}Z(rMu{geB49*bAkIrlAoUE
zIa0XwkIT>V%$HPlu&;NsTKs40mm?nODwek-pZEBr-uWA@bn29RWPiVgcTvdAnH@%Q
z*3*?wow}da-wPJ0{F%*Xc*p6EfzjD1heC~GPX+GdxL!Pkzx`yZ%+u~d9ro=k%axlI
zT36qC|5PKsYqn*SIA4>s<*D$)TV<Y3{x8g-AHu)!NcsJBvC;R<`X}16RL?)4oE0L~
z=zg@rgz5VmgUYvYejKY4UDcn~`<-6WCTnN)pj2DiyZX<DKmBztbMlU_T(R%dLR+I%
z`lUJZ`=;s0A3wZUHJJU=&5V<u9IZ{Fj7qP_P2z31d4Kx<jc>0`&$`<7+e0bgkpJQT
z+DUyjfBgSF>7PCI(_~*8<)`Y>6;U4|9!>o4y!Nx5Tj!~lOE$!Aep+vH`p|_iSre`0
z+K*QGOGwT-wbsfwICzzBzl5akqp*4d=fIs4m!JN>dD<zB?GLX!IQc~?NcTs9+uN0!
z>t@Yaw#m5Lz;0e-&&rQygk+{pw=g_YaI#lFwyW(EgHhYcrRqnguWPIPSlVt}T2%A$
zRfbaiVwJX)OJAQBG~VsNYtpYT>!y8sCg=Y5*Jek{IW^_nHveFEdwar-eVmuS<wi8_
zFFSd!k1fh--+#M3{rAG3)^gT6H%MJMCAdS+p)_k{K}o~;%cZ+d{g(R_9`zz6Kz}or
zP@TDS{qkcxwfkA#Uz!{$@MGzVqzl1^=B7QIZ*qA>Q~Mt|yJxpd?yL)XsaQXubFbLh
zaGSHoJ-gGi-z7iV;ksDlyIJ9(MH9Q&XWdA@Xmixr=gszvb&n3J@kN-pf8-Em*PXSh
zVn=_lm@m)9PG>RSK$|m161&y3<+2`ixK0-NZd}Q8QR1j=ZQQ@imPh;4`XWuDKGt=+
zbLASc+~0KJz_Q}5{D>9#mnDxr*S)jxMaLs<vEN1|As;Qdx9eD~`7x{2&}?o{&4ok0
z-P<(n5+1g>MvKnhXmD#;bJzNa7cVbx9xd1TQt+bbk+;|`>yns{n%s9~Zms{ZsC6Bm
zY;esDC-L?&ExWu0o1LvC)c>fm?YbQj{6LraZqBdW2bQu`-S|@7c$(+SmX$sal9+RI
zf6YBGm+jW=FRKo`WwW~RrAuR8-lFNgZ?{ZJ7L&TUC0aW(ZP8}m|6(_;xa80Nrz(`}
zQ?ha8POmiv24@ydXSru)aAo0l7CjS#8w>Aq#N;JRaIP2Far=Nndz|o&(+3#Z|7jG=
zZv4l0Rp_X$@0a2g`?T_M7Oi*wFYw7oURE}0P3(?4#}j8y(};WcxWm_5bp4l$*A}LB
ziT{|9d70@bckP)?nF}AiwL2HFCF~=ce6CE?%8JGPl4fgz_S|%5Z@;Dym-cX$EB93`
zZ(W|Wxwp2svi-X8C0fzn*zm}*ZCXXw4i(Ji)4caIdAIND(6f2-pR45t>^bhv-EO9J
z@AcxH&a*|fb8l#NU+`!fqm^Yz)W;I;aGhC8t)55kIA!emF_(LBj@9}d8+*mfVuSab
zIOH<>nYP^NYg<;fn*E&9=q<Ka_d`!(x7c3Q4|^K9#r7(HXlqO}`y2Y8l=*E=Rd~pp
zZ5Qq=V_x-0?fYckdf|$*2P$U2;<U+qu*Nl?%O?B5CfE9jMUQpoY|q$b_IO3Bn%Uc+
zI~UTP&s(Q4FMZ*9-_4=r!otltzm^|(wukM;m-$*#nf|Bx6s%ZsN|>|Ww;}7wp^2^8
z6IxYmp7&}^mH(7JGb8yzZ0zi|k8=!H%+}m7xAz*`UBeW`-x_6+Av;g~X0mU*CuXZ;
zrB`K<AI!e>Ln^;`&MT2`eZAghzUHj&w-=sX7TMK)BjZs(`BC9qlah=HkE-?hZf-dy
zWOM46?CdJ-y^Za{W^03gNl1UsdA0hBo1EXxEsifA=a>|%u)kdU|MLnb749!b80IgE
z-ge+4qn)R@Xdmwf&Rq*nm;GmWT=8|oYMXP}d)lwBoT{7s#fZOtk=wSK-+BK3M1I+N
zpUBPm)1J4o@>@klPC%{b&DRSjI`VEwiI)Dq?6#KC#fQxLvgWI8K25Mcd9Y@(pN8Dq
zgg#Gq5&yCc2bN6knr<PHe<}6o-Iy&VD`%@#tZe2~iw&&e7hmi1YT=j5c5YHzZj062
zIBq%FOxy0!N%<{#i+peAB=2<;-ulLFwttdI@JC<iV&15wKeqH%vgroYoIK_+SxkFh
zQt}i}Z_)V8hPReH?aIF)k$1`K==EG4<IL7a@A%TBw|Ez3|8r|L)6RRCFu_w=WP8zt
z`%8Qm`pK93-ddfT_vF()|FvItKeNclzvMbq@=d}@M|KhZ(v9br*lBIP>{vcIPrG(U
z_g=NH!N2m@XIHXl*DmR{RpSl#rNiFMW3~Q^sMxtr=2A`RGc1yWs*mnz{k|omGie^@
zUY%Dfeyr=w6I*+E)ArnwO&Pq85Aa_&x}?2z*AZ9t$;UE$PsijKX3lx^RL^X-N!&*+
zacSOJ0^2S<dCc=Q?5(Vi-Hrg}qt|163^I0}GTHj$gM;$ax>Kp@bHp_McAaczkXrTS
zw3@tcM#m%XH|i255g+yL?Y=1)xaa;;W1X{?HnGRpRb9wA${t%d{kewSk<aHA?CdJv
zF(c=K&(Y`@JD<xTN3(tGz6J4L-FfSXYx6GcxQ7YL9Iqd~_~7v-&)-|z^MCC~y2N;N
zdu@#A%L$Jb+nt-eCG=yVytvP;bw8H$cdNbKa&*)ELu!-fiGN`-oAo7Ts=LrXg}4CS
zUn>tZvxP~0*>ymfEle`R-9`RbR<qpIRl0XJzgV-bD_r-^))z}2Ig9<W*b@6OmV2+v
zt`)1F@-fTiuF~Dm+UaNZH~fJYv$IT9WJ11l{o-q{F8q~E4cU82nEz+D?V0T_7KJV?
zdSKsuRjk${>;j{h^>!1-@XO4M$E>cLT=~i3dq?X=vD}nh@BfS0FFoJQ6<5#}QF2)*
zF|M#Js>Jo91-G&8tYu!$swC1bRxXv_e&NhQ+gtzG%%X0UM1Ew7Ta`O2`-Iegp0LO-
z2cIPEJGAI>)Fkl_PsMb%vzl+-*fUpasrlB8R~B9EDvy##zsR<>bVKXWHzHd1PDLy5
zS328$@s`NE=-ztk?s1#hZ8wwVEo8KF4$rwM9K%z-Vv^3L&CE4(Uag*OV|?KFi+Or`
zFEiWtmy7!Gevr9)G4#i-c5SoL%eKkOd$Y}aFMh4v-rH^F8(eenaOvz@?bdsH!_3YG
z{8Htgp8IOWmu$Jb8&^U<=7!I^z3$51M2-B(d|v~8M%ygQjavBSmt5biEny!sq_^k3
zT5QB?E0dn@{4zQB&eoOlFG*KhWL|b#+P^*U{z68t{8taR_|Becr!e9F>9334X~Z8Z
z>z=misa<QXSg7Ea%$%(+ltZ=D|D0sB^WA=xsX52Qe8pxt`8M_+I<cXjWcaytt(O1Y
zeC)7H_d2b(*NNL)uZyhzY;bzf>#p!y5-Areg5CRi4~p>y*Bm&;(7h}BfBq)J3yZFG
zso#8&eo;)<`ixtBcbI10qmM5qnYCK!uGyT?{^*(9wWuwzg~t5ZHv+G72C!=D{%Qy)
zkC>5ku}aobTF36drJ|$KH)n3nXnpkcO-|$%pO0o`uWz=8?791>Saof%&4hlInwY>n
zw-5Psn@J~ap2%qDe*KDtc<!4`nG?SqNR{4wvp2Nn>M^<5(=^{bOIYq}EqeXC;qhhj
ztgPN`WXyfFaL3Ai&pV&HX8)dXX7Ab^OZ!)v@dn(vb=+h&n`YjlWR_~RuGv3l9J<%>
zNX%~8?JMzx+VYQcq*m;h-Z|CG^y1RA@P_q4)pJFynQB^Zt>iDebvSSGtru1mR}Wh?
z@6y=!D6z+LuIT)-!UGFhcZtn^IQPN=*Dn6uGg1WPcUE$)$$#+u%U3P`AOG$LOkTzL
zL%+^FUid@!pJ`r4zrRjj%(wOO#A`>7&At+lC@-BKDBZd@=TB?Y%E#tc4prGXWa*cD
z-FRS`;xEs;HD|VGt~mFP)9jxk^VSr1{{0JMr>)7olU~bvYk8kQ_RE}raM7P!za*|W
zKAe8>_JV0#_N{SjrolflznHApdCl(3ai`g8EwX6~w>wY2($UOZ)2R1a$;iMVbk~xC
zx`y->3ul$(HKea(biS{DMEgt2g=wrB%a--&1j#$tH!B>mW!&c`yXDL(hNV|x9(FOP
zUh#Q2iNW=X%fm$stydx*HZibX@%Z{*zRqd>+D|SIwi|v(u5#qDxo|9g<>We_c<HYz
z)@s&$7B@V!X!+3z%s*-p1KgKxGycH)Yul9f2@lf0#5%s^t(m+}x%ONB9*20r5ArqL
z`?Ma+|6x|^d0_ts*<YI)zJG{arT9Sphg@yof&34(zvgJXN?9OQ8$avH)x-ZU+Ic^y
z;NQPYcpAq)#{G+w81)}c57AMTlK8<~>+G@rnEnf6rTaGzpU>=9y`#q#x9q>*m752=
zzc4$_KkU*jx8CW^k{>%#FX_pyQLnjUlG*;qj8Q7O#H(;ML$8k2!X2&+ZDO*)HOJkV
zyZN;DJzc!dm0k4yhr;tq{&w~M+>w0A?5KOq9ka~tM|yfuGp@L*A7)`M_O)7e?t~G$
zu<x!_JEnJEQ~Sy_*NA<x&#q-(&b^8F$P!!?F3#@zYSj*Ff3wNEwBNl<+V5#A>R-6w
z#)9CeG8St)HCdimU-r#64hGtE-A$Oxr=9m`(Mr$Ut#4x3C;RSN@+D1g*2dc_zliD0
zntdg((7Ei0Pu9{M8@m_p(a^|y^{B)1t%!Mf;f*DHUB@jVk}d>Ztvu++JXuP!wxK!m
zO_X-+g65ZRrfAANNnpDj{85#i*Eeg?r*Ih)qm?rsW%EhRzT)(;l6|>P)`}mCnkTE7
zhSl6W$T@kI*1lH{c6go@onN$}ac<<%!!cV7SFV4wSZ&&-?sa^RP1w18t=8twIG4B6
zGhO6-!tGflVIO_|=}kVTdGFnV)t=_gvv+4?2i0HQdD>BZ@;i;%g`K{8YFGUW)Vnp)
zBp`L0<%_gSyi+9~C(ZP1p1SX_qx<AM&A)xU>-I2fzI(Z#+qGKcd%=a9i|%&i-+XbR
zZqg%TGpmR#0UtS~-|Eg<{bNUOnb_IDJ(rG#*p+3*eva`m&e-xOQm<@=NzBI_@!P&q
z3oH7%bJco7Y_1;-o9w1J?@^MK^^dOQyKbaj3OIT>=8Z|_j7LlLtY({peT);{&hu(@
z*^T5!%N%P(=9d~?TyRwD@{vQ1&2AE#5^Feq^H%K-kdD5QeW75g-O0sg7yQ)Pd+JDK
z^DfO=<J}=_zJb5O#KU=JiACK!s@l9v``)`pO^(JQ`2~hY7o6<6zI#X31(l=fJ~@UN
z3tqj+c(l!tSM<F};oSxEy7Xu5NWY+Pv{&bjVaAL{Q`Pc5#4Xy<-1;j=^XPHkH)a_P
zkCN5Oq^`slrgPusm0G=Ha_d^Q-oTof{OxSI7r(_Mo|f7Y@-g(CV)Hc3cNvM&-(xa-
z=UKAdy?k%(+0AzsaChBim&m&i8Qb}8Q*y$Ei3QT%3r{Yw?JEBzk$S=E=vn3%<CiNQ
zO=Da)yCkOYHG{d2Ri^j)8tK!%zh*3WpU~<lFaE`MjmgVM%TGQJl>0sRi&z{ukTtnZ
zr(jVdui9U)2P(|3eSd8|P{(#{#uw$439CA{vTZeNxzm1<?QcLrJae}1ulUBlylaeK
zx~_ctJ0ttza+X^DT%BJ_7RWEy>N;QS3-6XqFC-oByLxxqDYmLhXCz+y&nc6$V5h6S
z@DBY0*H<onH<OvyWa|s{OH*d5P1`8Eploi^BKGRVx7H-HEt?e*{veV0H1Ds?2b|f$
zB)+H%+GQrs_x=2(Z#j?s0;ijeR#HFYf6aRnY5Gxl)vD@gz28?fzB>@_z~4M;mG#Ce
zhhw_+q93_^+W6{x$#tPmcKp1REU(_44deg6DBA1ZvxPGqx9i?qI{z3?w_LFJRp;R5
zcPox0^7Ha!tzl)qT`_xCMz6c=pXswSr`~;Me<^3_rt5#Dd@q#geA;ke5%(>=vIE(d
z6Q(Bo{~h{jrBJZ0&*qG_U9rMHC!C1x<2&J4<MD)X*$!!W9^PB)e=KSK$aXc{=GM`S
zc@|yOyCsqX@{i8Gk!qY#YVjea$8^QyOY5yAj_1vI6#C|o<QAt?v5lXP+NE{)mTX_q
zU3MvuIoPMl`NZkmp2t(&pF0+_Gy6uZ-?6lrQ7zZRwPsJ_LAAe54=kAf`uy7I(PM5n
z<-4UU<6_@05BIu%)DYfV86SA(sH6YnZL5#<@%{Q#w|>Xu<~X(7&^tFB`M+_Bl$RPb
z)$$#E?89TYa_Otg$H@~Mo4-0s{gB8B&_BxU!;_-iqV3tBR&y@smlL;e#e|n9tM;}V
zo87(8eAke_S0*d*wB~I`>vlPzS2EJSZwg;yK9(b0ebYH?Pltb`S#Q9eBgYJ8yJ^Oy
zE$;PA7FoZc@anR{F7aDCGA|b#?at-dnz`iBTC-VJCXpW_`Pa&3E&umH&+g()lhBvZ
zIWn6vCu#00442LiP?in2n|o!cn$?0TR*Cl)Dx=pa`Q5ZgxLh;!p4fxaSHhk@pT-cs
z$c)RJ^@q~dYm-kFabMSsT5{|~X3|vOUQz$A8xJjuyfvlMZrW$f*pDjmr*meltJu`P
zw8ksk=BRshdz#j}#H6jhy&>ll<h^sGR)0~n6WeSl!vA&SiDe(VeqOja(S60e^iK;n
zGt2Xrl-YKFv)L+Y*IZ`v?`!bvTN#-bc%4s#UYK@0$F}*)^SGyzH_lVs-y~ae;p$D(
zBZt(wmubkQB`W8C(hBP073&SYaqEC(_dZQ;Uq-*#UlJ|vnQXpzYQgNN)12JzFT|})
zoznV_ZR_>64X^Upwg%XoK3vj$?#0f^ZSAgNbFUT`M>FeR+MaUq7t^+gEkU;rdYOHG
z82wR*|Fh1nC9J<IBl0gZ%J%%V&DroWq2lp_#KpetBK%)AoLqLe%lZT3-1GY$zTMHj
z)=W11PAC6Iv$tV)TK#96y$!WFc-U;VpO)O?#Ld3$houGhqjRj5?GWU5pS>>YzUfB8
zYs+4Dt&f(-yqtN|J9o>r%q@Ipjpd>&uUwlZ`n&u`{I8}pm-ip%U+M5hk}c}H4ab&E
z{fV9L3w_p_9h>y}?BlNJl?ShD+dViLw#}XWh@!3D``|tIC!c<Iw`=!|q9We){_AHI
z)ff1DWDB;cpZlnE*`4!i0`FX%!aeK4bc^R6U-J6(I&F2&l&3fuzDrD;r~Ewi*k0W;
zr72~G?@|-{lo{iX&8{r4F1mQULf&6uZ+^<1Q-{3Vdyf9lyZ+Q;#gvb_!rC&1GLNm7
z{mGoZxhs0}`bQ@W(rWtus=7A?_k5pyW4)sHv1p$+#VHF6@(%x-t;{^>qo=dpyTjgZ
zgWF<WoOUfcRPXcd|KZ&4EsEycZ+UJWvDXOyEiaPgEgf95^X0V2^v6{S=68Eu-`*jp
zk{{w1wL`4q^ywX}`U#CY&h1h8_2N~q>cVYi7cCUmNk85ywv1(ytASj?qF5&--=6f(
z?}SdAoc%Fo-s{FYla1z`&vtN#6*>QKtD?aB#*a2}-b}C7R7}&)lz1z8{)?gRglLgt
z#x*{?DW=IbcaJ}Dm}Y!zZ_OHR6|N&|r|h41a2==rj(cp|SVN-KK1;}NX14sj`9p^M
zW+ux&#&S=S(-g|tdfb1_5Io__@VIWzRJNjpCwHvxuWY=NVf5~Ca-Kr?te)!MGlWk(
zm3w?z%#VH7qfaNV9_mz(6pBuNP@xe1zsFp{LRdxosF|9S>JzQ`ALE$InQxwySih~n
z#KUjRS++jbO~FQa*^5%04l(qo&$1AD5o<921CI<x%I&n8g9p|*lvyA9&U1(7Ny>pA
zeT~tLEnE`!O$)3iY-oA0af7u$f7?eDx#-3__YLOdB*rTwIv)F4sl!%uE8)&Lm(?vT
zs!MF`!hRNMAKtOIf9k#%zHXj;seLhb4Bj1>-KM{N&K=G92UF(%jlI_&cbFr7l19XW
z*^LkPxy+yON1f;M<muIGd3e66Ivm}xuu*Z}oE;HM^w@syI;!@^+~CCZyT%Xq_x;=V
zBSh!Ui31MymF#zJ9AL2DnOXi!_Vn}&g~xq1r)qY_rrc?DpRdn&*lU*i#e@ECy}xH=
zyglu9sG_Tvt<mL;g#YG1`w6evj=ip#^LgcVzG<d*=Zd67wtp_TdR_M1_wEn!-!@d5
z{xSaDVLu`9hPA_W7Tqfq2hE~wHZFTr8#Y<^#`BcrH}&6r5xl$j`>C^66I*vb_4_#C
z(A=Ws6#);+Zr<Y8xT6*QyRLP1YWDr*1#6EqlxyG7xT#w$x^DWLX|`P9r+aVcwQ4_g
z%UOQtX;J?+=Z8T%Wp1x4*cj878@wYx@Ax*YIVumYZ{<?=_)*$>s8Fabc5OkA%7ryS
z7G8Q~;aW0^;t#Y%_$FUCRMc9mvS5SfLmf_yoDd6VCN60azsU`Us@(IQ-b;A@uRZC`
zt`&#drOn<6N(a~O?0lGKk&!%6Ur+z>VKcE`LKP`B9lh^PzwPD`WOuLK`8Dy*nS+Ay
zO#MBxEiB%g_7!?8EtmJZs4ltY@?qWhyloQqzZG1bF0C)uxSH4Jlj@&&AFfNxc;TI+
z`C+!y4|Dlx72InSCvMR{(N}uz$W#SMh5L^>u5Y(0`4#)oex2677v2@=TNdx`)(>3X
z*cDzm<E^I`%Wsj;!UK<mrK|N!3vNu_9rjIjSG5q&j0Jpo+TlgweJc+g-FIZgE~`!a
z4(mRBF<LNDSyLr(%FagRnW~8@yA~>Qsz2NsQS1IG;Lz($%leiqZ+YHRyshQz6D#cx
z_w_Z^`#HC+Rere5!0q<EiL37z=-oazaY9T*<mQ`#?A22rt?hHkxu$XNiO04BUPql{
zJX?0Gt5~3YQ!HGBzf6_$anujrz8xnYesyIJcWO)*kuOzQoUr;)>9jw!`wDlZo;SaE
z=IW082m3YTDtQ;`m8dSe?4rBv#?)ko%^!4@6fRx%P|zvavscu=T$O#%zDLZ<{^;(R
zyX$gXk?Nug;V+-Zc8awe@9$pcBK}Lm?vYoC;-1g;54*(gIDae*w|Vid>-&yJH%mkI
zoELqs)5HCy?5OqY1r_VH<KonhO2>YDc4)>SweWLia}S$Y7bQ&Gk^jKHbuyo=<_pg`
zMK3rV<&PD%d#FgWKdSb-$XIrAI>RH0qv182I(@-4H>aOCA8|BWXN#XoznQVF49}#C
zTR6qOu?XExvN?Qcp~B3o8*dzX=)e@xbN!da*~_OUo;;}iKl%&%%)MKGxcu?Gxu#mO
z=uMrg+NUUH;hM9VkG5JkEN9VuCTje_zWW^KuN`aV{$(ohydV_o{+s2+v<0qR&ntx*
z@28!f6&0x@B+sGG!6#jm^+a+<ORcSaC7X2KqP_Z=RZ~q|*Ui;G{?Tie()DZpX^*}b
zUpzKFAz#(^a`w~HoeL)|d%5+?({r7L*BRI=`!7A+AKhTK$6Wi}S--9Gea^l<d~Vx(
z8;7r{?DzcT7JU0{@!+z;RQm_X`~90m<v(rg->!d@z4p!5%#KHya^HTO{Igb4uI#ta
z$5flkhxOw7zV<AyzVTB1^DeiF8xPelv$<E^c&C1u-~F@W0sm*!y-%8(=ldAndGT=8
ze4h<gFBe8CZ2!`;{a3{6VEf#|X7brJuhv&^uMe>M8hYo@VO9H?PB$(ccD0{*?8dFb
ztoAegZk#$?X+QIo#Z9-x4tb{~{kL8;n7|@&>~-y&hg)w<WG(GD(m3tqEqjkg4&Jhz
z?|xLYZF`~j;Nk2VkMwsoo$R?`2bZeFO_-o^xS4U)g+O;d+1)lfCGV_MZ;#{S`&ra@
zXshg2!@JX~%a0w;@%jAp+`dUiR)3Q&`nvw(s^+#kK?NtjvK{+bH2vhI)3zrAZ!DE+
zkDm0d&@Gj(s>pd?_{+^XCY(>wW<O28ks;$D)q3OXp{EX$iY4+l6=+UikzV)p^$rOS
zKEA{2A37;$T1@?Nq{Pmn&GCDrg}8^7ziQ-Pf3}knmhwx^u@$ABtyte0+?c}X>B=@s
z^~tN&LS<oJ;i5*ZsZts9E_A4t?`jn5e6q5Bb)$8on%1WWCI$Kvx~-3~^IY*iaaZYa
znA$9rCq?12k{38St*eySZhp}~ar%xP^BXgsdTTg-xjo~hw?xy&Drw^y&P0Q_)Z}^k
zGi`dpZ_jvr`l<Hgt!B@D2qh)#Id&{3{@q>){SAd@rWY_gmfiN}?rSlx`1Hr~^u@RI
zysz5v`m`zkV{5s0KTh%-{9NP3t0HprM^o?PeJw2&s!AoFUluNmGr4enIs^0L)oj0h
zP2xQKqpQ(wU&<kaeK`xZ>xW;S`i0}#;pwa2cvZ2yes@~bD!YbfZ_O&1Zx0lmmE11G
zS?77Uv=sVBU&`szkW2I2buKITli$5V^)Fo`xvHfm-3|4wX}2o+zvAPL?N7Nrt2g~q
zTA5)lcIE1U_?12Z-pv0O%?hgMN|9M}S@FJS@vm>0uGKs}Urjd~3M~J{`>S=$7MmbH
zX`z<H&W+Pz&dv|rb9++Hq7PA@wTrs<#Xr=s3;H$b<B45AMV@;nm8hQ0e)s4!uea*i
z$ainNqdY<M6Yoi2{tNFJksH)ATa8QB?|SI*>P_6;jsDh?W^NAtDCu65UatA>v6tTG
z%A>1mds6nh?w#pwaA;!5f|mUU4v4n@Q)LqNi78TfI{9Nt@IRKfHMWxHjh{HZmv@?%
za?1Crjp)m_9-p!#j9$I=xRfJN_wv1mTc*UZmybR83MCf3eD0CAu_aaLUySp=w71nx
zd5=AQZFD)r^gr;Vc5{IAuSsELc6VOzc1CZz>NS0~P<hnKiZ#uuenuPDOxE_i`dnmt
zx$4bdTOReTQ%Rg3YIEcuo4RE1uIC=NUM3#pjX4=)x9Z0_<;+v<BG=1RKWpv@uzTdu
zbXM$WyR7Gun`<gsHO(il-eP-P#`DpgWnVnksVul3T61XPlbNzz>bocP)cJpO37>TF
zy@uU852dqZM}uY06ioWKQF5Ki2a^EnGbO5mD;7QqU8l0nZ2QrysZW|d3ocw&s2aa>
zsn?of)%cxTA8lQ?<kOa*JyDlEqBY`@9<?a%RO{J2+v2UtV|#YrIi)Jy(>~UOU#gW_
z`9~)B(+qK~zfIbmihHNlotesgGOw$DS5fB8(2suMdN#K;-=#h3ncO3uYg~A4;+2YH
z+kImSRAlFU<PA3}{=NE-Z+OuHc8z`SydD+XAN{Md^MCHTb1yB!-}O~%)$UbZxjr!`
zYoYSagv3*ElN~lSE?XshO=p76!k1mM*@QmKSoUL&{>v<7(Q^JLdL{E7&1+04*A2L%
zJmJsmpIy>ylTMg<F28jCiGF;&>jnLbN0zSV{>7SS@x|29{-|#IKAjiOcRaojp8DbZ
zbJtT#>$zmkRySyWWU@Q+ahl@KS3K>~J6^YF)+F<o6sf)v{;~g%Vf#MCBOBZP<i0a!
z?K64OU-duohyAg@_I(;>XQw}En0)5poLn!zXH5dpLJ>1oG(R(*l=eGwq2DJJ-K>RD
zg`3o0`X|ODcd2Ivc8mIdRr#CQ`ABh|ipJRxn=6y&Y>(_}ubgzmFuKs+|I$-6ZMk=z
zMVDoc+S+(l&04Z!x_V@Nu!z2~YRev{=$q#ze&<e<sBYJn+`@e2#oQb7OEZ@}I>%_W
z%f!2II)iM?thGPp^?%dr4Ue&Ru%51_kteWVt+%kKd6N6(r6;@2|IB!KiQ{N`&6cvv
z8ILyGMeQ_+`N%4-8zZ%JN3z1qQ^tojsi|3ia(gUT>b1=J<N-Zv)yp!x|GI*Wu3jt&
zym@Jv#YqDLYt`<#DJy1d-|pJiR(Di0^1X_1(oN0C7b=szD_2K8P*Fa*=jxi`Ngv;Q
z<hy2da>>m?zH1gIkK9V^`;+;~z|HQ;K|gg#Yx#Ex?UQ@9_Ln|&Jlh-4-)%dM<(o@?
z_uW~gCo7t?Z@$?s`n^>3^S`D?|JLov*|B8DeC_^tJuSHhr<7-%?z+9>(~PGrkFKpt
znJE{3=h{?ueRa|8#ZM=COYGVG^2FkawO#qvLabH`eoRxo{xnhHeV=k?FT3daO)B$D
zox&S;E?W|#f2nWBQ_m~DN=IL*^y;7Ao4}s2O!K^qTp)W!SmiqoYlqFJi!E+koEa`x
zyD!~auj9#Cl|PwnPdfJK7VJ`7sr;T}&ccs3s+g8%U3st1lrA;t_@{LTG~1S3+M_!8
zZGGX{g;`E>l0EL7y}z_;^R|?A-mAPG{15o2QN413{KH+&S6Tn^=bdV1akD>|+rCfb
zXuE{PqYJB<+NCUX`lBTJ3<^$6R46zkpZZ{d@UlO4DvTUw58Qiwf_u@{UlV`JRBCSV
z`&j3GuXIg#&4G#6!=y#zi&Vr5CO>NKd%~0#vgg>u8J;sVHeQ;zTrct3S1tD>wZw0C
zv)mtrCw{vd<(`z3D0R@v{ZM_P)Im4*B7ty?dk;MFl4e}BJwI{IQ3L7feaekH45X_k
zDi;c!eDv7Q=&9my!MAVrO=}UH{ZipYvge8QD<5$$vyro0Wt9Fk_LSn$w_o?3l67A5
zS+FzNPW6iNGL=hjd9TZP-<x$O?9R1GI!B|sq@yO?EB7p9_P+F6PitMi=c4zlN3DH2
zO>%?xT%E#kCGF^K-%g+0&^@Q8b_7++CUyH(9^V%7@~&>>?rRD>SED{Hdpqya`4`oj
zn!EgGe)^WZ|IxHx-(JtEJ1H#G@@GQ;|Ljj@>HS_?E<AS$7d79mBKxcB(d=b5n=F_9
z*rj>Cz)xde+9~FBkGsrgeOi~j_tDp7d;UbNu2`$-zduad?)8byOYYuMpX=kfiT85y
zuFR^k+P{<ZrY&K+6Z$JNxT%I+WWI^&$*TE}e3z+2=3mgSjFG!t<FTUMr_=0RSm(KA
zOQOBK`0^h}P298QN1JBiiOEr)WGmY~1s6WQKjlb^Jm;&#2l-z%Ef8b-=eJ+@%8>)r
zU$Py~^8RVA(_S&NvHnv26St@RD@2!x?dzJZ`u>CB{$|}y%jzqe<x@{uH_Ux?uH5`d
z<7w}pJsTUZzYJH}bLp^;|GdP|XPFNYr>Xm|mstP7;P_-7@ngX{GGCq)`ls$Kn)&+V
zYJ+3kwmM&)s7gF$l@qI+)N`O>U;EK{d&H7$t{)QeS9;N7UOD5*$;o2JqHTP>J-Nv6
z_^jNrib=o2BmHj%+g3KJmEM$i?&&A~I8y9b#ia1S$n}@pD<(yssOW2bIM2o`=}y~R
zCVx2#Be}ff4eFLmQ?H8ef8yn&{a8?JTg9ZG=PQ=9+Rj^Y!bmPRald+D+_CB%hiy79
zg!BDbpS0Ay)hX5e$qwzz$5TtC?>_Zh6Swckp*(-NCn48zOQtTrd5bZBakh<?*ca0^
zpI3PN*wdN!K_zp^ro2V7Z9QApud#k^<}c)-{rcR_!;?#Xp4wF`_etgRl&v*9;;|>M
z@c-$5+$5bgub%07Y?a%E&lb<UjItisw{KpTvY7p!df~C9>8}3n(#vBjr=8ldwO4qZ
zic$DA<;R-gMgO$3ZN4qh=Daxd@Shz2Py7+5!#CVn%;Xq<jH`cD+s^l^ZL9Ro)W*NQ
z__=9c!&kXlC$G;7Zolw!+SGRUd(|ZU=*Yv*qis3ohhJ;{Q05=hs{K0kl%4;j$=a(E
z&r40>XEA+a>3g<Cs%*aE`n3xGPBNaIkYaKBKt_DrosU`RNmKMSlX|3QNWAneQhNMt
z+mfws!*nm_n((RwZkhB%>;2Vq4<EUc>Mbq%HYeL3bh+J<veH=Yd2*-%f3u|jhmBSf
zM7WM6*RJ7wvQhT&N4slmpZaEeWRRcDbTVj~^QQi@jVU7Ce)7>wm6nR^Y4Xv`o>^%&
zhmLP@5Ss9FLR=4T<C5o}E@dxHRhT(jr|_KfYllzgwSNA~{?VNx{QKYQ3g1nOnK&E%
zcGhVwDG!`or}sc~kCNu;eeu%oy`-9Kg7+m{NPlX4^Pl<`Q*r6Msf{1^*+f6pJurFB
zj<;3yTQ5((vrD$C^4U9sCzdRah37pfmks!(=`XaCPt)$5r`q+pvp0Usu$g{u%`JPq
zm$K0!bxxbCw`tYHZL&7gtnu4qZKm~SNlHo1<*14&+YD8Xi&}X_OMN=hQ>ZL2U0ZqS
z_}aaXAMKidCQ?3eaiv0Jb<gx)5$}V`x4ssVe_iux*^Z6<y!&kKCDdF$${SyNXXp9c
zEgVlgrJ}$2R|HR5#mwn?ZRve)^(|iuZu+Nf{BUXWkqO^BFFZ}#r<gA!d47v(=7+YV
zxV*I2*(S$qb1GMt-k1{o^3|lnKPnfVd!G6H^l!6kH|`x4wV%m-`Tn$!)03k<+4wug
zZ`z^t*B<q}n^l@8ZmKgfFbFU)FbE)@cN1S+QktY!mK)%k&15LD=l%K_$=tVNw{H3J
z_)1lVt%yqq*Cl74d3gqEiYKG~e)rwv6#ik-_q0!G&s0l$H*Qo{-|l<h!_Su=^Gqaq
zKj==qa$HFGto9zw)<~%jGbXB+D+G$V#wOqM;J<ad;LyE}Fu}UijD%ipfg_^DIv$HR
zPW;%l`P$@m`<E9c^dCy-@ls!MZvyk-D8qe+Z^>*l<eQv1)o5AQ4E2a-%!*q2JxlwF
z+Egu9o)NpZr7u`qTy};)<V3^I308qdnlr8V!;e;e-nlV;=kAAD;YqSzZftwGQ?c2#
z^YFB@9#4L)i#m0-UHOtK*8^j_p6L-~t`m3E_O7|Ijklxk|AOZ=j(S2yxgG_j|BZgU
z{IqU^=3lP4<u5;0E|xpD`fKUoc8w6trY$e_E&RcZ8mJ5mlP=6(%fOIm%f`Tq9H@E)
zDM@eUvd?&O<l^_Mml%u7b=DLzG#_R?ASkUTZ*ga1g7oa_+ylpsP0W~_BUAVz?)jYJ
z1D4Xy=M<l_+`qo~oMrp<`LE(bZLh9<7kT#GnYU*aRlmD<`PcR<p?8g~U&V)dYgHdD
zG<N-X>;0SZD{IeL{-3k^PWsui=j`A1Y<{=z*^JBYDsR19e)sX3>~%ADKUnvD?{CX;
ztKajo&1CA1EHkw}Z(q+__I~5Dcj<Q@uh|`6ac$=HT}hE5M`tBHJ#}2{PyX98$9mWQ
zJ-2k--dW}RcYZH<+&|m;8{hU@+n!Fzoy}jkHRRi?|9R){xyyaMW`BOlUem9Bx^Hj(
zezp45U)yT?pFB@~%`nmp&#ZKfx~HuBe&)3AUZ?kN*<+-;-s|-0%b)IL&fLaXIe*I@
zeK(P!_1mki$p+M`?W@z5OOy+cvzOD8ljUiPc3mzTAy@uGN{(&2P}6SBx969wjnmS7
zKYg11+-drgr|Hk0rayh!cmLDuOP-!y{*?LhC&$d6T$w++GJkqy{yddg=^Lf@LG%At
z^RIPRt9xF54ZAk~OI$XW)|99fTQ)dxS7#*p>#bRJ{#D_^D^5#WMWb#sbAL%a6uJ89
zku1}VQNC-!gjZiZaxW_>-*n^lSvR76->g+jyK<yw){H=5<E$h%-!m&ZYp)!!%Sw_r
z-Pk|thP&^Z)oR~Dg@3Q=ti5_<Ush7Qso`q1w5vzf%$gA@yg4iB?VD4~VTFlX#lBrT
z#3O#~rQz$X1slZp92frc;SYU!;b!fQf~hxaw;G&oUA?L(G<4mvQ&&TF?$Qbme=7Cr
zyy=#w+2`WCxRbtYxcPTWL8e|z_BW%b7ljXRZJvI$Nu&LIoFDfhnT4@-PE&a||IU8M
zpc@m%&7O5oe$R9<zDI}LY-<<K+ZX76e}!D$mCNR@UP^!c;=4KgLerdmp8ofj=;qJb
zyGU%wT;E&g)N<1|f2-ZRZFA)9n`_?YTq`rnww}Fp?%7-Crrp%ebi8$Q(c7GhW!uig
zMRH#{CzhMuy=`--d)?}7n?rBkT=h2RYT33d>*%d>*WNm}?WSqegtBd!*0;CJ&Aqig
z_trVH-1OPoHpkk3e|zg(S#J97ZJT3n-(2@L=X%+;V(aQ}a$lcpzu13orLJBqYy7sK
zT4%*mW1404c9vXy+N~2G{8Ok)JMEyj*}lGysom>?Y62f+3&a=w;5sZWvajyL)28)&
zJ0vW2l)LK2cyfndJ0vBuQ|xx>jdjPrCFJlQ{1%Y2zUgh~*CVSlAHIs((I&R8Q<?wp
zx2PL&(zg$9v}L-@Yq3MFvG>N^mbYoSiQU)oTKDnZetSc%^KIabIQHAGld5fV*7v{V
z%PCK)HrZBuL$3Ml#vAt-Zc8UsE9dkd{&wufJ(k;#H{NZ1TfZ{j{OG&08*CYG3vaaL
zygfU)nmfn;*tcmn?s44?-ZC*d`=MFXj<sTW%Uf?}Kje$Dn95!KO|Qy$Ux(HDyRVDR
zR=&OFdw0(^&HlPL@6Ro)XR^~DRqAry-Lq}>^-qy^_lULT#oa!ez4OA^Z1uW0>&s`e
zOXGH*KT!GB4kTCkd~5rf+;iF6OF!RgvH4Z08@qe<=UY|JqQYOC*=lk~P$k6ehQlE)
z<s6kne&rms#^r}~a$fnjd%jq9_-@IJ^RJd2)+o9BFwg2tYo67fE0+`7U#rIME$Do7
z`tj0&spUI(*19~rWM#e2$K3kb&5x<<=lb+-pY02rQC?<Ke)id$ir0d7-|W!ruPdur
zdFRcKpua2i&i6$gIJ3=&>DhT3>oms6iSuMPH*lJQL|bO1A6F^a{5Y@dOnT|&WRYDr
z3(c*cIhk9}Yq<IGX-V0h{c&>q7jAxhT3S}K;9MX7tF+@**82_}xcO0Z*G)sN&*%E$
z_v+4*&9_Ot-S+QIg{!%>Sml?^kN*~Je*E{*4MT3VvNKJcvYQi<j_V{io!y))W>Ej^
zrXi=Wh4s7s^Qo_PcFE4S{g548zI2_%hB?;LV&dD^O?dDuqrSHOzr-#39sBE*-pXIP
zZ{PUs`*t?{*~S$&ZzynHQ~1{F_(r<<M*BhLH3v^W<%!cX^Jp^ds7)65eN5q7VnJ7A
zqU&+4=^SAWiF?*O__XGMRoDclS)zAZHa5*R2rGD;z}l|syU;0S$D&7vrk3n0NIlwW
z+QpqMDt;}|=yAc>3{M_D@hQ_TJSf=u@y-K-`}v)Gd=VSOp1-TB+VRQ(j330syZ^pD
zNpZ*i;|mH5JPs{9-QCF9u7C1uh$UmIcB58=C1<O4lWxRLR<73$5^L@RaVJ0RaX))>
z&c?mb)pMt~AC=UsT%`6((^8)8z5guz`15X)CO_MyG{<Ceg8JDT-zPu2dSm^wKJ&|I
zGKOz2Khrz1Z_V<vuF6N=?aDOf5;o0sOKe(pRzv4|X7Rzxjn`gp<esY-zxFH7PKmUt
zny**B?0BHf@c+T*_rIGKy=1+km$3AJ$&L(Lc0DQK2TYsqC+%DL{%ZUDUt(%C=bZO8
zc;+uOzPDG}_+GY2+MdwlW6`N;d+t2Y%=mxp#yz&%x09-^bMpJ&%5JdTx@2{p$xhox
zX&0ZAz1|kQEzi0|ZhhlqFYBFW&m_f0y;IxnYss-lJ|sT+ZO#WHn<>5V%~f>~@~Z+%
znleQN(w|FMa&xTy9RF*@zeg62{LcC(9I;j1KC>f!;XGzl^+%<y7J}*r{f=zRS9`Q2
z{_Y!>IR}LcWend6f4t;>ME{lAqnckWK1cem?0ggWSMukMWzWuPmKJB-o3n5G%r)`p
zWoyOwo;wvQ-AntmZ^Ox7rRnAwiHpU|3LjQ&p1pO0D|gYEuj$*f5@(BTD_(f_&3_JE
z9U<l=bNko>BC6yZ5;No&Tw81k8smOf${kQS@VP;S-y-IaWl7zPwsWt!e`Z=N_**sm
z@<!Qd0<TWrmAsK##N;2u-hQ#W>`Z~$Hk*D+?%UQ$)#^Ftk8P`MxqGgN@9?z6udZKv
z$>(2NB=}7!rKfm9?xbT66s#|^3Lo7nYWZx#-9}www#%%O*_=5hAKQ7uD1T0S?j)-l
z>HKofo%b7WD?e!FG%;IG%2m%{^Vxvi{fB<f*v)_8_k-R2cjupKWm{qMbX%cFo%4s3
z{VSv2UY_3*S7;_Ix-UsaRPCUj+s1sg#aravS_@g!77KGZhOesUo~_-<6>Ap~uKhg3
zje*lpY9qskM3W@O*PVaPr`igsR5!i6y}#)1;+(g_z6)pWQi?fwgy~jlc!YuW%m{-i
z$C$#5E~@VnVzM@j-LpmQyJ)O%y{qSj_`o-_*K53Oj}MeFe7on$+V3+%;-utdO;5JJ
z<d|W?!fmR#n)jzkWoDwz6~0(8PaWA6I$R-lmNcGPxx-7WHz0LkcP-yF?Uz-1m&mU>
zQq&@JnoU*AoM*L8L(s8P>UM`tsq?7`uy3*8S^k7+9goWFXUzia=|wyH4zg@wzCHKG
zvm)8qKI@wA=FNPUV86KM_`xp8*W$gB$x+XWyFVu#xKVfN+S}R#5vF?^9H$?6@@DNi
zj`Vz$8#krDosG(UGylr`-HGuZ7v&e+&3X2%q4eWhMx7bAnIjA)XNjEwvE5H^NRZQY
zW;(y=bIIlMvcEYq+BUKr%BzgO_I<P78*c7rZgFB~diSVo(620e^}Fc9o2GfDl?8v+
zcnaOfl4i3`F=&<*GM3<OI~ZXwUyxgZ?R0{Qy2P6cGR?BLo~xI&vVJa2JXjMOVIVKa
z{h?Q~r{(UUgui@os^8fwrvBic!19~DqxLft|6yeNK0n4Z{llt_jzVj|rJgz4M*il5
zf7QchpS{CZwDm`s08FByqgH~a<IAg^a&flr6rtthY`LWRTa_iD#}~`?m)V?Zt*p4>
zE78A;^KsyIo{Eyq=d`xH_>#8z(+jhw7vCQKtg+pg!Sg4>u8>0}v4;{mZhkp&bmL0r
z{V%lGwM#0SMR%=R7+>PZEGW0CtbOU#*C`oS8MXTz9#)-NbDdqy>cdmDTy@WNvp+wn
zdSdY8)V1eVUKqZ*vGG;E>y6hs9Es&xhw{Q&?p|}av6i>x?j?sCi$xO4`*;)m_rwb)
zZkK3@wcW^Zd;ay<WV6YcJKW}EDjYobdPBYu?-uzqsREX?vY)L@wf^fQ13g__ufJ(_
zOJ#9()tPZdgnQP^Pfd#9LboQrPTahDlia50d((ei5a^BwDEi7b;Z5Pz{FhOJid9a#
z!{k{jBO)A@gNd7>Vppa*ZR|<eW|OQNzq?j`z3lgit#0z3-wN(*FR4iB{GFkD)mQG`
z_KW-Xtl;s!BQ12p&Eb~&miK1`3-co~zO+4I3C_>BJe&9By$v~4&WG|GpG}czczf*5
z`NPM4Ja1(A{kfs`^Jj<O56?6AWh{ETY|csFjh;elJl{x9-XcHih@kI|yK{_pTAFz_
znReDDPx|IO*&^xI$FkD)?ZN%q{XSkZ{c!E+N3m9h{J<9<%(}O0=*Z;9%H=bza(=AK
z#dvQQ=iz9PTaR=jDp)GGS4}NzJAC_)A4h{L&$eQ&ZO6~BZ{s`SVk{~k?5k2BCcMtL
z<uyZa&GJ*d7N>aUC0*IOanU=&u3dQ#-lp)?O7`sH>)9su+~}3u`W4p8SM2r<{2t+Y
z_rH`}X+GDLr^V}A51n;-VC&fU-G%kuY>f|by3BiOc#Pls@_x4J`8=^oZ}R)A&Yx2K
zCw(|=C)0b^a%)kKRppvlpFc!DI9&ANV!(&jpSMpv^xl(ezj?rqx!DKapOyX)-D_W8
z-R`dbpgdZ>?t5I-kGK9Gwmng+h<?N=$640YUTb`C@!x``c4dQu+y|<%&po+zLxuC2
z%C}z6Tl~I{I9OlrJDF=a`F7G|m59kV%+)gOO*)kmie9v?t!3Z7{rJYWhJ70^959?D
z%ut&mEn{ueo2(-(qpa9?%6;qmvw^VK(~4j2o4;=IJKodF%vDqZe(ri(U3_<kxsFMd
za}m?j_1Bk~U-$mrmU>xQNR4^w`uV?K@2>lE-M#7W>%;qgzFz$I!+L&qlfX*%b5Ht^
z9oM0z_5RGvJCd_i4$eBV&(QPtLQfgPw=4FPx1S2`KXtUp=%8;%nQp+%(iJ^Si;Z4v
z*VS4)!7Wv!%`KJTn+sIHfGNZ+(Q)PjC6}kMU8lB7uub(33$d$H&=OaZe8Z5yb8@>3
zvx<1z1n!szTrt<UVor6nt_$5aRq3N<*OBdf6MvXJT=ev|7XN*{!-rcBeb9B1u&aA8
zIZB32S1IvZmU@{k=Y2oVA7);Mw)0*-usuj(-&d!^A7WgGw}(sE#U)luKlDLYw9P+`
zOJd*4%|ZryN*9S4e_vkU{(M=1`|)54p*JFYztgzfe?JuAbJyTmZj(8gbKdN;I_dMe
zryFl(kXg}_aBcafglVTXCCn>3*Hn4SlJo84L&u-Yu~jRZ#yWXb>cJ&hkq!B8bhx>~
z;tbABdhpZy>6@O?Z#TY8D9_=k)G<-$f5Niq-U8kycNKUmb)-)yD>kk%pCh}Y?^oML
zt1Ph#dfz9qZcb`wJ+^m^in_x$x080)&fZyZpRuf!we)D&_3sn;X7Wt1)%*UtAm-0+
zho--^2loA}UGVqAcSd&;$8X0hC!6>APD=1ix<6yd-wP^z8BK3|i`4RkP$Nv?wr=LU
zx(TJc6H0Z9_PH{r1kPYj2~;>*s$gBI(CrjGf%R)2L(0MRm(I;7+UIa-p`%!9qQkYu
zq9084#d9-d)>>3=di3V4^PEzLcD0N5;yS<WXmok~Kvcaxe_>3u_tRU_8rSD7i>ZFJ
zXy>(uH(j!?n#BH3n59><!6V$}hl9A)47(6te(v4rGnSsqxjyNgtjW*no<r|4xpt?g
zB~0&6yl2b2=k!~HPxI{LKh2x!bnmk1|7stPz3EzC=dHU^-R|~pdxXaOuv5F2n}ylQ
zFW*x=?bAEn=}qPKwS{MP-}bv%R`K6(+1}Jo5|{s#eEh-j`N#TA^YrBIyPM2=y*XiC
z^kJqIeH)uuKi5TxHL&+o>M;2Hxc(p@=kNT8jXRci2Yr6aSsvaJdFQK=%g)%^8;|@p
zCEVy@J-kULVZpJBo>}{BCI5#XDLU&Xrnux^xcti<);bI7AHU!;eQ#-fbuL41zLm7z
zvhVTNXMekX<n#5Zte>q?#m=aoZ2GAZX)v*&@XPcbl^MU&0+0V_in1=<yf65Up%~A<
z%?XEeb!HTBbNAZsJ~%h=y5yskjVBv^2&Wl;e?3p&{(A4GqtS0>|1RB_|L*$HI^l=@
zbI#tGSd&!aZUn6arDq+h6Mo=->g$IkJK9wuns1o1ZOpIg_?vnBd)#-Ihnz>hAGHbG
z!TIH=!-D*#3gH*CFWBop62B|lx^%;a2M0@R0xKp4vWU0|xH>4#<#S({E5g`XBEs0Z
zEs&)}#wf6byX4S`$?o$EK0TSZOrm0jp0D^vo7g_3Ico!3ii6DE=C>73UitCR9l5t3
z=0x!+&N2VMc$n|}vZn%vc1k(jSf|+X`OMl&=?|u~vI=qaD$Q9R*ivlTRiNIhbSH2z
zM|*}y;%^Um`!^r{#9E)W;fdx`pR=5?P1*EGfjF;0%mjXeIoIDc9&zW}vvL+Q=kZnT
z)?cSsZr#Vseup8NPo*HB(V4G2^2p@Q@@*AHALmL1wiio#pF4lvUAC<6=gA}U>)egM
zZ78j_|F+@zrf%CiHsaMgD(57nF$#o89(-~*(%@%-*qO(BBN#+`n_sW^`E6r+^pjn6
z-8)|E%viTMVb{5)msZ?qnhe|$-GwD{XXVRJwAkr;<LQ$hdHG^Kx8||(Fqcni-FQ@U
z#m{erUD3}TiEMv!*}8t8&W!WZSwH{WlwcPx_T{tgvCHvBlRAR$Xo)Z15qe+qodma9
z+zF<tQ?khiSrQ@+JkZhcbL7=Youj16ez7IE=weIoqYNfav3DEuAISYyo7GU;tG49N
zjHGAxHz)sldgjl%<T}lgGxmDV+!KEq?3Wh&ym&*vGkdR@^|Ousho%10J@seyZNvS{
z{Fg<zKAe0~zirb0Z)`uWKZ-34D>Z-mf4BDk`_4cA&pY-1yOinw_!WQZ^Pm3zt?~c9
z_s{?9PW}HbYx;lw<mdl4PyPRX`Jey)r~LnKeg1#?Yo_L6BSi+g+7%iO3l$rFZ>`W3
z{B0TbZ@tu?`r~Z>!@ZCHKmRYK>Hk^nkZ?cg|KHR1Zl8B{jpyFdJ!(@~tY0797dhGM
z_rqBsGL4n_0?|9pZm4{J`$%QJ*s)`iuhjgmIG9`fvFY}=!^}OgIt;6{lqII59bD2L
zX|Pa8OiIRV)w<x%Zy&R6m;N?+Pwa*T_qmf_^O@eaGb;Ga_^|)h_1oVUOZ@q`G2sxS
z&Wr*E?q6qhk6rdOn#93=M^T(_hqL^*oylN{Wyv}--m7x=p3f~v&#`Ebia1r^P(R1-
z>|dsNQvaDICDb!+%&%k2wEx3;MDT1Y_wuu8_k3%j{^TXWnl<}oOSqd%*PAo%_0yMy
z`kouqHs<?Ws=MJWWB9gPJgls-=c&vI4XMVSq!|uuT(Js^xl;-hWlt0c%b#$_S(K~5
z+#1Mcbccya^80m%W6UNKK03^E3faqU$=1E<d%|_SDS<7g#pMn-^4RT{JX*2f9E1FU
zK%Q+U5_^7s7Tx>G_Q=Q9Y0?WF+^$xykaksBeB#b4{W_Udbv;HkfnVjG6@Bbp^}XOY
zW6!GZ9LEKFR(<z4uGq8cyT<g+L=mY2JL=UM0t>!0v9I&};Z$?;W$nz*a&Hb8njg4!
z+;B;}$mxWBahWqWtmV(_uxB^^ak+DmB!9;u$v=Bfwi<tvE4Fxi^}a`o@ixE1MQ#6T
zzV+Ox`PNfm=iM~-eA4|h>6!L(&OhQflU|+aAGYji%@zgK?Tl}X*@a)utlhJwCxMAe
zr{Sx_ornu}Y7FI+RF&m+|K4k<?Y<=aC})-!PuAvyjw!60Uo^BHTPxdao!mJ^Dq(r^
zf&;R}59eARdnhZ$<F+~B-J!&TcUliOMQR>&YF_RB^<cnfyO0ULzUlJzEPui<<NcK1
z+53b4T=#4Gn|*xW&+O%YKdhH^H);I&X3ojY$;fS}WwJANpZQ<J@+`^aK#WPl&C&xp
zt$Z^!&#X63JkWDUgt7Q)^_J7Z#*Xa5#*V^*#>uZUE$%CCc79cJ%>4N0E!>q!F6Yw{
z8=e(cN;YIOlqBXcXcWDFCugoLZq+EIs3JZi<?1^7YfBY=7WyrZ;W^P?{(0}S-5CiR
z53_G@Fj8YLORDF)EqkL%N$hxjN3B{?QK5`WjHck_=^dRv?s**%=bL<A-dte*zsV9E
z+vjb{4gMcl#VBxHcS)Lyq~E$rpH?(js6Jn~)qc0F`XZ@+3s<CXfBuEBWn~*{bCQmP
zNb<oH+eib?Y_T)m7d$fNC)%4of3tJrW*v$2NP}~m66T~Hv^d>VIIZQI<;TxTC%&GW
zcbz??Y#M8^v<>$QKI_v>3(LiL_HJ%hQLp&@=Y;4!ohxVO+|O@|O+2_jo0}~*(qPY7
zrkdnBHN}JH%g^;5pZoY@!oePPZi9n<8yNQA<P`I%n|r+ZiHqAGy+D(r{l{Z}1qzk5
zvI<8e9%KoN2nhe9Fk#P>%(qi~#P7E3nR9(nd4K<G9i`m!^Ve<sbmwXKJn@w&Ig{;g
zUr0N$(RH3~U_@JgzjI<!fI#au4URk+Rjx%F3PfBMl}^#<aO!AU{^(Qald#(lA03~*
zqxS2j-ShRB_D|7c+VAr!^F__Oo_VMLlxN1W?3@40Uw>+4)17@%s`IZty+8fZo|kpI
z{`|hQ>(6hanv;fq!g)CBb0#Kj+^{1~H|UF~nC1(v?)Fu#^8U}4u`$Q<8u%Be?TdSF
ze{rTn_1^y~%V%Cxw~l>VTk6|mcQjRKagD%Jx0{E|?u!{JL@)e$_s)^P4dt>qvsrd9
z-=2GqUG0!%PXEDg5jiuNXa2LWKlSAJ8S@$6xEf*^S7|$xuokRiI4#PslSw3;;UU+D
zXv1yphwgsu=ghf%<M=k)X`I`ZJ~6vf;%IXJ>3xQmCch&5Q}jF1x9QCAG~=EjYpl4j
z;ilpF?VcI_9_6wR!tVZxeZ140n~gcbfakaqbGL_I!o|Bq5hdI08a8O_NQ6fitlN;l
z*3J6kn2UoGQ}?@hhZpTW?zbUfPQpQpgH44^tQLvKo1d_V-BmW1`~G74>2(_tViFJT
zIMfuWai&R<U&zWSO3CTgV&x?()t4+%R?#eJxgsbeF6QPD`hI1fetGEo%)a%LLf^mi
zyPRsGF7M)5^RLH#ewt*Bo%*R4HEK&wFY#DuAZe7@t@!fNt{qdPBt%t3KQBGembxf?
z$Ar##FP+q?rd@WCP(P+u#N~3n%u?ae;u#$)YSomoIy*aZ3<LwEbvsw=NV#yq%Kd@x
zr3+!7%bq-)eq`7495wxt^A`F?noe?WO!(xaBe5*upv}ro*3WV(Lbud6zMES7Ee?_V
z({Ad_P!R*AbZ@6>m)!=>-?Y|---s0RdDg+&%mT_J7nbyjpE)t<!9m@pISoPI^ZvK3
ztp1*Fo*Ew!u<a|~ggFXJe_z?WRZTO}yL9qb$E@bwO$nPSbP@z+c6!W^Q+w5ayf)o9
zTjjm<`iUwB*G)XKFH+g__d_X9nL?(w{)YLbk}F?6U%SvKC@*Kv(@%SzTHTv;h~=Xg
zm++Bjjh=?>cbY1@>-aaOo?CRZc}`E&f~0HAMl)Q?L(V$v-5+$Vcuvr@;<<Y6HS;b@
z|C;yyP|uaUmdc@D;~t1?&+wIce66)BTEC=9UtYKH&3gZsGpAfOdWJiiIb655a1pnY
zSpHh}cd>@o7O&z6iRy``?=U=)@UXOWbv|m~cKEn~+l`schE}Ka1Ex&-6I)%BxB6?R
z^@h7I=X!jaQIc%v^Xx+}XPEq>*GIegwPR}{cz6CN@HtZUX&+~j@%j&Uvu|~*wKv`q
zpO;p#zaq_}X|80_{WCn7_H!g3ah%~{mikj~nf9~ZFkxc?LpSSW=H!D0+}yJgKQ$$a
z3EjH<p#J%szwd8EiY3gP3oV>jott$Q9Dbw2`8M;zzs=0TW#8XFe}2PRjA#9(ga@-)
zkL|LZB+R*+{YhNa?*_Yb8?|*-Xy?rD6+0DG;-Mt}{lFdePX~T5Cmt-B&U!gk>`ZQ*
zPKMn%?zCP_PC1KU`4c9M4^RDSn)~l}e6Q4R<_%p_|LHey{ZmiK7kiW6AZPrxVn=v;
z`)Nu3Zq|njUw;VTIxWe6_s7Qzx~y`G9Nsnvw;yz7*LsxwyOpcc#dS`Pa@nGva)%`o
zm6TO{SFgI)J$I9mta-Cgq4?oP-Z7u=ZJoX=#LrJEAwuQNlds+1!^3J8tc$N{SoG-h
z9ge_>I+sEP#a?D{IQw+*hXmDFZr9z`u5?t%=VqYyG?7e^nIfDEW{Si=oFbBWWYUs|
zj8lDXb37+TJ(?nWGa+ip=k{f;MJn#yPi8H5HQZH@l=7WZ@~5@I%riT#J94JoxsZOW
z=++EFRsOxt3{}tjn`yt6pTL-L*g5EY<V%fIhFK4*j|%sO+bC8Y4Zf}wcI(;Juy1mY
zF8OSdGWC*RQH}c;@<_CvdwO`!<wX+9T|Z`9hi^3cnlWk8atWDJd@{@AWV((`HnXwV
z!Db<%dthONfQ3k9fx|-P_LEHPBFDJ-G;|~_78r<FgzW8>jX$vJp7z{DhgZ*8ba?e#
zwHe>Siz<3g+b9LiN{CvZHbZO$=d#wn#@au+&KT=;bw+Lue-iAnzO-zuT+Q8%yS_Kv
z%ynf&(pq1n%oo+#m0J0*<u6;fr_T-k_x?9ldp~K}Yk#^nW3{G*tf=2X*N>-H?MX`8
zyy!=2!Rm<2**_z0R!3+*f3f0%WnfS7B8lfNhUXkJ&$IKN|HOE8MddT*z#6HO+IIa<
zMCW^a>WW|WTy*~TsH0xT*(V=m4?WI)x}ASkXKNq-mJ1&pkF)Fkcu?y1^TAiYpAUHb
zem>~+3+B!*;^N3Jt8}R74tA(m9qdps`|{TG{BlXB6|e6-w3rz1_1?>pt2cMgStfMv
z?Xq@>jG8xhZQmEpQd?l?vyAhJMmOJ;uGj##=Vd<{UhjBt_`ccE9~)95?_b@#={tw{
z>|Lhweh2zHu8dP(IyLl<t$Li{!o0vge{JFpPMNWMQ^2it52J2Yr<DEkHGQRIt=rXk
zzWdR1-pZpEx?P1)BF6vQF3ro3n<{sASLQ0txnfY^$6GXBZ7CP2N-n*A>fYkyV~gf3
zQeDMqD|GaJ-V@Qs6E#l7vHm}`K56g9PuZ!q&T<DTN~=TG$8GQpJoDXGq~hRWg|2l!
zKP_h1TbO<E)V<`pZ}xl_TYD@pG2hj2i6+;dr}La=&imaR^hsoML^=P3FPmq)j_~1<
z?dCn0v^#O4I9F~YkM^NyQ;xp)F2H`rcm3w_>$@A?E%cmKo%W7t(eI?R1$F`RJ-;)s
z8b(>TUJurp<E8Vu$ua6GYxABJujO{Fcs*~|iq~;oNn76JsHmvrt-t<^KP;Z_V;P_8
z%e{FXW|NEg4qNV-^SV|q#U$#@vC}*6y*Jrh9Dj5R{|=dD4;A7rxQflVvO+iRf@|1}
zYb!dZZBes}5$bsB@2=jFYk3D0=oaDeK5tb@`D3s7n?BrBr%@JZ&s`U}pDQiUo;%`-
zyuic9k9TgIyYTde7d12bc+(#(nxWFBk(Mep<=d0*EsiG+@Lf%Np<#OFTxXKn>qRrR
zOwdr%Wm#(b(AKbfX~<$e!`mH69R4EC5{rJ^j@<L;efr0){R>k?wci#;`WNNOKiVL5
zJj?usV&DZ{?&u4`8@@jI@%i%!Pt%72ok>RNi!z>_saCYwlklO*$o9ci`31{U{$E(B
z_Q$zB>HmdDgZdXbGwe&mKD65%O}Kt{@8&fJ*9B}~et4F-EYC6Fc~ryP*9ZK#gjvco
zdc@xx$jUv)*BaO~SDL4c@Af}e$^S34BEH(a_)@fMuKwZB_s)LCx$<RPmF+1C{qGLW
zX#B;{x`$os$;DX7Ik}6khZk<^&1*cFdi#qY|CxlIitpFdU&Y=~urdFmYwfCh_t^#0
zU1t&*B;I8(w6*T;-%<0a_g%Hp2Ii>3e{UE29qe;c;Vf$nlC5>|DZMh`?EZ^8-5-iv
z|HLPoGdV)tuX4*|JKpYczIfApj_oq`jH<ts=B{v`yQFn?_Cv8Ki%9P6R}Mwod@p70
zyW##b?_Wv#r`%~vnP<iF{o+PNk&Z<H7s7px@q1N!RYr-eUE$ViXx@=@$!(Fu7NMgm
z=UogX?E`&|@t?Y~e#h(nSC=>Qb1#@BV74IQM#4cG9R{Z#8yM`;ZWS($zHI(?M<7q}
z`To<7*|=o$N_HG<6@0+PrF?+5;qZkWjZSjrFRt_7?l9FWJ0<m7JG<sgrrk@1*el-)
zm#;Bg+|T`^C40?l?I}hp`ZOO;_S0-uo9B5-BkIoA$8HBjk5)|kSbM7V^bfnYY<GSh
zzFPKIZtlCE3neDWcG*~5NPlo}VT6Fmo4Xa<y0vq-)7bI~eQs=cxs5Z&K;I~8qtAwg
z_Rp)`swAbpFP`@^aPPV0+N)>ebZMwvRqpci=|~b14!E_vYTnGbpMra(l9#(S8kR+g
zJl>+A#;Vfg$=I2+>`%b0<qh+umd?s@TJCD-*PS#6UMiSO`BqRGcnz(jIF=dMb2(s{
z+`6DoB8$&=@zpMqtBtX{e92{g(v=s@hiqOnJGI-%{whe!_~Mv2=S8r__Y0RfnBE=i
zw@W_XI4jZ8BR}<54|lN6{L)!TH{*kK*gtHQNdIiKt68Mh?)jnPi)-(_blUZ|RPiq3
zt_klP&Tf)f!u@jJ11XCTosQO5+xES%cyZkJLCooYyC%K!_M5C5U$*%0;`J7>j^9I<
zcYHBjK6Bdo-9F1)`!+3c(Y@)zJ@<CqTbW4zO+gzi{It|^-rkvf&a+`c<*u7Wm5a0M
zul;`WX3g}hl7FW*#|8R$If{IqpdWZ9OE-{5q%-N0LclHOi^ZG#f_*JQ#gF=2bu|nw
z4LlPPD`E`hF5J?-=y}@QWm2UbbH$E6Sgv7k-mg39L$HYPr9~2dS}w_T%`>m(T4Uzy
zzH;}QIU2_-14|^Alyg)i_1^iMe7fe~%IHN>TaO)9?W!}}E52y8c<Z|EW;@&0kAgml
zTn_mzbfa&X)vQTQ?!n%k!Few;xvn$s+Gcp`g6qatwTCtR-mZ^alE3Rr*VgX2b_KKK
zTHI_xzy3R(Y1S!ca{T6!|D|0aCbPGDeAPAQ397xVE45N4Dt*-=zxD2&R<ePjrL$Ic
z)yQ?NN)h2ZZ0Wmc(GnT`MC*WqU2Gi(Us>z%hm~9jyKi$?)HLm3*SUP|@PY@E4uoyr
zXK*m=nPbUm{gYpq!sBb${pTI^o;&Z;nz`pLztr)cv~bJw#o}p!%~>LX74LMq9x4a&
z$af|!@Dtg*!&ij4G~m|fi`}50e6d9XoPt2@2XJz0yELyqZcgc}tShc%Q6d&c{JN9E
zo^*onqB~c@FYuO0c}+K&rE})xBsbY-B{P&;`HH6r6$eB_o$=I}_SsW8&Qv_5_k(c0
z=JVZp(@j=Y-7lVHY84>AuOPs~;(od1p_Ntk2~*Fj9XWWjTV+nt112lU-h~y*PHaBI
zKR2zj?ukfR-5Zg#x<{W3oZWBg2yRVrY&)0BZIttOl7rFz_YVzE<}A_)oT)OCXVZ=?
zF-xB=Rhv2e$myp)`d409seARc%GOO?CwV%PCh07iq0+4}<KL(I?-$<qwSNjzy&CI3
zH?BX8BFz7-xMJf@38!wkKW}lI)cJQiZ*N^3vbgV)Ku6L97m;R_MKcryj`B2WENCg@
zVZ4>^pQ?YFkMU}YvqG07Q{Wkaj-)mR5o4A`J-Hkg>Z*@bSC(<CP?JHHnV~3<n&aH?
z%{pmLNYb7a%5HYGP8}aTTGuW9^}<50EoW2wWX0KYZnkNyH{Kn_-Cn%VvnSW0I5DL1
z?oHmP8!K9UV|Ltolct+<u}P-*@6EMQH<q=&jmc<V>7J1|agWy9k_TOfjF_%-i$qpB
zoj&39xq$Wh{9Q6TmW5gWTXWBnH$A#$sk!CZ4C&A7D*sgOEw(zhP2e~8^yV8Ov))bj
zQ~bu0Bi^~Gw0nQitd+mFKK6;d`n&gX$ld(8i{8F}b!nzWf4X*hVzqnD`<AyiZ){_a
zx1Mgv6Ww;_zP0Zo*#$a(*q7h=?LPf^oYlo5{kf%l-*o>5aW}u5o;jVfe7TiT`(4=^
zM&FD+82vF4G5#~JTUYr*<&AUPhn^=_r{}zHdVA=?52l>iB5xRPKHiX?e*UQ3YX7HB
z%oF7QZ(&i8-#CZyr|3uFyE+P+Z+~4gua7IzLF6#gq8Y9=iyHWj9c0SbZz~c%=cwW;
z&O#57W@m6TJ$dP3SZNh_%!~yjyWhx~B}AC7Q6r7R#Sk7}M%xq}e!pG#BlM=?f>{L!
z8Tlp+wk}smX_3W$`MRt%o^7_$a9h~?h_7qs1;;}6qg+Spiw-+{oFB{875}m*Am;18
zM?sc%)kH4)R%U9ftEx|(db`7DcY4BZ4-Gw$j{nc3yu<&$o6`ROVVOAp|I3+5Gp7AD
z68L{wQ{eyYr;RhtZE^5PH*K5|CBfHmbF$@|_XoaB@;stn<Jr`~AAio}&#N#OANN)T
zg)T<MKpu_`qirUJxAOgutUtL);OdIkXPg$zu>KA9(?Q2Tp8y9DgR2&83~#rqKf0dQ
z-jL-aBXab?0+_cIlLC*q9m&{lE4W_|XMo5Gmb;uuFLmj0az7#~SiDgAQD4W@j{oPM
zcI<fd_qe8z{EFAJ`Mm@`HlMN)wBM2Ae&mXq!;u=T=bii=pN?eY$hiEO5EOibUstqK
zK1@r<e$Sm)k3Stj6K71i)^ns^N3>Hu^x4E2=eBtGq?=Bh5hWqkc@paD&LiskG~FKc
zF3&OMmwoH|=I?^Pmj7SJ+WK!O{%8B;;>QqSJ1e=a+7cV?XBR&TteQQyWAY1sw|>jU
z7PAX~+bZUo{pb7WaWyV*uaHZsXfgL9jb~ymfezYdWEah_4q3Rx{h9f(4M+N}`3Coz
zokgxb9|&9Pc2!F7P5CzA_p%=oP0C947MD!fb^2UqlitSSMHwE4ty%6Wr{DiH`|<gy
z`X;5`A&YfC7IZc(Oz3wpl<Q2g5n^q2zP=`O%?5qO=lfK@ss8E<to>2gnPjIIn9%#S
zo2mcDV<FbR4=y$u*_zyv-?TlgUTuZipP3Cw^=c6Y_QC>(=5yMy*$bD1es8-!t#&Kx
z)t0t}5r=go4htL#kN#k2U~|;v?(4j(a|0H|@z{&^n!j8qu;&&N>%)6$_S+Xe?Km7e
zuQ#@Q^*WJb-J9|}1F!i?oZ6*!YF^Z_%hQ}1r#(AV!?>=hU+?Fk=>J;NxHk3ceyw!r
z*EZex`-f3WgjE&WQuQgn9F(%U4X2$;?zy`_;<Z!5($Aa;H>HH%2z&d!3i2)~e0uF=
zR`}O>A&)*iE(ra^^4eiGcSA(x>~&3Vn45JXm#voj-sm{(*SqGocW&%iQ6;hM`k|lx
zdWWMc=Lfy&ddBOu!C!Z3*vW`VVcgEETKB2X-F2YH>$z;}VgAhTX*_22CS}hz*dD7c
zUsK+-acbjNw|(Y3YkO8VJ6>HHH_7pE?V|7hRi<P-$Y0lf*NIy_`{A^x9aFbiF8f`V
zet){cHRWGNqWp9=E_-6*zwC+4m8DP2Oul}rv}k%Yl|yT_M4upQ%0U^$u9H)`k~Z*r
z{XTNfdea&&Q^lIjqzCShD(r!~$l+CsBo4G0*?yQjX?ncYx;F<BUmaX1@oa8|tJX?0
zp#qIh4;q}<`I6b$MW*q{1k6w<a7dIWSdpIPuPrJ!FHXzGW^qVGMcT>_A8M9=_+XO$
zVBv)~*Q3tXt-Ux~W&N5f1*%Kiw575%ejK{`Yih;OrX34T*qmD)!NM%^@X!CqrFsVz
zp0xk9O>p<@4ZJ}iE4E5%S>1fTtn25eAnOfxJKtWsaW1i%XWQ{4Y2T0CiOaqpb=vHg
zJZta$cfp-EcUS&<q5ApmjlY@itRJ(^KKX`CcBydiymMViVmVz&&mJsFSajxcq{iD|
z{r9uj#a7t0um<{Eauk`YEOb<7h49e>OjEvX`0!3gCjipm?0&OFgH6oEaDzfuBWoa!
zj>F4@#7zkcs~f)=HL=c5eUaeEEvr+q!GK36=1Jnin{s0F9w#;iG$lUVx%AMX&!^OM
zt{4PnO?$|?G)*!t;#D?(T*#~7(72eij)m*0Jy-r@&1(M4t*>jk|HG!DC=RB*ub5dr
zi>C9h_<izCqs&!xPLm|@oflWi`aJN`Gx#`ny?J8N>u*p0EZXrsMSWYy;>+hRX{9A;
zcg?)fm87<9(TvWu8Z(SO9})BVotpOktd+0n!pn<hT-d9@rs!h${Yghsgu6(yK)|id
z=YDVU3+~-@!mm5YEeh1&n=w)BXwMc6HpK;7p3nQfoN;a5tgJ&2v9u+wh8xtn);WFY
zbNasK^7j|ahUbI)FA81Fxcz3LMw*1H;ZC){lCv=jUq4x}`7ht9S)XPsTva{u&KKvY
zuNQc6$%gnEhV1lgSmkcL_d@K7>kq26gZ5s~4BC4jHBRljz;ewQzq7fXG0tkLT%dHd
zd5+Rm@0cAW-M5}Djn>x}UCZ%~W9<c%$yc>)BKMxxowa7i!_rres!D$)ynn5z5qO>N
zYaD-T^rKIS7V2*6R+Sg7{4NpL*1fYk$Dmwr%d;KbeYalSey+o}HSJ;dxqQy}M+Yz7
zn18rzC)17I`Z)|6a!*Shu$ys|X%*-5&5LF{=k93Iv_GHjYWTgOBk7Xjq8WR5r+j1h
zws6hmkj2MtWCos5i4i$$v`Au_;L!~|8f~cywy<yDPoKMN)&)?P0^T4LJ8HqZ)V87a
z$k}yX7H9i56~CEK-gGWt@|zZow(SdjYL!;nd&!r6ZMoWaNv_J7=W2`j5r>WDM>agj
z?+842hwt#AE%*5@8(!U%w#sQHSAnV6vLf9Xr%HWPTl-dS@@YM!G4m8}npXST{b83v
z;}_T3J&X8I;~V*E>e5YD6cxP%f1Fw1VsJ!K>r`c7+pEx}kFKotKDv_Kx;yxQ<RZ-n
zJ{-Y!)Gl99o^$C+_9-^soe%Sy3g@&f?p6?%jr}`AZsy9kW3{DomLxB$%C%Gp7Ok9D
z75h>?IYP^S@4l3IO;1()L?0$uWG(3rwCH``Sg8BHG4Zu~ay?)4`JhMVlPXR<>=wKG
z$0=TQ*H6|<o>4n?im~1Q71Yzab>6@B8C%WfY|0IuzxCT3vum%;v)fPG>o_ax&`$*s
zYx$IecNBOP_c+e#KiE_7Vr!-5PTu`BHmCJN76<>kq@^aV+;#G3M^cgDq8aK=9ZZW7
zOBycCi?_QtEj}x`WR2BXzRpEDkLNbb^WdvBs++=m{LGrIyF#tJ-fl<@<T2@PdibbC
zU<#)X$JgrrE8lFNmvt!LR^<2E^n*386<h9C*|FXK#jxLQuBngd!bFX02HeLCS}qvK
z8^2}Pmd>6K%%9-;c-x{yj0qYn=an_27@mo-BxsbJSAN0JWA~Kfz=Y+qLKw8qOx>5U
zU^=tXg;cQ?2L?6=k0+ZNl#MmkTo1YSaMmd+r}t`B5%1M*O^B3^NO`ALq+E5)!Elji
zqp9tlg=dtnN}n!>d@%depI%qi=SvD+nZ0o{7cIPh+acs4gT}{J#jZUc1XOJ!7(Cvt
zRVcM+7TG0LcqKHdSj5~yZOX3si{1CRYoy9mZ7-?{d}Vxdr|i|1Vrz}EyyHzXZ#!~4
zFRSTU6mtJV`sN+Zri$-6k_y~KnynW}+z~wbpihIXe8Cp~o?1P&35+|OkGEY=>^ga+
zGs&nl;FkJcyZNyP+)W>9bS1e3iWqk-nh_|nbYlbmF7~7Q+~ZH^Jy<N2JKI+1XoU*b
zo^RJD?aQm+<+{z^@uk~YKvPz_&SlXH_b1idwvJ2UPkoz~btU=TT8$U>-@`;yL*KW)
z_|RR=ovQhA%l)VN>Gg&y)czc8NUArCFxW34a44R~j%~k0?xg1UlfRrz7upA`vDcBP
zS7`b7!SJ%*a&2p?xm8wQUmjGNFXysw@#R$4<#~lAuiSi>Yxo$?wW_m`j9v5dWNFFN
z=jum3E;?;KUHNH<`GjCud(HmOP5GK%CErWCwXC^h6U+EeDE8+AmDrCD%wnG}kncJa
z+P3`0_Gu4=KfSnFH!Wpl_#BxfhH0~EqgQt8-jCxu!*?qEh@?|i=I-qq8Fq=v_Z|B;
zXL~)*xBjp5A`dOSr#q+MX7xGIOW(8R#VFQC?Upa<zi-aCQ+k<2{ESKM=bn9iJ~RF-
zllIk?6{=l~(t$i#ok;=SBFt+RNhGvhn#aF?8m#xYStCdU6$^R&Uh?SrX1`!x%#No~
zW4Ffd$6tEiFrQkfrXsH~ttP_Y3A192gQfgpm22jI&gv8e`esOUnVxAf<WY3dvs*T^
z^UwS>iK{qyoj0~c8nh|4wEdisnZ8#~*z)h1aFdAj5dyCl8koG^nWwozWLeMG014IC
zJK0_@G~iw1Ud(pXHmd354)<<L$?qA4>W}4gpFJsExW!>|#rju!7xRd>T{1NOx2Gae
zW3Ky!<I_5R?P&dXC}3UZ`^}5RBp6qQx;bn*;_aO2`ux%KHZkS1kzzk<o?4$ai2u1R
zi1Yp3rw)g-KUsQT?|aoX;l+u2hWFH$S$#Sd9J^6sQS%`O_xULdC4UUvUEJqCey6^R
zd))*DtItn9KP}oG>y_tR@_+VIo>iQd&LW2;7fGBGI9kxA@hnX@kmsUfrhm!(Q)z!z
zxb<$lyhwsa^k~8g4K`=j%#=S1)Q#%y_f1}OC3uc|yxdkF_m%oNks?11O>;G@)Ys_L
zxnF)O?PtyH61N|L9ZdZ@+5^-Ceg+lIzH*~{^9~nNMLoxjJB~CRROL|A`)GK%&-?D&
z;?o?{?>q`h*5K*&)+kxIQT2eTh*+QZZbj3!LnaS|{t6|%*8k;NasE!V*V)$C?81ze
z|I>QzMQcf3^qG0GV^)&UqGvOEUYs*kTl{^h@~J-2<YwiMmzXX&$8vbQ*B71e;^gno
zOp~@8c&VQ?J8#nC;&!h_(O%YhtxiIfCPf~L^v~Hu>Wh@wEA4$M<?FJEUuEW_sdMk2
z_WQR&ZO$LZjg#%g&OG6FviSKp+2AL4SXrHYcW8)k(o~H!-#Ho!_@A)^o_Q?oYFJzu
zc*e;~<864^KLw})w$(0%5vpAWwF0FgSnqz0zrR>MZMs;HuSL(I1Mc5EL>^Dncon#?
zgLUrP^AW%8Pu2B=2={epq`9~leseXHU##(=!`?0MOt8hmE&j6qEaXg1w{B%z#n0{~
z()@AJ0^>I;G+y-leX&42to5JDKck=heOX(Y-%Qj{+n~^;m>PJ-Dm3tcX?;(?w4&Aw
zFTPD}_GkYo1p5AHW;yy#VPitw1cerRj~XB2>>rykQuXl%d95$LByY%0DA=gLQ^tKL
z;d(0XQUiXr-JFLLMBF+Lu4_D)7iBO*?@G<gRJNtr=E7RBUuL>lX8&jmIyqy7&PJ~(
z(lb8t8b}5+`y4xR!spl(5p~{`n%&2)FfBHeJ)7Z^do;sG_rtD0^R77yH}_9gY+Alr
z@wdZt$F#~_zdp{E<8$r&P@@sE?)=XL&Xlr02D8%qJ~}U5CsR8wIOku%${RkK*BEcS
zsra+#&Z0Tf&**o_d*+DA_Z|Buv+a0=yyX0o#{K6n?QT^zsXou+JHKQ~#uENyA%$Os
z?y3q^+476R{dHWvKku+Ve@<|v+8kx4jm0ivXJiDOEZm<Y8@QiIH~RhWkCJzM$l{8C
zsG|xk8f;lEhC4k2&q#TIu*O@(U(-Kd5Wm{~MYStYHqa+5K;)>)dzmjR7XP)rHN8At
z?f7+}_@WsPg^pGza@nLlYMR9GJpTB%#eoZ|ay#F4CnZFR2-^v`Cd<`{EKuLHY5z$h
zjjJ!h8*PP-x+H=-e=8zHCa-OsbmH1SvvUHs1wWd-T=8MILf20bm&_ifFD#w^^|rln
zZ_K(P)!(G?EB(n{f!LR~zl;6KQ+!ufUpN2n%tf<4q;qxsotbp-kB=iq{jwhte|)wk
z{<2T`yKvS8d5vrGVm<Z(PW3-#s9pYVm^~xQ>2ScBM6sSkj)jSKX}4z9l^*{ReE!SP
zrWtarf=jmPTl&0LoA>f=i=i!7?o2tx2F`?E{ZmeTk=LF2^0&Uhp9o9+%fZaX{}R%w
zvKnU2lS*B-oNd<4cTR=#_)_L9pDg)&rQ@+mBcrG}%f((+od|p3bYhz1^OMrMJ`@%-
z7S6LW&^Z^(@xWk;U0jyfAK}!FFY|RoU)qOiU8>&|vShi#v4kb+(sD1CruTd;$>V!{
za=ywnafhmpX?(wXzCL-r@t$@6SJnw}zqSgjX+IR=FV7liTi&#Bo?TbvhI#f&3yc5m
z&lf8G`|!)VQlX2x_v&1~{%^@M1wGyH%*v3M`IBx3e4qa)b?KMoU58gxzcH&`>EM(u
zq3}|hf&1TTdy(k>(?cGdUvc1mK%@PFMH|bO7}OiKu9Hl>;`saR%s=M~6&iPkSbLc&
zGIl00aW0ZD5<AKw<YL&!5@?|DSb}NG`;+#jEXHLV|0c;YtqOFn<Zy9Jui$VojL_;j
z7!>HE7A?Ya88oa?{l=fy(cwXTujP)PN7v0=wz$M%$Ld8Auf$pBrZdUAe@{?7__@A2
zS-a%t)w(P90&9QpiXE+Kb~U_N5-3%_srmQ8xMCd!-8a)B9K7<JU)7)A=iHj*l+c#c
zIFptCjFv=9+QO4B|DTw(bk+qOk?d)#$sp94x%|sso2Btr0<GrTDNd<d()2E{HYWe{
z+jR%uuKU>JBhs>Nw)e@|ckZ3JzFqO>>oe=F9(ov)5mY6;Enebee0f>3m|0e$u=qOj
z-I3hUTNIAR)R(Om+m@MVEq<$&t?$AirDT>%=Q6|@78u-1VB}Kf5M%h=&L_6OU|Is>
zhWZx?j9iHu9x%-_d9m$6r>*~|PD_&jgCElpoebqQEO?h+<k|D8cG;B)j{lb${Y_<<
zuWOp(ka9|qIqLG+(+qau)BW6|e=oe7{i=%L)X`#wMyXzAjw?3o0w>brwETU4F8!3g
z;Ox;Bp{_Gcm6F1IvKK7oc1q0?oY(qRcH>^*ZRyFk?KU_ZPJQ0fo_P8ob5!F2M%Gu_
z?2>lzSq={+Q`HtIq!$G=-g>V3t>l?y#@%%i3&L*cY_gY%=99i4p0NCTHlL~WhNlUK
zdN(|sz|<;pA-qpxPPguH(Q}8(?!9ozeN^+J{Ma|W8*U%l^r!TOvc&5==QUqYpuMG>
zaogDyP5c%=J~Z{a{;+7~51w<X)$KsyGqbY|hp)Z;+u;0xW7Vy<S4vJTeX6Ca?|VQ|
z^?9V7>h^>8>W@h#oUZxGGUwIQX06o{S4594Sf{~O>MAMyYSG_sD}Jxnc^lkYHly7^
zWOA4IQI&nJhAS%q&lK-+alDcs<J|DgmT!4m!kH^K<?q#}H}+*YEoe?^>|<pv(UDk?
z?D+Y7?dJc}O}$MQMrvHs;XVdN2GRka>o=vXR5Rf|IxF?y3=mrAd6r+R7*#Cs*2<m#
zr>yRqHJ#s7y!Uxr>6JCDFBhb37rFArDr?%Ipy1+Ok$A1CbN0t*S=KKNDf*YS^2wjA
zLGs(ael>D=o<GIrcxcWtWy#qubB?8DP24zhje*(Zr<V)m5{s|?PMcZztY~7T`31=v
zu@dsvzx`V-bK*p+ACIl{_2+-`cV^h>eaiW>HfZ^zGe__1eSR-6>G0dX&q^v-4!dRx
zOgksrEmXV2I?(uedlvh*%LZrU??q(J*rzMVds*CY!NXPG=Wd!<`#*1IbVbFtKP>$D
zuRj;$&-_qO|LFGvBli3d;X|`E*zUO)eo*UbG!Nw2(`jT@;r#op@HhUGILGFHxBPWJ
zebeCd>@#7P1FdB4ga-O-ixN2-_Fa_cxW%rnZ@1mG<;+&B-nu(v{oE&c-APR*i)JYF
zYCN0PSy&<(Cgl06eR|-7&UJS_bY~sP-=OhKTBqwLTX)jFgNsa@{F;BK2!G2+)D-^q
z_hFTb<?PBH^Q$cz_>Ug4<4)7GZuww%ug1rC$Hx=s^>|vv<G`mmX&>#7M*oift~s-X
z-J&YCAz6~mB1ZW@pb3*qYvj56tQG+?7BH}L?Y2J9c<2HnUyJK8p$86$FBsSlDJ63j
zEVy8HpfTgb0S5QKpHE-jV6m&wQ;^-fBg1mX$Gt(#()aaEcYJI%+N!g_ps?2|S*pb9
zxXFu>zRU|RMJ_k&y<BK;zNA8u;pVech7u!v<^_{0B^l<PInB_q@TXl<cCW^%z!$u%
zE#FFd)21x%HlDqBwUM=lcyigZfcFdfYec&Hs;=n%+i=F+t}f)&ss{P#Qh$GVu3XJj
z7xgTh^}<X$jvyT+hM<fO&42E^gf3s*$-q&$`vJp=d%8>tUld&#1%7Q=#K2Lz;^bF`
zoYXan6XO4uE3s_aD$m3zcOm@u%voMLK@&WItq#8~-*r!aeltYauYBQ+mwMc3ySNqS
zq<=P?@$S<43Fd!hys?>$s4ySe%<gY|IQ3;?hVaLVoHN~aj~q=E^}CY9D!Re=5W}Jw
z>F;}++Y^tvTmJf69dqW#y!MrDwa@=`CRId;2tN~Wt=u1>!Ms@ZTlukHzkl4gpYo%%
zkL$0{(Ibj3j`<dUluq3^q@S_B_QzAs)YH;+S=V1iyfrBI7kL|JI8X1Om(rO}M~ck$
zEN|W)=K90%V&JcZ1@<n6^>T|$-d&e`v-_{s!q%lc%a=S+xbbnxn|_0<E&E#o-sCHC
zKie+g^h5c~ag%0Q|8xI1f)O2^KZ4Jam)YL9SpO?Q{7r=2!M;U>{4RzcZnEx7VCQ<7
z(EhqfHfYACgm&rD4a}_yHy*0#+;})m=|*GI5962(i!WsIx?6JGw>;%&$lg_y@amG$
z2}hBWj(l9=1rwSbc1u24p<Nf2wV;{rnFG7&fkwVAn}P++QVUMoNNqUpU7*}mEV4Gd
zNMvzG`ky^HM~t(+iZ4B8QWx2_+OjS*%cj{)NAkSJ7e4l1KNeNA^{C!|=%B0eSn83Z
z-Zn?Ow@3cTY_s0*)sQ#yXWPDdncE8I-Q{mTh$|{+-nT^L&I7xmlIDHBjwQ^kOS$rD
zei;T9B)|Ul;4Sm%r&DkLJ^3Lx>B{nWvA6EFs>^-})Vmt~QR?z!?`En?JR@?{#zW5Q
zcc_H_+~a|(!Y993p>ZrQuxIh28B@g<Ejau}W6HN|)p=(9i$mo5;8KF3M|(7;d|UDN
zOGPZVb(FcUX;u$>!Odp{uD~;EH5bj;{?;+_l(lA1k#t?Ho0#%SwId!HV#<*Q5}b-h
zJnWX8Tz~#dlQAOeIu$%iUN$#=cX^(_*8XkFL(Nsznq7W(_DYSkS#D6iv{3G+*N1Lw
zk@eD>q~Olkr_m!W6F5Vmz$J0gfsa=<*`L;^*tPP9hj{Y7?=$rd+5ApxP-#kTSW;=R
z{AA~Y84ByKCb(y|{rq@c)nB8*tEYd8cWRBznUv$)tZqh!4tTUlN}QBD*AslYrrBoa
zgNcP7H)!NuW}UEinYR3%Wv^wcYc;%FLhZZ{<npQ=QJQSH;`T4!lQ;9O80!4nmSS<l
zlljJm3_C^n<tm9APT08i^UC+$wal6M`Go#6m!kHSAKGpd?VBw*;pKYX@2-+LQZF@{
zeVy$@9#{H(=6L>c9cSIV?>5ioo@!;gd-rE#;j1cUTf6g@?{=j+m1|n|M`&7}x76Ip
zn`5Kxm*^Ja@>OwPC)1OJ0|G~v7`qytUL~ouK;5WB{Ak<-{zV^~UTwAg<|NW=xoAet
zT#XNNH|Gb`d|R9U|7NP~<Bi|GC!dP#omKU!PG;_<_=}tPUsuZ%-^u0MFB^LC{1n0d
zhKi{HcNiwtDfR3SR65$177<f8$)s|x!(*}a@)>s?^f^5KRwkufY(AB(pU*X~P)}s1
z=PySirR|>keY4zW?{QpE*lo^Zk~d*dbZzhLXemFRlZ;1g)vMhtueOM=9}SY>e%4nc
z#$&U|;4RPgMaer>rteVNzh{@nU3)uDp660v^oi%WRomo4EQT^%xsvC24;L)hxKNU>
z)#k8ePG(%D!McE!#qPa^hb~@TB=PV?U{FuN7Z0QPEK7c}?*C}%#V9sok*G}hQv>bv
z-wBl~W^dtd>AgQyaCzun@oAqMrJ|#|zg<h*EqA+lqb<vA=Z#A<`S|iqrfvOsf4Zfe
zvvW`S(nmMty^d}!QkdttU&%$g?aAgvjOndSlC=?@29x#fM$FyQDb{!Wke-ZGVARb8
zCc8rI7nq1dIYk+oIa&XEq_!~kuHDi6|9&SO|GnL5IMF4><*TB2XVMN2k;ATwOm=YW
zI=}Pl-io+4u18!$<clV1v~{}}#;9~XRMN<?_jqS(zfHcE>1z98g)T|fz%v>hmn2wU
zbfzBE@7UYn*7)jhpuo|dCXF-^7sJI2svFOIZ{7GeLu7@W3|MWFo8y#Y8V=uY9@;0a
z--W56^)36vCUI~V?N<TF2(Tqmiy3SMH7h*dxSDW<tQWh%9e8F_ImqfHH%2d|pbwXf
zBsDcGq;}}P;=fb;Zp!0-uXoJ8d{RcYLCT$bPsU=_xGfi%^lxP_9?^(eFsoN=McQK4
zRW~yjr8^hFq#DI@w=}$MxyW=iXN!ZWv~EBy(_&W5%C5|P)$@ODxwt1<;Ot_9xLNvA
zCj1@hAgaHqpmNGY)+6kak=HNo5t+t+cJYO2&jKY(&x+f4ANa3!_OkMo8P8sR4fgr$
zlHv3Di$S6HXV)1MmVOT@becAA*D>y@moIbXOk7$XUg&mhsnMn{4F%^;Tq@pXq3q57
z@KKMB?Vda99_sp-ZJS{(dYX}OmrTpfh$?|!Ra0K9j1}4c%)LssNyv7^oM*Z}>P6>V
zoA>>H#4E15_EQu7?fUXu=uZ6fe?eJ!-_|d^*ZF6A(98etm#(|>*WFU!e>cxB@fmKS
zY`;=DGU{6XTL)|uzP)(k+{4#4*H3(2_e!eJzP#hWd~44q=VNcTF4p}e{@@?eg?NS^
z+!yK?ujn86$*QoQ;h}i)vER@4>mK{<d+_m*)t!6GEmWU>v{ThDW%`!-@oeW8=7z%=
z3k(}f7hDMB(%>;%B+((r`r@$0(f!)(Nw5E>bpPq?YEP7IebV(o%{#)g;pXYbbr<sE
z7t5D~bSzmkqpo99$84R8PE7aW0@WU_-R5b!(nSA@#GO4E2hVnkfhgW2mb_Jw1;J;V
zW@m}rx#7W*7q{iXGgod9b*#(@CK2-bK<=FfVWr!f{4{p-J_)<9NcNPe;F``N-#gjN
z<vXJtbEV9t?{QV!AzE@HB)42!gG<&v?PuYN90RV!4~}z}cpbhheavmvbEyg)5gGe8
zA~NwWL}cP0+`7vhwM6LP@?`5As~ra0E3V1?*}P><M0Los1i@C*tX$o5k?n7*a`=yZ
z6UeEYRi?JhMDvhldgAxK8}~SGZ{C>8-~V4rdV7@^SNnfG-)%RZ_eZOBue^S-cHU8k
zJRPa>QZeTDFLcfXui|2Ldv$K-jqfiXeco_eEi)$N-E*C`^po5ha+4OTd!6r>Gqd$L
zSAF=|e*1|EUY{M_ovf7#^*Se<I$u|>@2C37!jg~^g^MKq38tQrVb|`Q@>%<For+~&
zAJ=ciF3-1}P4jen9$#=$(*3*Y#_=Z+mjf5}FPgDYEcHw>uXg8=Z>t~IsT`jLRxFtv
zC}wyqLviAtI^Q?RMQdKJxZoamCZgNu*~Yf1F2DY4EwrDM?Cak8SK#OuXOS<-iOIcU
zLYm*MA3d3CeQj&%s_^$W;sbrUVnsgR6*#)&#&N@U8zyyd@3nh3S@!!a>s8m~3g){Q
z&TrIM=D)~fUZ2?x`ESM!+?^5^rB9{wthm5pf7M+0Prze~iQ>6)PIR03J#m#*Z<09L
z8M3)h>1xg#4^wINB;`Q3)Tc$g!W-ur_$`^$E4(u8vCFE`!bOG0J9oLa`PR?L_^A2+
zuDrUF<08x4X{<Uqf)3*9P7Aw*Qy;r%`1s5@@o+!CsON-^w7}H&jZ(T*sVn*UsvUMG
z1jbg^$EJNySbmykMe6=D4@zWCUtX$_r_%n(skz-EHseFa^@xlDwr$S3<_j_|OUdj4
zpEdM7jQ`j}@lRgOo67SyUpT-%xhv3R(!cdrj<WyPEd6+}Su1wdhX-DZz4%v_NFH+5
zj-B;kgV%ILn>|tz5n63LS|=HP9_4UfoRqjx^;LrXwj+1nuutF7EfV`E@4eGmleX!3
zUwU0CPTIV&*^xZwABXT~rRmdFZ`ot=aOspiTDq6}ot&1%ceeQ4j>v1;`(^gR>}B7N
zG1whzndExpZNAp)pCNtn-OFaLE^Pbs_|OdjU6mhy&KB}4v`pDrYo+xhJkW>7af;z_
zrv%l5Px3qEpWT(Ye9PVH)DbbRud!cTd-S6`Hkj8Q6nYbT`$)L{Mmy%JGk4>*+<EJI
z8bqy)FFt+uVfg%=(Wa;Gu8Mq@rYu-qeX(e}xGsn?zs(7g2wVI3U14$gf3u%`yO&Sh
z9XP}2o!r%5JR(-_=1ILPlPy30Wan;)=f8L|SMg?xzrB`Wv&f67>}qwLWo5RBT%=~C
z{VK1umn%v{*Is_`Fl%$b;*1YldJZxy({tMx(EKYUaaPr}_RJl=I=zSVUR>_DRQ*S(
z@ly1(&#N!rzt#2V#fk}!Tnt6jPtDNe3lq{dy(jiv*|V@D<OYABk6Gvx*EMnu+?`XU
z^80Ij`b=d-e`$0{W(OX#xwc_a#LAC-JLFGpT(+3U@Vlt-wM9Bj^Da6iEj?zPyehxX
z^!L-+g~nH=+pfLzL#-#P=HkBixf6c{^D^nZ?-J*C;Z|A^XMI4vQRA7iz|k6(MLm^{
zENfJn^xyaWPTB9ZwLE0~zoPjrhW-WuNB>lIZ0cAq8s))~dM{Y5*{D^oW5KUyO&lu<
z6OMJ889a8Cp7C)J?-_?4CgW8%5A<Z287!W~duGE#4Y*XrMYgmXeiAbR&$6Y3Y;Kgi
zy6eE19n8jexgRgrHE4dyA1pt^(eaRaccegLQ$d0F49CPp%*GlejgrDM3N_S|jfJEa
zL|#b}a}hDKYCbAxvi9Lo!R3pS>`Rj`BymJB9qd-jh&=L0+&Lg3otH1R`>j>Z^~VdU
zUnh&7_@g*MPv&+~$MKLfw&?fv+b*>V^shF_`TWC6+{tF)r5$I5wPQIxF3CD^z}L_q
zL%I1dx8kXzNAp$`|GmLG>venHj&_mV592_|oGt&}@9h>9n@uZ<{uNc~M|s6fo%V9O
zg5u?VXPwLWyjquQ_N@AT@89D+C%1pu)Tz|F>9g6teLFrj)ZCY6m-+Gh!nW{Wd0A05
zKNrIkjV{hP2J@Z#KfGj5I(>HDm9>`wZ)rt~9gPrUy=R#0<Z1AX{j^H*wcG$-t&jY+
z&%E`c_I+9O6hyU1d|a9}O+R#V&B|F%z0HI^E)~~}ue$gNL~WWo0VZ*^j643DeatUy
zF1tInUpjyN_{}wM#rgWeXPv*E`A^X6)tckM6ss6&(a5sPuX^*B?^DgX_wPBN^IunL
z^RxcRXE!CB*~GBfMDs(M{S@?3AnT)l?(SvI3;J2T<+Q?`AM-74sJvWMUEd($#<?%=
z(1Gi^95r_h9=vvA-M4(&U&$$l&1Ugk@>kksV!FD@f8DdlDGtTT1@{x4*_ziJQ<yEc
zLFyo5wa#O!&r*%cLwc|8eLMScjL5YWC$}1G;Jf|xhFs5E#T!M7n$)r_cGw=Y+nSWr
zdi}c7!<fna51;*6#jJK~dFb0PS&NdspQcLT+ZTj$ZkTSexp}(D?8E9OWKOf3HaX4W
zJ@@k)uPa?lj@n$i3s$6tUCUo~ic{mx){j<=zg^Y~Kghp*QtNIIx6k@{4z_cu@^Y2d
zsz>r~SYEX7;9B*ytEBE^-B&l*?6YI5z3!iaIbS#1g!}WYv^$g1m1Hz?(F~tu8okSx
zJNxTM{_uFyn!0<J?JEA_{zWtHEY|oiWv>A1Jfr81o;vJ5GIH#tSXc48JBk={Et(N1
zu+)(2g7C>d{YT?m7CU@>sL_$+29;`<<Z-3m21~2f?&-bnkAydhU(^VwwE!CeY1%H>
z@K@o`$CEeeOt?bi`=E_o1+Qe01?r1r=R=yc;O>p^PiSL!fr^s+e7(sN`<*tw4!M73
zopbME`M50?`SowTXcy1@!ki@XH{h(BeaPmE{8w|nH21o|r4DzS{W@57=tX;$*{_AO
zdh1r0-Fo5vfASw6u|F%-Sn4&z{zMu)IN!urFUIiCvE=FI$ju?bvs#nD=_%pNrVBmm
zYV@+FWjSpQSQ9DM14gqhPCcJ~Hpn+atjqLtlOYHl+TtFbw(G^IDX!snzPN-7gm}7#
z2MCy*UmK%gvg^?_rCpE8l1o?_CvUqbDsoIZ*73lS+!EH-g^RT{eB4ToKC};<&$;2s
zsk_qL-1Fj=iis7pq^_=A%6v`WL(<vmPchrx+;z_Xw;+@?a80WB4=?s84+r74P38Lg
zTBh&a{dAg`1@G<;OOMW+;60UlXF)?6?~=uDX3K6^*RZakp?=pVMLmb`Jq5Ll?FsKJ
zd^ny-PSumDNLyOoV6*y?Dyw+tu8apar|PLx_$^&H<9XPw2@fi-9*FQUOi^%aYZ4dQ
z;bznJ+oJtYpwy(Bixg+B{W*cBbefaV9oINdhr7?FvRt_tYf&|C1yB9cMH|lCmCmo+
z6RoUOyx!0~Rj$NAX6j9$HEK7KXS$>YeR>owEF$={e&L$>owI79{X<u}RZi3l7Wt5r
zD(XCQQI1lpX7CiY*G~Qd|HYI0f2eQF>&)7c`^`h-@ZLod{Vs-gw7VY0X>k7fAM~bM
zUUn6yySs?7)uI_5EgEShE{*%kN*1UqF~;}Vep>8on$>G7bo7XuOJnUf53s6>jgvaK
zYL@!AvA!}}p|(|ysY*uh!=he}ii>V6doq-n1QvHRWSJ=~nAOX%B2AeoN2Loc6}Lr@
zahtFkOUPzH#;Z9l4qGp}u>^fmt=;=qPIjW7<ite=CKDGK#3|`YxkN1qJGcG(_r)7h
zT)GbBDFulf^InoCq2!v_)FILuD4cn4a{S>%eZlK&_U`C^_D6HS{Ifr&@6|7lGtgLm
z{>4k5CEtUT_O4d@|9*D;!Gwz^{)j&;=CFA9|Bu$@Rkiz<p7^$ZfzkKaO9r84*W<s&
zg_utItH17+>Tho58F%(Fp1#uEnE7wf-Du{O#yyj+^qRf=o3xPo!f}>v`Q2H<V!2%Q
z6^EVgI%TC9@NT#<e@gw<HS3pqrYxK#5b0ugLaED9ERbhY$EKMgzbZd)IW&J{?ec%S
zd=8({yZF;q&>dI{r2-+l<qZA0n;s@CU--kP=G05c*I%wDi+l#{Ex5D@w0NvxmBtG;
zYhA8gi4O&;nC9*mtbX!vLe`-~5!T$z?u%w5iX2s0=W5vfbfL<HpR)^3&2m3}dpTq>
zQRw+1iQkJ%nm8{A@AzZCQQSu99`~wn?l-NVzHnnam|mbF@U#B3ijw#&i{-!49?4|&
zoQ&Ht<Be-Kh~hCklyX%^ZFQPqq-pob9F;>U`cXdL799mqY1WD`iB-C)YTxa@=cgJU
z2oX+eSa^fSfLo3EOw&n*%@=%Z>aEc-`!d^)ck0hOwEvvk@iWh5#`|OaKi4(>)SF-N
z&R{<GZr;y<8Qnkg4jJ6<75glkW@Ga5;Is#+A3LAt_Wbg&JpbaS&#&(Rm-atzxbrzJ
zX5vo;FZ;(P=j`s=&aPcoC123(VIRCBf!FJOc}=0qvzhBUIlM1y6=>wz#imr^c-?Mt
z)4uh`Sl^lZ#5_<9l@PDU6g|co7nb?z=hLZdY;l2^uYNvSRhqIOV|vxH#0OW3Ee+fr
zB=j#!-!QRTB;dP6kb~^wCC0t_?OgAsbBS(v`NFTE+9c@Q_nakjR=b_rDOD`;@b~P;
z8i(3~x8=QY7i?nxd0lsjnp|qsx=Wufxd;XoN!R@M`}3vxbk(Jk&(@#KnzLNys0{m3
z+ot;R#<rJxE*OaPU(r0wc(*Pe%JpBTd6+SvZodyx>RpqoON!QANS^U!QLCqZ6qxD|
z^jVdb7-Y&lc~#_$6lFo5s*8t~i0gtVmD`*!iI7~)L?c!G{d2PaKm07)<EzxO(11z8
zIdP9cm`TE#h?sL%HG4$4k{1}A4-e)~&O4OA!(--X8E$YR@61jywH2`+Tza3U`ox94
z|Lk{hwM<2L>B4@&-)pkV{Yw|-e9aP13y+UX-L(2@#^%+b?`QWJu6k8_)6hM1Z~YE|
zC0%Enb6-2hhnfnlUcYY2{J3D=C+ZvTonN<!|Kyid6{fR9wCBja`u8&FkkA_S^Qspz
z4u6X7y*<D2e?nt$y_No)U(T8HRsKF?;=NqCFj3>J=4*Fu6QAd;Z40+7Un8%7!C<bq
zRNJL_o9cp|X>?}=O<}cNrlTKq>eHg7AgW0sa9Y;1O(C17ES<Gf$4n@2nz(Li<;5Tn
zwQ=qQn8a19Q&Q%srP|Tfr=+A)6)&51PI+o|YLb(CWN)U^#N})9cPeVW7SHoIRW+4c
z^YzwH?^8(%(TlfTdo%}hc&pi=HNRTs?_$gPdrULy?={V=zjqC@LigU^`7`2m=~T7V
zaq*ftdlv@Xs7f}K&zV@u9sR_WZ`%odzsSJz<;(>qIed&tYG0*szOYKNbiVE{?!i<N
z=fM<k%lZ0wv5Xn#xOts3`D5+l7bIHgoA4~PE%}=SNf=YUUHE$1h&?uBa+BT*!;;F2
zPSeG8L6rCrj$I*}FD#was&`eVWaC7RU3)SXp6(U{QQdD_U=k~@Ifk>xYLr}Pbz+Z|
zEh${syl!d3I{jS>7jRFXzHr9tsd_2v%tP1C?Ea9`dn>BD7(Dc+R{Zy*>5SLjeluT7
z`^|iv?>F;xc%I#}s^X<*zE1O+`FibCqwBX<{hZPLCi<C@e$g4%I>X|?^X2@-7dd>4
zitqmT#`!F&t$*&z^T+GAItVQI>?F`|Y2MDdy$**<;xZ(>s-OKj3ybNQueTqTT<3d9
zGV9X+4cFQhZckr#f2!v*tuOyfR^{KD&u02ePe1D2r$tXeRFg#E^sH&SLpI-8I_s&P
znNZ<$aozIDi$x%6<J<`_iK|xcUhUv+?b{u|vEy{?rybm^K{3Z;gI?^&{&Oev{0{jL
zH}jIvz4ANF-h_Vrcb=Cs{(d>zzOHKzTzj^;y<KzE^7V$;{#@Zb`(8^u_<AAe;A_RD
zP1g^5v#xKSTGKA(XThUudh_+$shh4_Yo)F)4?7k2e$}&N{i1`L#4RT!>lgLj?6&eR
zmire`_F%@`8*;sGWwtGTq%YOs`E0%L`R<?DpEaYmfBU&QO6||oNuMuFne=(d<q6LZ
z_RPHZzFBg|U)7cMQokfVw$F&~=w>t7BbDCLf1%;f6QK<k<xk%XJ-ktHZs7u(4b6<c
zBFq^9w~B>&otq}VT4w0fc}L{SjEQ?PBu{ov15uv#yr(@LsvqfDGAmW(s*du;i5(ze
zsBGuk7MR4!YlfG0NUnS(kr^eqaz=%lme_F*4GzIxGxJa%Hf7P>6DP)SyJ@NQOzb+D
zxTHLM*8D2}t9tgK^1tq7UF6?d6F)U&$*S*)=C^*YE3kSf$mQa$At19*Ky_&r|GoIu
z0++qLd3%02Y;91FD!M-@BBbX}o%TDoNv&NcpDnPzr+&~edRnpED}QHZhPjE8lxtZ%
z4lpn*t@V3#-r3qQy+uT+YoUO~+t0!ElD9amlw~*r&v-aw&R_lO^##8Rk`FyPlH8cQ
zs^xx1&wOmS%JZYdml?<RWK^E%o(7_Ll8z*=ij)gJ`)NkjwBt8CjwHuzv3cft8blo{
zbAm~PyspT(V{`548Y?+z>Hc1u^s66dh_yX46tR-iX7`osvgxng5G40I;Oea7b}^av
zUMYv}-V<8;!d?4pZ0#DZn{OV6PC6UV@hQBeF#F2As5zTKy_hPq)%<^5mRhdy59?0e
zqV#05f9R||ze<)ie4FVtIeh-wDB&o>3Fnq<S5`I=StfYl-R~1D3v%Bv--<iUcj4c?
zX&kR!TFS0Au3yF+IEC-V)L9%0svTZO|8~C}6MWZ9HhWEB{i|&Yi*GYN{ku8Wf6w<4
zw-aWIB(^nPn)m77)oY!%BxI%emTUArY<Z`-KL73gjawNHdmeAQ=F(^?7p2i@a_@g?
z{6`1VTW*=6H&(7mx;IUst1`Mt<JY#9eNEHtK4>^(_RsvUIeA9pi%%Y_^7Z;#q!up|
z*UhTBxClf!^#lZ)cF$TBc_rlRA~osGfXm%trh792LDWsZ4yeSkEZM9+Y18ko0*V&}
zUe*o1;?j74p=G9<ugH@be5Qdf0*XaeyfoPA;s0pWy`z51ZGV4uS=eTGTv#fGafYq^
zyOO<6de%+<v*q-L^w{q^y?%cFeAVFRfnP29A*bfWuMyjlH%XwBcZt#AxnCXQS52|q
zAM^6?TiG<VqfI+D=e^LLx-aDK>ZzZeU)Oqietr0<`S({$vELur+h@P>x(T<_`tk)y
z>f79tt=r%J;W#C)ozA(^nX#qleA&YXp35#Y&ld}RaV&US-mBn@ojw{Ss?R@HYFhTE
zXj;x!%sye6^Vo6a^F92l-pskzw&z8;^Q`Eb)dg3DDwaOHYT^8|b0rT~u|I>w6DOvE
zS7r}ll=|6h+obiQc6?ma3ZgjKn;yEEa+|M;+!1*85U+ISgJa!dd3!P*f~XsQ98ihg
zEZI9f(s`%&^3<gA0%nBm(EL=ed4r7<SCh5uodp-f^6rQn>XjD0bK$+*wu?RsPCUI>
z;J9IXov37w=9%1h*WFhlCq|w7VIL|KyXxNmu*I?GBEIemJ$qc|tK<E3ML&)H@J};d
z6rLMq+*P=H(ZpTm4Ut_(pP$~LA3WD!_h)aR6-oEjZT)la;@=6Y_Gy_FD_-K?5qti?
zOO3PL1?QYaKHH@PO7%B3%=4IMyJeR7?cnWR?yb{YGgU4vP#4PN&%WwxrL{%5>*Yd?
zUOA`lo?G`lZQuS%QC2icZ0SYk7dh$2ZdJOhmb(<VP&Uwq-!=1#K$TMR_Y+~ZOBOqw
zUSv|mVLNeSbqI=rUFVN|)wSOh%Kvi3g-sw+{V%*vUM2H4$hWI*;qfo8UA_0mZ2?mP
z%D+O-URs|utuA!)m(y-azrHS78m}J(rnU*gBvwbc?|;1P{=2i+WdHW{*0p=R74_QR
zaIYk5y@cT3T_+dBZ<l^4-dg$Mdg+(j^RquBf3RG*eZSh57l!YfHE(>>zMc`)^4Vg(
z`+F|wIp>T2JllHuW#yb}i_5zLqxk>p%GEFTdSu8InAY!61sj)I+;{J8*@js*EJAx%
z^{8q7s27zvJ>!q@a(~yKO_!H1syX*EIMD9#lqvh88>hU!^j+)hr`r9Obnf$Oo&EH8
z!zG>j{UFAUOFH-cSN%LO^Uua7K5?oiBmX?<k?%eBh;!Dj6EE#vNh+FrpZ2--%JEo@
ziz|GLJodl!<(y#lJ}J6R;qQ&G4k!241qxk`B7ssJOty@d`m<X#N<uOsr?4$@@Nf9?
zIQr{^KCZVNNmUwNi`y><EBtLiiV((2{y8YI>fi8Xaui61Zug}ZieFef?014Ar%xkm
z`T7Otw}DNV0y3c|HQ%>nvRm6EhL_93EGI0RCF0@S?|7?QY{}jXFs10Guyz(}=2ab!
z)oG5idR-LWx^iEN+XAL?)LEbsQCs<zSWj?U$ebx+HQ}AZEN)qke)%OY@3NUlKXCuH
z-ACQJZgm{Xr7v<jDjk1`uVcLQW#0}JkN;b;crP8flU?v4Do592|0z?4S#i$OSzg*(
zv%bu?W_=lN#rkr-HS5dyp<I{j*NZHv57hMdzbR<KztE)$e_wk!{xYB1^d*1wPls85
zHY)hWJ$0D%CuPF2ixD;+!Sa$zR;Ke@x)RNn`RcLWwL2-zU-sM0V95MjxA!UgC4X?}
zc4#b;a1mVEr~wMkFQ6z`D&ShutPvoj@V5sPIEUoA5CMJ$BobsRa`%gX7t@mYS68){
zgxqk3Wf7(&`dOeTxaxe-mw%y(!>^lbt32FWZAFfL37F!>zfj%bmnBGKX_dyVmx@zZ
zJnXlhYBagJ#6hZx2Ns-hTNHQ=nP4Gm%6%zEg(*`%YQncgtRO1QS`icnQKsCNR+TE;
zZ8YJM^;mqNv4s6n%nOIAKMKC@S0zkXR<6H=J+mrU>3)*e`!9<#xz5dIUs9;6)b}j>
zzo19*>?beohpx4pHK7`m^w&PksCueYXtF<Wf`gRWWSPIV%VqwCFX!H_zh2_6_;QKA
zzr759@lQ?qBCmb)g?(t(#rkz3i~k2{y8Yi8)blUeRNif}wb<g5D^1)E`!36n>OLB5
zQaVv{meny4-?^z>%k<to>f3p8*$eF&L&uBHdOP=~x?en>eN??9<b?Vn2|K~12h}eK
zi~Ri)R^#Np^oL8yVf6qZk-tr#a7GGwk-vvPBG8a;S`>c;HRPKX#oqymtZH90Th8gD
z$lV{G%zmrZ&V0F7<-z_Jr$Sva{#^QbcUoKc<A~CEcg2qG;8krr_9TR<m%CO>cut;8
zH)mGQ@whD&Z(O@UlnfvL<BeHj{h^yH*33GZY$o(@qqweI^~FaZYV%wHn8Z~p8#!~i
z?^ZVRGHl9Z<;pAWJp7pP@kU~rfxhD9^U0obiZ@EP^}Sp>&FA=+Ye%=0oLRpm#b()d
zW4;5A7nw>4yRJXDFneQc``fB37r6~3dtbKpH(#7F&-G}=p9ev~XZ{zSI%Z#R>RA2y
zDZT##HKqS=4l?@}zBK30d#{^6{%fTsOQobe{vYyrqv6lwn=|%!Z8rRUvcPAt<7cIs
zFV+Po{Brt_mGRv+tMR#;Vz=y^p?q-r%>I?LcLywLoS9<x?@xKM-8~n6F1@M!&E`>;
z=6fgcJ-k#jb=vf{{@_omw)oUv;hg#Jl(125@=qfhwVC&)R~7x<l(6XBezQNb3pBR<
z<6nP3KDN<*2UC5H>*I;(t(7GXjrOaY>UT43sjmFPp}y*9Vy1ccW1go<ukR%szrp)V
zW6K1OMf;SVZLMs}F+CTMrM%;_*Wuk0dE(w$-};_;<5+u)ONPh%OeWrJ_m7$Co0eTj
z*7>sNsFQvanEIO*sl&5Bx2JVgq)y1$Bt_}Y4Hvq_xc6pk1W`BrI-n8@vt-5kq`80R
zKi{+Bu#;V_(}oWoM|Up%_40Dz)4<sc*IACL`D}AM{u;VQ*6!%4vzyl!`R{U4bZ{4W
zGUN5RybFiibaNgZ`kH2*o!Bk*WX9|Bc~=ja>E=9lvKF&-;?C62z2&rWi*cTnZkh7j
zU1B9sJ`!`ql$T%TE@3;eS#ciY#~h8$Wv%zzW%j9jF}ZT#3-epomMETz&=)UPcray6
ztUq*3@<!3UZ;skV1-oi5_TRJ;j-Dm_aLVQlu#NRX8y6Kag^5^9jW(SpeWvEu15qn(
z8=mN87u(ku@IOq-SUOS3q1B|ppF8z(@dj0=qh_2dTz^RDsRb@OKljGD#NEu%&jQr~
zmjx{gT@+MNs#hlIyE6WH;(P|tt4Ee=ylIkK`10qCdy=<zC+s$e*voKRZF*J8*M?V_
z*CwxGzZ<;sg2OfU|7MMAX8$@NwWnsvzZpWGr6IG1CvLfScegK7wQ|1Mk?AS&;j%>r
z=iA>)3RZbL@_nD`T;6$(gE3&rMn;8O-y<J{Oi#<19%ouI{YB4*V4>8U`9in8XWkE)
z&g4`7^+N0-<3s+QQ#RImW$d?Iwtv~FM60Vy3}mZ%UdC;i@y@jyMDZCOD!Hn&Y;~Gp
ztZDbl9F;>Q`cXdL7aavr>DG!ciB+XD?DBlx@87cZ@E5+z>P(mK8q9bna=DxD@=}9~
zGy0s`UveM)^5O2r<qMaoE^E)9U@L3-`{hdJfV)fYD_65^>v_X}R<Zi(33cJS>jPJ3
z7oM}qxt{!b=G~+DlV#a=i)-f9&nT$ATK$8I^}G9;3uitlhx2&8JL-@#T~N|+S91B!
ziNEh1?NZyB|7P;<d8hmC#NQTMko9jtvgj0vWiEj;w2m<cY?u^r?(eP}-(&Xe_%@}F
zw^n?q<EG}nTX!aJnGo^s@2?x{@64!*f6*i0<tiyXWzpYn3!BY(?y6cT^T>8wlK2o<
z%K3IXzwDlkvv}jTo{n85yL1+Fs%KTE=#IEZR{d*F^Ji#OY}Dg#gp$d>LoU|+4mdn}
zRmzHm7mhv(xgVp$XUb<@aj{K$PsU;1xGfLaIs$h%no8#doNbd1+5C{rOsEwurGKk{
z`8MZa-c>gXnzPLAEQk`%lPKSjcwX<0LFz-c?K+2eH3X*a)Vrh5s&}WLlgHd*N~PY3
z&rY-WbYJ{Excp%WpZy!-_#cgNs%IXuS55u!az&<OoyT6UA3QnjQK$R@yG*kR;R_!e
zzepwW*<V=Qn)$8efBGYVc^rKPUjk-VUg<LU^WlZ4R9(dxE?#?^veq_vx$Q|;9<ob`
z?qBjZBSc4sX`}ya4wmob;#$iLSw8OD`&i(}vv*UEcv_xctGSa`Xy49N^)GbzFRmBi
zOXgYfOMuyxQOk&}i^(8`M}#%ugoFl{!GwdnUeyKF-cwn&@5)@|`Ltu<@e9vfxpU*T
zfGGjxjNr3Qv$Mo*g>1fX%uOld^P*NW{U|WCO&BJzEQ&q%aoe_ctw$zv<vu>mVg7M-
zXGU(rn$D_Ru~hToBiziJ%KmKe$ceJs@?_bBX?IR!zPz%@eyv7DTw2p}*A~wo2h+W*
z0^WbL^_aZn%e$Coi;EQGMZ4>)KRymuvFx}mqhEB><g87Bfgqp0z<lvSzQbl+?bd(P
zcR3dG*!T<VXf$caJ!15kSGV)oKHdehlRo-yY6_Oih*Ap{Kc+j+)@E8oQs$wabcuew
z<wXk}b>i(b9Ce<ZJ>qz2OP-=B`wX_Em;U?j&wAEU^~=}P>UVmY0BcJ?B<tq-G`7Gq
z%=IpTX$j@oehu?HTKDOG>!^2pHEo64?KJRd2Ta*-CTg@Lxfu2<G)?lT-)HN$=I6zQ
zQd`gL>qzSG5>YvOLp-oYf04u@p`!w#Q@(MYD^J0`Z@8B0^W4bgE7eNCryL=jG~=9k
ze%<?3MQ^-JHOpG%Hm?@f-CcEY6Nqx^xe;o5dflqXve2`ew52<5T<x9~yEo$|h`Q-_
z0xGdOOLlkP?AYI&$NOTf%VZ6As|B~8ZF&4IJ=|RK&8sry-HQzPcklAH*lkrOTF@8I
zA5>=>@N??D1n=<wtG<^WKX3GOI@9?K?mf%iGt_Eda{RSr6|?D0_xC$hSFEjyFWj-j
zvhKLP{_|V$^Iz9A?2~_~;P-1G>$#s7rL%Qk+8O%p(r}TqZ+c{^QG1rL^yU*Q)17g?
za%<H14_>^#%IDR4tqn(Cc%PonSzGC8v{7F_SnbEt>J^i}L|?bfY*qU_Z;z93jeq1q
zo<AXp4_1BOBKq0U;Aev33>#0U4L^=$+DUaCJ!DYN-O={*vWm>Jg-@@@eJz|W-|5-*
zh&|Sp@#r(%!v}2-lya{;d9=jw<L=D{PNs@I9Z3l;BFxH*BqRin9_Y|ub6c=w|LO0x
zC_#V5C^_%~Z&{Q`N%P#cOY=hS&o0G&%G8=)`_^0z5w-%KQTG^eK+wW1=~uspTZS#2
zC9>M7Kk{n#w9vg7U`o+#&8k_cp;vWQuS$!Y+Uv6BmFwwMaa+Jtj(Q4IB6MqC=<&0w
z{#F-ShrNv`{l0Nk^d+0@<Ex@xM3|<8R^85<75a1P2FFdJ_8-rzx?gx|)&2s@u&3h3
zjn>F5Ilta2Ao6R!U*y+Nz2mF?a7DCTZI%eT*pzy8`TC5rt6H{Z2mESI4qIDgU&^)m
zxAq#j1skd+*cJYC*z5f*y-!^~^!<0g#;Naw(h`==u9J*->6XA2wrjmP=bF|-uZ+Vq
z*4P!d9(uJoOk+*lmL$6f)!$-aC%a|VOp51OZ6em)9{NklV9llDCpUN{pWfh=yybNN
zA(g|gu5MFLxVq<L`Okx|*f*ItnJV^nBxSgWI4dubkP<w4p+lq1ZNZlItNdx$^M>u3
zI*r#RS6h%zDFKJJ#@ocNhf$&qHe=<S$-i2DWzhyNQ_YB0xx=f(b=Oo~JOrYgdJ;lS
zxmT}>j0in@NK3ji;cB;7*xrmp5Ovd!11hm9OLk4!hAt=e)fy!mZXMJTw~CPEUvo)1
zw|Ik>e%#rEulCCx)>@}we9K`<_}&`6(2uXrFY(e}b#|iG-n3GKm3F&rR|;KO=lC+-
z&-1Ilp8KlM_vifrzbg2ymRqspm;dr5UfauzR$kJb;j^lQTWzwI?e{E$m3GG`zbdv}
zX?K3|tIy9>LpSAnPTg~2qSnu&OT3adRs=15&!BI#uc=9kSGQS<_oALX$7G&W`TG-@
zLe8Ep3fHj?_?t1!WrdoGU?5LtN0Nt&h_lin2_eCw6F8=PTkvZmBB5@!1E*}racNRs
z)d7Diu%DIojQMKYnRT10-w5qiT$WxnpJPSOzGZ*b`b<`hPn~u4s!eO#uM-~i?7#jT
zU~qf6gQZ98SBB!2%N1J!u2?az6xW?lb&&}~wZ*0@gq~$unI+~Cy4m5Bo07uUMXW*k
zQDADDFic`q6#J6LY?nl3C-`Yk*ySxZVUa`E>xK|6*$I7o9^C~}2PJ-;2$FyNd4*98
zcdqW=8=04;+*7h{mSPO7W7eAdg3(fFZB@U9sO!t48yLGjb^BDdzGq|(+>+6|&}B__
zz^~3=p1<o(C%^a}Bj;TI;>$-{W3Po@_9!h2sEQK|*0Ak(F-zlOfE=6sY?WV3{)_a#
zsCY4-Q~9;1(nCZqLO|8MNWp8JVaKJ63qGCpCuA+s)VCczq*2;?dD)jnquxs##=Vz3
z-a2)%B~QxyBCCGfWY^0nxzB9v8GA3u2PQ>9I}>ifMRLr+Mf3It70K27s`+?w)xVpY
zY7XvaYZa2Zs@)$N#<<yVVJFkNWG7))&b1GIepxa95|_5!`s?p6FMniL*t70}UEtoT
z<F!Jpwh!z&o8pS*yBzemagXO6d$sTWSF3lhelt(l;~(yMY;|ssuhv5j+h^ALQTskG
zdJ3YNB|gs0n)W_qbItNuPp!>_KF$@_y<d6p6NuV4cLGe}s@jD2zv5fZhkSi<r%v?S
z1MX*8SFXgjCZDX_Rj@AU=-G)I?r|KS;2<6(>Zj7UZn@SRheMkl9DjFl%jBc|JKZlY
znxJ+nNn%mSPxs5aL;}rLZstvXCg+>|)9`za%7f<@`j@#nN^Gt+(dGJ_kYkuA5&g!k
zr&QNqTR;!%`97v({Rb~6=e;bKh@O=sJxTSDW@TI0*SI}So!?Zor)0$Eb$&CMb1dd}
z%ci@Nr~4hBR=g_p^>cm880PZ_^wfjmcQIWzZT~6knDaJu=HdvEYb(xf%}A5o_IjhO
z#BJvdPb(kJym3i~XZf7gyU<&|rX_Bh_1@8UYq5Ux#Cp+lr^9C~Hx<0I$U&z2kV4PX
zIOF5<n%|b?{Qm6wr8}+f#jVnX@%+(#E9820&tCZ9e5doTWlnj*X_nJ(PRX3EIW6NY
zYyJKI_V20}rirA^n)&@%tGDC9;F4X(XI=|;ExeV-Z@ZNtwB)=+0C#r~Q>czn@iNz?
z1-rIh&76KOrZ6#7?0ao^0Ap$><M-O+LTA>(`>uuT+fTm#{kZ?Pd&-l&mG^6>SG}M3
ze9vUT_uU<Tl$HMX^t|srvFA_xv@q+F{FjV2P2HioxyiomhyBMN5pw<4Y>r=GvnibI
zblbFOja%R46Cb549hIGG<&P&%eOkrz=H<HQ$L4*nx>YmDMT@24>|$Y-8-HTXeNuI5
zVE=sQ{1Fk|MRPs)GnYH_ye;WiIG1mx(c2}W`#Y>NE~h^>bKN5RQV}9<xaG2<@CCnl
zj<2NG=*5d)H{ZqP!N0!I`HX2n$4)lBnV(D-i0)Uj&A9xvaQ33?hA$taERMu5x6jCa
zFoAO&<9UUzv3aknon!95kW#SS!^UTL{ea>#DT|a-*BiqA2>o8*Ykn-ZYaQdI!`Tl6
zig{&{)-^h-?O?NMzG6P%fE`xbrnazr`?<sSqN&5KYvH$^2!M%VUKyi<rVk{Agl>rM
z^5oRHoXh92KCf6o>U4tK7NZ&LYk8hIYBvk^q!=7s!?SG0)Po|Mjb=!6rWo)?NuFsA
zWBa^d>cJy^8qJz%sbCd1{+vo^*8X`kij`Y)VUz0-tq3LwR&R#I37ihB+}$Df-b~Lr
ztE6h;ePovCff*pwkhW3f+1Yy`*<zXtBbBbLVK@dxCekNb;@6pWPr0BYm>tHD3_=Y@
z^@R2EQxCc73qR`)P)j+|Sy?(ec$JZ&^13M}T4tVV`FUv)=jXYK!q2#U)Xpr|7dF~7
zA?47VdfR`yxgT%V5~<s=c>cEC^Ix^Be{tnS%k<J7zleYTANKj>zh7M)ANC?YvUbVh
z+3}wOEMk&d4jbLSZS_m-nAhFEpTs|{c>itv+ZW%a+bmT{o7i<?*)ms`i!$k)Yt6Kh
zW`5YzXESg2-|Zs1_@6a7*%yDm)^{fFXSq>#V%+Vw^KZ`NPyKkP$%eU&>o3o}+gwHy
zjJ|zy3O&}%byvT*aA9?Zo@UAZGuB-Tos!MeCtmz2`RvZ0+a4TekA+0cc_@%}@5q;{
z&Z@`fd=<Yc*ekc9@6wmXHyUT#-f6^coT{13-M{DE#CI0a7iGg;b}c^fw7l^{#ns@X
zhlPhb+2u?G<jP}}4kdHNO>1NBe4~B0C@NT;cZb_6hH$fmbLtnj1U-3qu`X(5z3Smf
zf6rXmr1SIYJD-PY|BVFBHy%%)*iriV#@-g8%kNdAR@Sqpc<A3XvfnFm!Y5vO_w<0I
zUwd7&zs9>uT=L$2&R?I^>B9Bho{B5p@Ge>M%}KD|Re?WB@I-SM%cljRjT~-D6OzJM
zg3fd~bVUk!^tdQZU|-Ae#8I26@<NwGlDbHv$YzL&oI2M9A~GE&5;d1Lv9>RD*fyc@
z)CsY)CsCnH)f^Y@mMjs}Q}GX7cJS{UvoqGV20GvO8RoDt8~P}i=v1z9;?0}5CGnn3
zW8%)aJ&DEjorz2f6Hjiwv2<2!^vbl0-ljc0x>pSzwanZdx9a5U@)F*OS)bUfcdfW-
zk>VBPYgfCnZQ^c^RSTVrzxC-&o7ENK+?w`AHde<}bY&aY*&F(58?OYcTqaYO+N2)r
zKl8!K8b7tU3DK+0$t-<!#`=>~DH~_p+>>YY*3H>0IWbl5<m62~Dk|nBPv>}dDHIpR
z38wtBx^wI6xg?puXP2Dr`yZMa{=r7O@=p8lP@8wB7yIP-KNH;SRrZkYUo}_%MyAVe
z<HI^wF29YB>tMV5Ha^friD$Q%6i>D1vsb@A-rpbe_30_6?-ftA_Qiy*`0;67(uyCC
zRta(%tn&(M`T07ar7%=fk?pU@`yWYr`5*3|abVLf_Rsg7E7x!t%nog6Tpq#5cKsfg
z!43(5gd0brYk8G;)B4$@&NORDotf6fR$OuRp1z@BsA$^jo1CI)haFlu?W8-c%?vwF
zT`n-^70;9?uVp%BFrndiSR&iyNBKR+TbJ@ZT_X83NLt`oH}~g1XZN4~c-GR4`RTHo
zJoEO)$(>UA`z*RRhwYT_W=Wg+y>*janNDwieuHPq>@?$we^rgD-69j-m%J7cSh7S!
z;L%2=M~<r-DlTX#$Zg@`IOxF`ct%U1E0Wd4aCJk+L=VP~3ql=iGDHRVqgY+uv~YDK
zg+Wx@Rps*FT30Wv!>XT@XaD0*%IUZ7m))^jUGO_6vEX;k!lG)oXXoC2Rz3JuGScDB
zyoszw-b&6?&zpbJYURH<52`;+xcd0N%IfoHc1Nb}D}Syy|M-7TyZJN4w>ZQX|J`&#
zxl%;x|GPyW&D{?CNdLPsY{LZszJ8&~9aEY9^Q(V)uQ}yJe5}UA{mTTD?!S$XezD|&
zAN%*mfgFFTx$oM2+~*|u=Qnri2YC%Q!-^a0jVi7jJ<qoJ!)oOfa|_D9_#e5u`Q!a7
zP0|sk`c7wSTK|%p5?fWg`n~w+o13K$&FlSdJ89*nPlw~DCOm!3zNTin>hV+it=*Yg
zi!Q4K*whz?{o4x<YfJ6_|0>RENH+*wzOV0~{dG;v`B_oZRO<~Q&QGb^epNcoaCf|d
z!e4tCcn)5&f8BJWl~3pWm2aI>muD;bg3BV=Dg4A2QR%2Pdy<5&GWYv2N97)D4vYS<
z;Hnsh+pVUgu;_p@S=?QbxsE+9x0=}3ZhPRkTDRgt7I%{R6)};`5EVJ;S=`O9`*!Q?
zWo`VPyn)s6UBG76gLgKqwz|>epm3*2p|a$?^D4XUh`l$KhU!o2tD1P;bMFc5SFZaE
zu5h~@3)7b<^gg_{>fnjHPJ0tps;-TjlJfb}p0wygqLsZ36N8IpBraS1X;v<$M}|^z
z%*^FxDk+b1+!~%4zV_eNw6vXDGW_|%361~Txh3UwLQeb$Sm<=Dom<q%F6hKGmX~Mu
zCw`TidCAx=YQ?;d8=b!P^oy@buURCqG<|_os4a7-)IMd#P|;B4xve`F#oV{lkiKLh
zXnr9|JMY1w=tAb}&PRB^d<Z*sAw2BPiP^axFT%`Ja@OQ{ym+^Vmveiph`0Rj`8yKd
zUb;PDLQ^o;VUBIv*8G2bQ2T0ea#mx*$H{q?4%Z5A72WGAN!<|JJ%c~UqGf)uz`fT3
z|J;u0i9K{zSaz_n^53(j<GCOC+dVd)?ox7<)`@)}U>33Kfa0^?qI`+XOSxqZ%@>-e
zS8(FWu7>C9#l1Iqoc-~#L%aXWhf~%^Vk+BbXulUIekyCUHSP1Cudm$2JcaFFt1a!^
zw8+CVw^Bjww2<7EO)czebsjoudkgfWa2{Qwvv9^#Rguk`S|mDCIQgR@4>gBP`><fD
z>JdK;Z;iB6u!<XhP6;)y?r=2FH7TgzX<?Hz=)I8Ob|I9_z^Iww*VF!x+*`V$Yc)3h
zaOupE2~=8tKWJmIYiEv8pi=yYXsu0_okCNLj)|#$Vq4_0?SM;Xj^82{<zPY8O`4rT
zJLNV@#VSqrzmue-GQZ%3aoc&T4!6eg->!>y)UOC!a6_)=A@{D+wT2h?Ek)J3i<ks;
zK7<Af>b#TZ60>OhyN|W&L89*RgTF<JvMLucEuSc^^=|&JL#{I)Pd@6wyZCl}aQd12
zr_)l;y*%-zw_e?t@w3@98MUMfY$iNz3W)|xtj&TmSO1D!wRwyDfAO!6f?N0bT@3vA
z=yGe9_<YT_F7Zg$9VgoAP9G{g$2{|J{^5eDQr;U*gsg4Y9I=%tyk<ezhCl&riJM+F
zmo%-4FKO;lF6AoRxI3Zw*x&oJ12nJc*iKC{IK0pH->T%&jl1XMDo>wu<dD*{*Hicm
z?H9fj5IUI|;52XlPqn`J<)<~Tepr@v%jU^dHt)|ehd_kU)@L98oZZ?N-*eIC3GeLZ
z0+(&teb!dm)ECP>p1w7RFKCOQY`28wamAc@C5_HK%N`0W&a`NGbk1Z~!}I)gnSWMA
zFV9p^U79J-Z_3Lb<$Jg}?DB^NS4}wFX0;`ST@E;tCDj$_>)hiqtBrl_vWJeVGb=7+
zNhPUYF%j7eQIS(;+ADG=i*M~Sg^9aoKRe(NReYjs)iZ~ciJJS5O+4#aoRE@pwsB%u
zam0jK&X#{pJrQ7WQE#8Ye)71c;s1vY{heIxWgdJoXB_1{4!Rcn=ng1wNIVm^dbZM&
z?im7-9(N5lzx)<|i_?`Y#q(X)iKpFDmGl~TqY4$dr?#H&+BD(nNv@s~*El)^HvfC0
z9g(5h|6Aawxk-5C>_z$xPXuZo{(Gp$nKIA4QIY#->uavNQiZh(UmfCYUBzuKCcJ`s
zX5~@k%e?|GW}S?G-(Vl%%P3y4K+|KpbI62yw@-<z)=Dv*<h1QT+*^w;n>n8*d<_cY
ztFui?lzi!RdY70X_h~*uttRK5t_K2_Hf2sQn74mt*N53|O`JuUkC(3GO9T;yQ*JBf
z{4tqz>a%&cNS$@tqJ}-U5;EsG-vANIwz+~LLF3NFxslgaRL(TxRNXT1l1jUeugb%p
zv$a{u%O-O<F4Ip@*!#<g?P7%LhXtXWHW{Hz{83XI5|#*Qq=hmaUE{T2MkwbIk0nAC
z7gn*zZP99EU+bk%<}}s8a5Y3l)YJu`oD!L#O>5#*Pee~`@K`NmaA_4wcbMvlpX`$q
z=QwG&{5W>xLAu#H@h)bM6~gQvie;7f&b(r4`Q3Vpp~&TygP#3y#@dFPiu@l~7V)iF
zv4S}=Ih8@_(3<TVnp(p?)&H?LVORApG)wRn!|5)Qg8Knd4l`eRGSB~f>A>^7CLdV!
zd20&qEoJ<|yDb0D?Gr6KS27oHtmb<l6kW;?tN)6@sz5tfY_ZjchS|xb3A|StW3DzH
zTE+ZSGALN_P9Nuv*=^;0Ruh_LPk43zXcW^8{>@X@>Sc;ua@IYl=;mF}5qY5$ggGQv
z2<RxC)%^JWacIWMjLNJf8KO%s@fohZz-Op0VsT_ozypELPL0k!OFK=}chyT=-0i_%
zeO+-`xolDugwpa_Vp;n)+1xY!bg;7O)&ssa%ht(lxpK96+ODb#LE%k;rJ-kn!jI~J
zDMO*aaQ>)QuN=K&Z8KJ`zQMV2b<(t5T4^g+C+U{XH7nJ%DYf0abX`zQW59K*MW;@<
zxNP-uTJ5rxQ+KV<y<KlV_E-o-EnQc<c<I@QCoNA3-_0@1w_Mz<qjIhyDBWP~iIlXg
zFQ0vuah7TSzHYT^>fdX9la9Z({&n!cZ0o~i8y6p$_fO}LVxXAJrrY}$F4jI{(f#3g
z1+#S#cl!~^lwQg7sitXj9Pb@CF4^LC>eHv`T@O_@-L8*KKNI_UW~BLqGiN;?*4en7
z=15h|D_SyPx$wLnspmQOUz#Aym)N{+F8`DNn*wSK`rAM3n0VaRhU=+tmqts#iUk*(
z7B=lT=EPJu*WrIe;RbuRZASSn|DL&hwTb=gb8hk;t^c35oZq~6vPzI*<?(+Tygpr%
zH&LiOC{$3{c4f)J$!)tcE1pl-bFk*G|Hr5ms$xNJi{ACcc<HzJSRB#$Z3V(DA}%Lb
zCO+fddR`;#(ACpfPitBygs;{RE$WDzQ`)goVB)hoA7>_qa8w@VvOE%ULUEas%dF3b
zg1fDZx<yRyZ|qRq%x4(AN;b)2ku#5b3CGK|A+tP+`+lrh)TgYZdQ`CUxXi`oY06a>
zid^`k`aBFCb#z7ct(fr?L}|Dw^}TRBEohrzxrklYUBp_^J>*Q0%TXQokTp*`E<fvN
zetLGA@-~^md6r2<3qR!@5|qree6;9M$IpcCh1>t{?E7@&eEAghx`m5vK6cAK?KCet
zcC#kt)Aqii!_iic-&(Wz`xGBq-}j)U=fokU7mwH2f8-L2I{Dbng+012F)#j@gSTP6
z;Ncl-JocT8d~~)?b=urO4c|YrmUnLV^Ak3XHF4RkzPxkC5hvlolPOa-9F%*+>MHX8
z<2jbVI|d3}e^uQ@=Et7liEVV+^{IEA>lv;o&ODhV9g4ktiuVP|9G6&T*7zB=T%5)>
zK`!iy;<812hN7h%VOPTYDr_5FX3u!Pv-STZ!F9W1o&`ir{wsbuH`-77toi9(iqp4w
zym~LNIrygHDcjn=o!3A6uH1h^yLi4`hHLC!=Bg?;!MEqvUb}x?vU00vP<s1|Z^`lL
zkG_^%z80A?CH0)ll|50<8|KanRk@kDOuArV+}#Fmle86bTX?QEPg_`Z!6>9j&^h#s
zQOHppFl8uY7{VXb_R8^efNh54svDe=tCFTI)Jl_Fl~m5@EE|(>r|Tf=yO-i8D<-qW
zt4zLqt!CnxJI5;yX>Z_Vko&?V!^{xOmoN6+@0QN{RR`AGNk6t`=f@*!K!XJ)-9LNH
zxsUtLeyS@tW9sZ9Gfc~Sp(6|8hRyG{^VCf={88h_V>jQf`TT218)e<*`SNV@^$j4{
zJmrIB{|uFn=l%NFir3}+e=Ym;{f!Tre`M#xnQ=Zp`S#$`|My?K5YqqF%GKM>pkN}W
zKB4wd<re21J++$`uikURNo(~U4TT;#bwM|NQO9pw&z~8m)-5hLck+gZ7ymc=*K5}%
zyqx+c{ySH<stwywt9kW7$_u|<uxh!s*u^<&zW4ce$0efvnD;Eat#kB$)k2Z~(yc*%
z^2NM9?hifF`N-Qn@!v_ch(-PPKJ0GhPdlV&llMe$vdc=nw6>sFANHk&rF-P}8QxI7
zlT%*c_ueLIV)6{pz59I^zHKSU><fKi;j}~UxOPdC+p<+$ceZ5f2eI;TOzVF?uev3;
zpi4V_(a)m=vZ+(jrkOl?(;)QIQG4>l<8$-2|NGoE^Mm=pRW^neAK&RL>=8-6Z{*lg
z$!7EYXK#g7QLD{e#kS?vOAcM`tUhPGp~mmbhSh7e&UeMQJ^XM@CNIjv<qgXkiMewU
zjy|=#@wd}7`jMgXw*{$3<hJzOXr3ncdg-<vi#>l1b%<{6i7-6fan$2Thi!)BMs{7W
zswDN4BVCbhYi6V#>AI`hW5Ieq_gc>F+P&Sq9}SJn9w(>l>d{|qurFtmX|&rNnSH-r
z$CO7OJAUFukN$kA$H^&-hIVdJ2K&|+T|eox?)$=+o%gbfpH{6u>AY_GRh`Rer`{F_
zoqoG%*43M5{)B~ztvgY-+hg5{vfue{T>kV<jC8Y_%6c=$uI%Nsu>HX^OmBSp|6WYd
z)46-`-Cg&KWqJdgyPn-LPf<0S-5(mU#qEZ~t&I}qukF5_7s@%k=HR<+E;p_v2-W2&
z&uWZOJX_GQP?B%u1)fKBYfBS;?r}3=-pO-TLSfem^|v~21;ninK6?AJH>x8hky|z?
z>cs>v?#|z=w;P{|ADK2;*Ja&?Rz5@TqkM)-x;k#e<mK)wI1*%f>8nrDeZ^z@oO^29
zL#D0j{Jr#^qi&qY^+VhC*vMbHy6nm!#by6xld>KPTyv^w^k}l#Z?R4OE7PUJaRnVO
zS=%Lk^R4{C^pRy^oo7t<3h@bY*A6Kz6XP@7y<GNCm8ZUdr@))(VxCQNUv4tKwEFZp
zm*h!r9lbZ%W_WI6*FC8wF)`<;&dIPdlR%W1vf#;Y3si5)ZBe=1JT0Yr?t~QWG|z2G
z(^9mrO?s0#`OV#^NqZM~mhGG2X%-%QS!K4M`}Ee7bgxZ2XWg3grc&)@&lZ*4&63XN
zB%9}(q>0XpO{<s{^p@M&NdLu``Rjb7O*Zvq?oNpebdB^`V)b{q*2_9KyRMSm#wV0s
zN$%8JG;daW_llb{=0`Dw9xq<tv~TX-&@=z^fAua^e{DXk=<{4(%ljTu|Nc5%_pT4n
z5dELHaR2i!i=AHn=;w|#{_DB0=Fc{#>pt~SCTdS^1{l4Q(qFxF?nw*FS!#1+cqfSl
znFNJ-T->tu%-#u+KbM7uhV4r{+c33!I)}FZ!Qg1-vzK-kZ|?BitCRLJ^qbD#P$S_d
zp?1nKM-`{O-F8H{=jGFlTeXtEU7HYoUiX?zQiRb&7UL?{i79SREhkKhs#1~Lti&G`
z_QdgYmrX{b;K2@2&9umgNz=fTL}$cAc3tgH3sPO>wyg1Z)3V0H@N}2R<~1H0r-}N`
z7A=hq+p-{1P`P`mXzJHBD^6ZgemT)OD(uLKONp*O{Zd>bQ^O{NPLnf^Ej#i&xrOK9
zWTVm)jnz8VX>%-7Hcg+xc09J|Po!YbyqQ*~4xd;vEApVyye}!Aw>+w-4*Y&i-Cso4
z?BAV<(oW7hdQDa<?mhV|d9C1EhV_YO#U2MeUiw;S$+4P0r7v|&_Zh|Utq$reV(N=|
z=rqCkjLUt+sjU`|I+q>`KRRvomPIz&MXl3hlXR?)=<E~l)Un=YwQXjOZc(e5Y*LQZ
zl1ovKI#Z8dKQe9dTGw?2D^Dt>9Xzer79a>xXa-TZwzMO2t+Uoz)p-$FHL*FCH=~|#
z=~^F&DC^j{%|+;R_xk=^wdn4cg}&_)v-wtTnO4!HuwQZ89FQFld$&yUXwuog(PPq6
zpXq`V<6_-Zq=eJ>qYk}s^qygx!D)Q3!$3QYb92%(FeTB+v6)>r@!JB`Gjdy6BHy&M
zL>hX}5Z&AoxpA6-|7?Tpr#rfP4T=*B3k9B)Nd_wGCiZa}D|0s(JpaS2x}xA&&4#v&
z__If(%MMo->v0!<@=}XCJXzFbPn-EME~R}Bl(jp4tU9lv-H~u_^O;>u$EVu7d;E57
z63>0kThk3|)Hyzj{QIkFY_5K7zeWk~$LX!>6#uQdV0t88d{^6#*-qC5>Z3Kz?pu7p
z^oVu$LL2LBpG~o!Q+W;N_hc{5+|<^=c2Y`7N;A77<5t+SxDzG6?Pe$Ks=d(p`nq1~
zuD{DFbk}+X#pq5ITF`Qd?E_c;1Tzjp#YdWDtArT2>ptI@>d?7Ch|h4U!lRk_tAtMH
zs@^jyQw>mB)8g!-+EL*&Yn96ZNqvdkLK=c$hZL6yx!Cyogeom45*PE-3ZEyXxw6#%
zkzw!W1xpL%wwRo2o_0*ICu2_1v}2lSnINijV#l${3zsbTqokfYdOtqm=kZu%vx%Rf
z_hXUS@lxhHy7F~TMQ+~PW&8IZU(liV?*%sAzb|;8zCN9wao6m=J8T^MPG^gG7;Gl*
zeYpLql$%$^j1E(s2FPe{hD0z!{*Sj?+a?N0sU`7pC-4Y!@OsNh9qTzPy>G=egCM8F
zE^87Qd%$DB&WCm9im~0el<Bt2d%5IH>$&HayDk6BHTT@K7svZPvAQk)48w{gR;wRg
zntA5xROkK1*Ut;bPd<I7`?<uXe=%vb?(5>!+!m_6zL0v&yXdcmA=lsZV|wpi%=+@a
z>zTY!QcBp`bqji@+PpjbSWE7siMH+u$+@9txFb1qcHRD8t7x+8_J3zZn_aj6Co8(_
zy8S=c@YUiY=U-?R*QNQ-{JhdO_1yVaXFDx-=N-&3{gV<Hw%P0Ls;-|)LgXiVWD2GA
zT{>ejIW4|tYsTEm=6zvLB%~UIbAvOi6?dH2$R+E}!B?=yNnPO3)yfs~O1x}-Z^`AH
zm;3FNp6$Hak2j9+sX8S+7jS;lVe<Uu^qyGGDID4l1%f%1&Q^Z2KDLg<t7U3I$4Zuo
z&uo6rO%7*LJ>+F^B&5lscwTL0;PK59Cq24(BzfLj$J3i_Gb*>S>z-GW_?UB4=X}_i
zPasN6S@8U~1y66vZLzuCJT1L@?u2yhw90Ks)6%ugbm!GZ>i%^0^7z*g@kzZwv7W{0
zlX~SUo8O<J4tsF&3U6#<HE82(X;bx|njRmREupzEQR&(ShGPbe7dAXu`RR>zHm|a(
ziO&%!u>%rn0#eg#bkFBiZtLD+WBu&rlIviOi<t5GeOB|C&)50evCsSau14Z~UD<le
z^I~CktJA_SobZzS{;*KAzhX}5@As2}tu_l@tn>5l{8n7;wZ;Fn=0&@`=3N={F9t8F
zP4n;k7HO+`EB*Vk=^4A*u20Mj5ufap$&@zf(i+`;D}z;Ue^}CybJ$;L6R&>93A1$<
zww(NSvEO4t?&kKIo0F7phxeX+7oe0<&S&_h&!c$L-*p?c8-)^8Ws<ZUmssAc`;N$K
zm*(W`U!JMzm3(6Lv!zaa3oGp>O`obT@5Y~+(j4BkD>k<6S!mjRVOCCs+N2vFYJ4ZA
zyq}p@;Z{|8*!bd%gVh&|D)^(=-aDRt!0E;lXL$O7=w>i=)Z@ql+YHG)?7Ge07o>hj
zQcwBN70I@KM(T$y-|mC)(can<g)Vt1O$*~n$WU#Z5au;ctGPaZZQ_!aw$6ydOcIA#
zoDNS~e(J&faP)XE`tkibTJ96GZjQfZ^n>;6gU{0r3P%P=pO0o+Kk?|v2gT<uO!|Cj
zjngCVcO|atT;$%A{aE%{N9E)6=fw&i!=G+dv6F5;(ERTFs*|ERdnO&Pt=Piy&&zVD
zv83RS=U=BBu;0I&<=>onLO+VDcRl~JC(gFhe*bcnf2_8uANAj8cGd^x-}AJQ(!YJy
zT2rn+DmhD2S5U71T5ckbuHWa1%%@LW<m^NRD}Q*oJbB0|IPv(@S!NUO|1LC~X#d~{
z@9*vLJ60X?UGb6q(fp??lb5bL=+z$RXSvuQQ0eT;5A4q~B%V4QcA2%_yncm;(X~%k
zJUrK$3-{k##jYFubwTQCxh*+U6OM$5Zq5lcJPoFLTym~9Pg`4cVN)o7)Hba$r){fd
zq^|CY+_vh*pG{XqYRc<vxBs1Y^-!8;&lz>^Q_GG`+Qj93>Q(&txzgUJ#E)5}Z#xhX
zbge;kqUT*#9nI(j9nIMVbB!;X`qf<I@~`gXeds>3NV$fW`;JGF^NGM|zZPBXt~Oo&
z;9l~&==RM!>n>`oR(H^U8ETjQ^-|pC^O33Fwin;fu`fNPm47SEG<*6@i}g1i9XhGK
zZHI!dhu^jXaU1S_yu#8NX}-W<w~io}@r;ssi?}{1yW9Hek2M6>9k4vI=73=4KCzz@
zEra-`aBH$peD=Cue(vJLDcromnSPt>u5G#PoqR%i9h23PP0u{`%qv*<Zej1HGsnAU
zu8ZEf;q4Sgs|V@xHD3LY3<~<wQ*q|JO~%ie?7H@!7d%a8QLbAy<7s+VB$!H4d6X`<
z<<FVsY4a;Dd@|lB{K@#Jj(zZ%PsT^Z6zrvUFwIfh>*rR^b?xMlgJ-N}e%uktX0SSi
zN#|SWrr4-|<=Y?B|NQbZKJH=t&zkj<w*K)~PX27~_D<}Ne*TI7MRJojFZWT?zWYy7
zHdgbn`lOVlI{$jbr{8GdebnuJh)q!XBwO*%G@)rHe_Rm^`eCHlTDf_uQNW4F`c<Mf
zUqPkCMPuhVI~RMWW+<!KHkmHzShxS%W69MfAD`OBs#m-ES3c6N-sSggRb29-88<m)
zUeAkBb3QHo$u<15)wzz-+H(Y_^UevZ5?&Hmm7!hi?wvgM)TJBIT^X4@6BAN<CMG2I
zOk8Pn>h^gb1Fz2~mnEGvJmqh;)GTOa(YX#G#l<BZiBnu=8K3g+MU>KuZ=|xy<=vVY
zeeZvXLs9|btiY4!d+vrz;h6nO;Btu4*AS!b9g14>AGFPUXO{X{YqxLbu|F4@r^#1c
z_~gtVRkz}9#?QnXTMzMzZvGQsc$)vH#}R(pjGqhHb?v__c-o$%{-nJtvTntUr|n(3
z4s|n{#0~4UqyB9>@gv$!{ok<@B|oFX&fniOZU0V>)AyI1yDyP>;J%TcbkRT6pV4Cb
zR(0^dIc0RORaQ0cz$^jtnn~du(n-!8(R%kEi+*AgdpiB|rddn(<h5>Ud~o(x@Y;lh
zpz3k)(wrYp@_lYLm@dhQw2gIkZe6$c*5$3&D|UUmwe#ZEHJesEzoq?K@-thE?&{~6
zJ7yia98mc%^M%vH%oo!@jq>pCdG(%Wj+)KcQTM;r`qa^)(??H<Eq%sXDfMpI!?}l#
z1{K(5dL2yOFgr)W+w-9BizDgXDswevas>r>`yNTwdg$n_YLl_Dg<W^5f<z$aQJtv)
zXM#YKfU@A!4-1wG$!!Ta)I80rW9|emjkJ|5Nz=SEt_5{w26x^G?wr0g#HmYPK<ndn
zFUEg)2Q)7|X`0x1!gZ=h$Vu0!4JHev|JSCqx`lZ!@lu_YqcBJM?hkq6Y|~AC%D=sz
zG#ayKJaOpVVe`K@ZLgbI#uJ53lgIkmCPs=A3!i67@+t=>n*8)jH0cl4YxbNiBzfja
z+ohR4YTk4I9j-jGrTMw!$<$Mil`B|gCr(sMQRDuuVmHY>vZX3ke~EE@n0va!%+O@*
z2o=vXqq{RJjQVG=Z#)5>Ozwa5@&BhAa~?+IZI<s(F#hxTw%EZ+iOfyehUwL<5B@Os
zD`)yG%MO&T6p<_D=$~C-Gs8X6>`-RQeUA5x`xDpxoA|%!$%p&ypYONrmXJUE7`%e!
z`LP<g<H=K>RQ!8<vv0ZEyl)lPN+!8z{dvAWX2t{i*KB{5Zx8u-e(#cdrP@lTe_v<a
zTq-GMAa7<}WqeOe@_lEIxy!NLiqc-+jPJ3}<TJY95%aX{b-aAO-JRkJ)ADrA*c}@|
zbCoOIZpQvJvTW9Qy<|oF<31rN!@YgC4wqfwO)eMl^0^jh(_A9*C+nQ7s!UFmZ0ouY
zvZar2$hHbiE)_YiAUjp*Pp`EX$D1!!S;xw*JU{kMW6F#*i!0iWv{zkV%v{?XJNbfD
z$ML9k_O;g@I<79QxR53LFjZi!@|VPmR)-iLJYd)y)h^Mwg^xdK?cwII*B=&KwL0Rr
zqEsVoE8o#I*A_;sF7=6i{bFmB`|8p+ENhzu0}kz3RH`B#{rubMrQE@<Pl(UBHc{VT
zt@3{Et$c278L~f_E?Rxc`+w<B&dyp3;R8HvuVmLrEHnI5%_5u<W#aO=;OK^i)B9I_
z`S{D@*NbbO@{1;&F?!7<7yfAnfB))=JJ+L+@O0Lz8#^wWa`;Gp-v8>Ib$g%firF9c
zc_xV17E}Io-@@hlC;vJ&@1NhR*;T)^SLMHZ`AKh;qW8XzlST$fo1S#vFbH&cCA?B;
zU7ly^k*i^1jTb{FYpGni)aau0RyITBQdoz|rGIOC7S0!29Q5f!wvgA!Ta7_Ne;Cdv
zg?X{*Jo)@l?~>J{uunoyuHIc_+x?>NQVy5Mx|Dz|LHpTvoO*c5C|;sw%Eik&bNoc~
zy0=Nx7xu_G-`j9p#^|Zs;;FxQPoI?geW~W#jiTGh=Din_Pe^~8;k@il@|K|ga`~H%
z@3Wb2yKVa0jq7`mrS=Eg+)R$2dtQ$F%9PpNR;H|)nx?H4YnD}9SfweqC98{l?JOsQ
zpkR^BSzSqCzJX^}X(p)z1>0m?72%IEJ=z@RD`>rN)}k4q5EY+SFXLIK<o5Q<@1rv3
zo4<FbwwS*cpRHIQ%6|W$sz6<P_@@y2%ASMzxibzYB}A}!CEw_olQhF2BLXaLsFFKl
zv3EkmG3n$RJPru)Xv5qY=9vi*dC|!??i`1R>wKHOlifb*%BraH;85-LE24gW30=FV
zj=ev8t3+gzb;Yw~SsSk~1#OMUVq6)ev$Y{aciOCotEZkGPx{@ncj@w1*S5NP-7Vsr
zcxdYB{?kcJ_uj@|2wwVnlhV?Xoh=@3Pd#nVHC+1QoBo@XuSzQgSH-D*IcXEJ@7^ux
z=Vyywq-_3oMZ03&RGUXTb?ldRvlnguzil2@Vz`K=;u+O95B@Lz5FvWFZsux<vf_0~
zH~5Q158s=$TB1!`AxXk2=!ii`s{OGG#!78__byMm;c6>-Snu6Ri89apDH3hJLXH|-
z$u-zGUGJF8+_Pf7JX6Jdd2C;p8it?MO|mn;HDmR+gMa=9$nihF_&Ryr#zhkku3qED
zCcaTha^19ylowyt_ALCp#%<bV!^uW7pIEjXeGq$F<k0VTMQi&*IrR6Oo-45Dqu#u~
z0j9>GqHle#tA=bb^^SDioyxPXZ;xVp`H3k%t=9IeTvVHDaNb?q|JZeA(YMzOt9U98
z%N3uPQr#1FEac)oyZN@;?tHspe(Z(e6W;1Giox#;qa=e}e?P1F7O`MaZ8ma}Gz{I6
ze?GmRRqIxHmz5~5rlx3b#hOKx7glA+ZPA*=zP8KFAn2mVX02ICVXnbvR%Ilq1YNYr
z2sPo45<S}-<|=Hxuxr_jP>71lK^G0OmM@j<d)~N3S$WUIvNIF*uVT(@chGq2F>h5@
z8`eY>YSN>ac~~Zv>9El~iNk3OLXbB2szndOe59ZGN=Zz+eNDpb`$kCLXKmNDRT+Ah
zR%NX1Qkxj&njO03XYj=j(HCov-Mbhp`c~Psx2vsXRae{nX=0*p=i2_?{RA$uNKEwY
zrFp9|!o`(Cx16qeydvYmowUCz!7GrC6i3$mmEwPr_y5zpRT<YsOtqwh%0B!Lu2{1w
zWBapJi|$V7?mA}H)lrtXPDx6yp(EpkyWquu$$urBk9TyGx%#_q(XQ576niyT@NMkg
zKqaYF!J-!fwk}kXdaWZR_3M0A#)_w6$1b!v&uIF&<;t&wfAt#u?VmGDO}2!ZnEaS>
z^}+*%Fz3Ttg?M>m_f0kYa!<y@q@rTU!h^}myu5M}nHeA2ce^>iuG3z)aIIWM#=-TA
zAM5%1?D=GJ$M>*=oKS&2Z}JO|1Hu^@_hp;cD(9cLCTo<s%}+ZyE91WOnNJswPTu&{
z!TI+;3EQ?kiT#!-r)=Foa`rRM%SGo^ziChYBJrus_Spo@?-FZ!RxbRTVOOnl;X<8_
zTfgG^Z~b3pINxZ${Ms<;qtvYh@9Z;wZHtc#eqvgB{@Inv)Yyu7M{d_`7xhflz0AK<
zY45LvA&WNddgi!#UFC&U*>YQ6Ngecv4L-9fyDPHP*KqZ^&WRqepBIE)x5>C_${$tg
z`=;g9tfVlA3SaNo!xi(U)mU6&pVZ&;*X@%be{-m;haHR4N%v17S50}kGY`ucFdsH*
zkvg2_BMMC>>k>;mrJwB(mY6p2goK&%WJodzEq%KjZB%65(RJ%|v#)M-UBB+>+Ffob
znfohG2Cdz-&G@RR+pJaDJv}SeJ^eG;a><!W$F9(r!}>eBcYJ#n*Sn)lC$x}v|98Dd
zx2mhO*41uUA$Wa4favuPzdtCgi@h6M_}2MoL7}d+dqgvj`D_2(O-sW+b+~W(BV{}9
z=-q#&wxZYUVy<vxd)zqq-~Zu8tu?U|R&V&$)5np0_n&-m=(T+lS8poI^`B~5vPdI)
z#i_cUh2bud)%pHYw|@T`5?NjEKXohr7dOr975<@-&Uf3ivV$@wUc1mdqwX_*aOTRF
zV#gjxw14oK;qQHx|JnccPZbvZVX@BDuCdPY5v9CxNmor{3<9rTcpok4%zw@?wmI4T
zl~jyb(DesR6L+yKpRsAzHm@sN@7BM&vUTsayt`XUPv2^n-SqQEyKTwY&g}1RR~?vE
z-KZ=6?Q!~2d+k%|Zxh09z1?p)J#Jp&rP{K)B~P09?^YafHdyVF{$BNio@&hzXOGs4
zPZ@WKY3%wh*YvG3?|zX=K@87PbNNk`pUvF63o@NzRtT;O>EO0Kl*(1O&|^oI;y(Mr
zn8O104HkNt9r7MB;uSj|9DTNl@j$`a?*eOfS90%mnW4LI#?7h=M$!CHX|EkKnPg5j
z1l3ziWnB8{gFds@*@yaUG5!L1sRwvj9@etibSTUT(O1{f_iZ{J6>^JZ{niyGT=TL6
zGp~9IYMrgBXz|*8>SFzci|P}^Z7*H<`r_@orYXv6_ItSJPuC9W+w`wVZtvfY_MBUL
zT5Vp5B)@ziye-$Rai@H$PVQ~LjZSQ-l3!9LOkB9sD&$1$-wL_^^8NqMJQl8~QR?@9
z^zo$4KkokjrylSB_~X6JKlXnAhaXqk*!3P?KK*#niL;V6!A-%c71LPGPkUe~WE0+W
zS;fNE-1gw^A1fZ8Vk`TduJCZ)qz$vxa$HW$^w_z5$(w^y-X51L{c<tdO!?y)jg5Pj
zvUjE{U*wT|DjCjP9~Z*;z~t+ntVYuV=U0kF9A<hR#=VBME^h0AC^3uuQ3<ji#6!5(
zBsYqOalhgJ;~M&h;er0TN9;`XoCWpqo$oHc-EZPl$lmz4P2=5yy#G%HyR28-u6J#D
z#P{l3yyU_i&Aa}FyK1zx<^8`Z*tK!t?Rwvqq=FaU;-wc#$nN@ko$;&rn?NI*lHcq5
z6Ep8hCq>NqeoSK8x4oKeOB*W`ST}6>pxf}5V{h)~P6quAmHjqZmz$4TZ`@?I<LCB#
zyH|?Q49S<P)b5vSG;jAVn8<R2Z~jTUUp{8n(l>SQ<I$V?z=+d8_hgFQ<dZDwlW+Q{
zb$8BOBa^ntX2!`~Ns=+j2e%6C32*xD`Js-}PT?TC>H&U>DF@qCe{`_OPkoRqR1?$W
z@6m8FQnJRY$=|y{KWoatbkzgf%}+h3;@prI#b)mH!IINX|Dd?ak3}r;lOCvX?$bFK
zuJU6QOU2}cJb4ozWD6zi-Nv&|kMUVb>IYxWeX0kytN!R?xj&U5o=>y+z4r%Z&JRj1
z$M)apbW`Pg`JI2JrO=g(?M9y#$GkXqZ02OvTNa;_*>h*zRJ^ck=6s8c&u285a_8+l
z>lmFT*Lor^^~v&vqT@4;WS?3nzxljed;J;f>Kc|ObJp29D*LD0YOk)5c%l;JpQiF$
z+0p2)wsGx}<Lb>0|JP0Yf8j*1$)}KB8((Gr=^ZwDDsAd*M?PGbATdw+X}r6ftkB`h
z&Pf#w9z5p-I_JJiuE{ev_M9cTM#JEkIzzI|XUWG3=Ox-pk6H8?ADcMaknd_wVL@8Y
zV#8#addbHfrzMU@9J5$wbZp}k!@l`Fg=bQF9;^IrNLEgk;g@_YaYEv_?J<jMhQ}WE
z8un%M6bdBwJQhoqStarK#gQAVcE<uc40&vXn#DbN4)-51V0ya7m`(AZ0pCOaHYLxa
z87hW69zus_g#3G}cX(2Q#LOo;5_4D%|4=dH2^2c4p_+QTF^h{ibo+m0&N`ig{wh0s
zo926cFy_pg{@}ULA19_g24QUbCq1|>^vA8~zE?pzOS#tvYtB0TgYqg2w))NXo(y*J
zZ0Dyu2;rQkdT_I9MIX!gsSivz{iZ+Q;XF6xfez=nX%8xeY~q`WRV>1rKC4*7H;H?E
zaN>+pIoPdQ(aEAemBD^#6q~-g!GnXpIr#U5ReqQ!-EaM6gYWS?>raXNv9*t8F@M*c
zWFo;`IFIdq+!-Byv2?2<-A}1LyZq}|)OdF2$UWSY@!^f+iA;&gQ&vST`t5Qq-cb=N
zKR&LXxaQ+8HPe5UwY?KXu0>2pGyON=nuPy0$EoktL+cK%kC{4Mw(yGEwX*-EznPMF
zjpOgey6*N|^D!au^7cpKN6*ZwpEo<K#8oNgn8<aGdu)sJwazV*nP~Q5fyti-CN(Ki
z_hz*<-@Co`etpNf7@4IL7n>IKtdV_l?Y`M(?sIAazn6CBe!o%H{`L29FVA|<ccFHx
zj@*yeJ(#Uhv6f~1<Og4c_Bb+?Kh)FEe=f~hIaxtocm1o`td-p?;vNML7qHZAvY33|
zKo62h#k~tSncj>1{FJ))@%#_@{k2cdG#3cY?T-05yQ_Q6+ykz4k*}3JJa=|HSli80
zI`OrZ-lvU%dQC#bMH3He>lMA0ZR}p78JqH-Gv-P7R=uVvQ@T0s?K%-4ZfV~i`{c25
z42$Zq@`-z=zy0^b-S*Fh`g<E6Z~R|<_dlo1(*M7^7RwkkF8;IYTHu69MIr~I-6daX
z=&!UmrS4|I<>m8CBiq?M^7HYo#Xd&*KYiCOR#1Ja(X_qK=t^K*T;UY|z5<acGtLBF
zYw7#6e^YFd`%REp+mOwA9wzfNSXqWuRjqi+)rE`a+*)aIO6Bqm>nvGTO-*;fJ*86?
z_I-NPwYa9LW$}U|Kl+N!r=Iq5zxiW^`rD4>8u_|O_L1A`nCy3MzUDt+(kJ1A(e{$B
z)b-c?IGOJDgVW3BiTXvJr^jNG>~GeeWvTnLJIdbC>y$rB{@;`p^Y^TIq&{Evp}L0Q
z#Q845Q>=fB+FKSd+5fCvJ6}Qdse04)I-@K8aS<OUzlIt0=Skh}<8_<zgm|CwZ>?*Z
zGG#u;y<aB<>|cI)FT4BXSLeGetjE4js@A!^_RFMPYrj5q_1V*p`)&HKGI_H7H7m}b
zAU~yw*DB6)Q{Lb3%#(PiQtkgt<>Hwq7psr@ZORjx_||``U(=K+lR55LofJ?%DAsku
zJ5T17YEY7pd8eA%^ZSb*sZI9CIsfA7PR^j9Jf(`)YR-3`?CF|alh-nP!4Z`_p|jrm
z_LM(RTkRjSxvV44=tFz@x3q6ue-?_rDLv6$_uOt~PQ?X_GTSM2jg!8Jmx?gH(5UED
zC^QuB`TykWKj-xe*I$^d^#7#v5C3zewL2ypk*W$*lbez}yYKs=^X+j@gdTbp<yi!)
z^{E_?*tg~QrbmMQHE#+VrQiOZpJXnz&BdZ%aq#muZW@w1CNiv*_I=@YC+OLp7u*xY
z4Rh<}WV}z^J>751%a8uIwms&wo~N;|B>v;l!~gF5yp;Fu<kq^<z1mByY%2<ESARR$
zI^{~U_lIu>L*Hws=I3_KkB*o5btkFuqkMF=?(MIJGj7Q|kc&F!{O_UN#CDmA3oLS<
z-bC>`dYy7+$+t~e(JmuZePX&>#fgQJD^C1d*gofLyfXhygHEmQbC6Z#blE;xCMdTl
zQ;0us?a|5;uHSp-8%@5j`1!Rej-VhprHa>z&bCi(+;HA!bI7@G?h)sZj6%7hyE%WR
z%uM02Tzk!V!lX|E2c!8VUnxo_^Zz`>!GF`Dvwg*}M>bEsP5l_0CZziA3yOXHwog_o
z+ob$fkz4es>+^)_chc1-RQzWeXW6o7YVr&2`8r{t+^2>c&UtbV75Qg$x4+q$BVKXB
zabfeC-={02j5w!SZocL?VN#L6!Dx2LR|@J!_=-+*uv;cFvELN1I<c`{)ba%5WSiu3
zt4alyERk{faLuvJ>cq#5%{93#%`*0l&1?8&pLp_j$fiune06gxU(=K+%^dfvP6V)9
z8kJ3mcDFb&QP|={<HF`W75je(8lU9&v?q$)(d(2WOTKK%isqbN*(a}+Wl~<V@Clt<
z8UA<s4M#Oe$9eIu6+ApGJ07fU<|&=<IEnq|F%EXiJSO(eD_3S;JJLVHGvbUTvRyxa
z-*DV#dC2i!9TR)!kt^2Mj`A6$2s^IoXJ)tDj_f__B=(=zIM{C*Ha5FNy{hHwkxiM@
z+xz^g6-Q7IpHjtZ1!vhOOKv#svpwYKH|v0-lIGX<W=HspPQDg<ejQoOh8vFij1M{T
z%|76`s5k5UpXlcbb@q>MDQ>>fsJ$oQoOMsYq;-qzlo)EC6wF!^bDZ_D6?bL3;J$Uw
zY+vkN$iCC1V&WX>zA2sJoCa;mZ}+YFed4%H)Sis#|8&FSSxb)Yo%Xiy%0zeBvLk9U
zm!Em|{d{;j<Bo?6jPLj6{A7sP{Qno%ss5!Q0sGF*b6H*a({&!#sqM}}qSg-%h`e|u
z+VDE|+zO`bph$kN2KF6Ztq&H&_esnt$-Q-@?Fi?!<+EqGO+M=tH?x$FS9^BW>$Z~E
z*m(DhS8LdpS!Ewyz<5jl4#P~F>{mkT;^#8(%UroSr*!S-h37V1TAIJRRj;@HpM8Kg
zGm8iV0|N(xdq+%IqH)CspUDgiQ>QR6@G>wkgya|a=BJeAr0S)nq~+`hE=hB|ZYs4p
zSzY$_wp<9ZD?Gj}S6%k@uit<7eV*T4e9!jUjm^(igznC}7PB}lHiuW5-!!c(P_e1L
zf2Jby;ra;^Zyvdk{MhTecje~Vr@L?4PnmN+&1U=ZX;<oJSkJYtWd3(m&q?C>`rtJG
zFs5g^w*z>(8n^%6T%RALm-J(G!7LuuyOLP}x`qET7oGN5+<kVc`l)^)HJ8~H|0mw9
zH230IfBE9M^}+URQ<#_}l+&+2>&|_D^zq%h>!ev6`}o%6+U>8gs{D3$b!N|_hi}i{
zX%j#4{Y5}o(vx_5<#Q58Z{9i($aO+Vq&Cw*=lcVZuARAUd>Mshipo>}{fSH{X#2MG
z(SnP$A9+6;3U54kbN}0D!Hu8u?asIS@+-gF`bE~Qj<KIL@K4C!w@aBUW*q3B{;swo
zRhM`7`}(KJd*WK2yeYf4Q2cZIvE1z)4B?OWn+J;Dn_IZ`{>R*Q(ee-VyEpK?={tVh
z-r~cb4GJ;*CY!q7>-tshx9fY`TNmS?ZKN$E6>_gGda1_3i&y6Or%aBzd;9b8Y46Xm
z{(mv~%GY0IKeey&iAq*&NUxT1Q?;DvB`Ul9;;cmrXJ6`;y|r4}YD4<;fGZ5s3`)N}
z`SB!2HtF<FqbWR#z1d|Xi|+f%Wv>X>oY%$leBKLok@(WvpX2^-vb|N`_Urze@4+_}
zER1iK+_$}$;;`a<TiN=2|MsMmw^Kgxb5-Va$|)&cxK+IH>6uB-_r=N7T$%JZ<3p76
zpRy%i6@K2}OsjCXt|9t2Bz&b&`|F&i*F2>y{>8}uaMjP#S>I^=z>T9-(4|K6x8?Wf
znyaCDZ?tc2c)3<ZZThhvt-4*y!k36gW^ayr`KT)9;Pp*q63bohig<{4I|Z)kXo|3O
zHC(K;#_s!_V~^ry&s*hjXtTwk^#@AwdIjGxou0IOO~O1UpEIp(X@cUS&6$&KruJFd
zZ&a9}-qG3GZF;O`Wzsf<LOK32wfu`Ow8{=BJ~2qwtKRR>#kl;!gvTWd|0RU_SSm_y
z{T(E<&i2GhJD)PSnr}w^)7##;vLp-2?$+7P=O?-+@7%(o6(_fSnpm0Z_{`khRYk_T
z#AMB}*EjjkKUZEdEB!|9TbKW?%dOPKgJpb=96KrbdFR~j|E=dat}C6keX#x~+k>ii
zZ(7ZEuB)9LC8{p}(&yIt9=>Pmzcg8$xw&8bYE6~rUN6bmrB1s}r7X8U)&5^eeaRJ7
z?*$@U9&9h1eI_2$S{(mz=gRl1y2W>`t(<Mnt$g^aCj-OsmS-W^zvl&%O=dMZ{NkUJ
zdRS2_(-ZTqx}?BUn+#<dJiDJy@ScCjJ!^Z(!pCWiNw@!Moe$vty6fHXD+ktZI8?}Q
zC+zZKnuL*xvB9gyj6Gd>21i%LdHq|?<S62k@iT5;syg#g`K$x?n0~iUSkXUy({fe!
zjs9+@jC=f!sO$(1;oi8g$LsvU&zTS6@9w{GcZdGgip9ddhb;Y8zYgTMGR@0?<DOoe
za;4>M<Gfp{t{1tP_Zr^+wI)lg_@MQh_0muOhW^|3^5C4b8S~T|H}}kceWlPmO2a~^
zpfI{z{`T2@3+CAgKK{No@tl$L`ow3K{wb?(_WHGaZ}F;0i`8q_%rY)dE_-(Dkn@`=
z&)%q)+83^~JoMUGH7`w8o!f1-mU60-MuqTu-eNz|eJ7f)%&}EEw)T2i?uFbirKI@>
zt(2b4bf{Uy7|!xM@Kj54@*F?0j)ISSO3Th|x@^7DR<rrrhS=s^ozoeQdK-U_yky&4
zHnDJG-9-NG)nB$}1s(93IJGNq;XH%Co6O2i=|6b8R^qoM_wpYbU1T~x`Z{wommR;i
zb#iq3jg`hM$DYaaZhpkNXP#cB;m2>?O_I7(<ySB*(pc;^d48L=(L3$JW93)kE8nUX
z@Ez*!>+kbOIQ30&SMYJM82!jO%<C%?{Cgj*JF)Lau~o)yRkI7+$(^_S)TE!Towg*x
z)BB^r(&>-4Z-{u7^zL9vP@6#9kvU0ukG1}um>y@w=yV`Yk<p{2nkitii=s_H%MqvK
zMy`;HT<lFEJuaFu9m3fY<|f@_GMVzqGh*h$h?$)cGY>{AHE~)vp_N&X>o=2V<|5JW
zELssBp=JR)kJ_F-H~Y}+NlKjdbM)%3ef}_;>80w^#p}AJ`4+I<dKbo{<57IxZc*_u
zF<0Mr%Bq>4l6og3ojzrFP+`4fNutk^2U!p9->xX!;voHupW{|r_*5yUAiX!+PQ;j%
zgsZQp?K4t(t7B48TM?`Cq42`Oio+F;E1R{0G-u`t96C`e@11)2lcsg%!>7lC^&c1c
z?&10N|G;&Ld66$P7KMJf8u6eb|CqM<H=!Q^pI^IsL_GOXKeN8><?(5zdph2qE$c0o
zw$gWLcpL5<ze4N8@tb_MfA2l$-gWDnLF2{;N2cn2ez_p%!ven#OZ+}8;Ctc3e5+A%
zm%H>THbY+7#|E)+N~iZcwEPg6;FT|@)cRV3(Jx=Fc>B}a42QP!dpvt!&3LH%saJXD
zv};yAM#&cQ*<X03v7L5fd!G90W@$n;!#rCV=~>U$WJzazn{!U+Nm3bCvvZ4L<b%qs
zCNEdnpKjCQeZI!-R;W;R@NQGvxqo()ZuTwik7RkYR@FI?S=`PtNFZ@ql7SYZ?rhuO
zFm9QfB3`bl2HskS(_@y%HW)W9=Jr2--~z{uX;$u59^d_J)x1_#O`h`XVJ5d%)YlhV
zzUo{wj<|G1BRgv8q3sr*KmImWeXp?De%bLKY;$HsU-oPG_9%I>OT3@|^B}II&?aZm
z#hdp}GIsLq58UDHoppW7nuVY4nRr^Pd3|tc?4v7w8R9<uH#GS&4(A+O<#_$Ooi;O{
zz>7eEIGr7W)2kNG`c!I@_mbo4H~o<7cO0sJus__Z{P*%Up5~n=I(ftX?RsB!y>+&@
z$Nf2x?_ZtyezyO<ODw;u#+&;a9@GoIv%GWrW9t33Lr4F1{;|*Nx63h^Zf{;y>X8;V
zbMCMEP1S~972~(G+EggaT2jBp#_;H0gDKf*pZ8bCeHWKI(Z}*N;OGB;H&dVd=ag2i
z;*zhO^@+7)uk@~+?8{$9KKPyCFd@C*Q+iBa5c^%ueR7f=9CzNeMk##uQB3c=wr!3?
z;_)w4YPYpq-rL&W-z&DAFE>U$XpeZrZzGSK35A7;U4NKrO6=Ry&&S`|*wN;4_Gz`r
zy?4{*@om1Twaaqhk8a(0=5hi{%`f);n{dYDT;T;zk<-)SGvp)c+T$hWyw3Ce|K?f!
zHV?UXau*x76wWc>IyZyi&&!jimUtAdmDnP?Vrr~Xd#le9u^o@3MfPxgSa@X3hP75z
z|4L>WtUC7F>8RPZ10})D3)@)Id)7GQ7k(2^eWp1>cly_ORzXg+#a!%Lgr@YYyzDYT
zFJO_v#<Oo6UvHnUCf0t%=i-umO!jy72i{YS4!a)ed*@1ayzl91ze$m9Qy0#EGi?Eb
zZUW=Z1zbN4Iy6obYRXazRFF3kWZB&#+M^;-G&4h@U$b!LoL2UjqNhSdyoqxjUz;@V
zi+uBmdkeM;e%9aVyY%UqCAEDk60;9W{M(eR<C=4~`?pB*m;8B^`9|+tgH$v^)f|FV
zDgxM|sv__HxH^AZhK1&>hG*N`-aOV{-Lm58&OQ_FrP`8l+pn1I;Yfeeo&7F!VS$F@
ziPaArUrAh96T97j<C|D?Z`AZfLDM(gnty9)?Di!=+mFO8zp`(^m5mG7SK1|BPYivv
z<xQ!FsMp!qUU%;bPq&{sFQl@_bl-!*bDfVq+&oqG_MjX8xwCWH)VS0c?z=afjyRat
zq~$HMuXt;V{P~jX`Hwo!ZCP+<ukZYAIqQ`i0%oQ^nlt0^=}RB#E*-zlB&hj_=|S|}
zM}L)G$nhSjdw8PZc+^3bB?q#@3}+QQVk+r5o}gw@lJ%lLrfuGV;x|^jMU94s6!J57
z+MIYNzWr#@?xX*7!c5M2?MU8emmC!+x#bJXmK9$ze==>?WZXXY|D_4+>m!dA8BEc9
zA<MSd(#ve;Vu5t4w;t;K>-A^e(opR`+Q4{Fy5H7tb!)-`wo@vqrjyTH2{H09cI4j4
z6O_9wQEvXB#ISXY2O_SrTwKNZ?3LOS&9ZOHe)VkFShd)HyVcc|$)@rvYlOE?HuW_Q
zHP1Tra-&!KiBGAV8%~NDq%tNP{rN-Wz)zzj!7GOZW_)W7{XU7YeA3F_CndK}`hU!~
z{PF)|Gq*opRekZ~np5S6FWfx5-i-5UTHs2lOTw}a?N(7Q!rZi0aUFSf>c}asqdcdM
z+B{j~m*Fk)+FN9Wck;5+CJVTJCrIvjer3OWYEN|&$LIAzE9a;RMPxE<7g4?VB*Xl4
zsK1y|#g+>XGR;4S`llJ~GP#(Ra;*JSh*K)>@6#bnDa+eWU2#hF{eAihQ;K@~sSxMX
z-ruJ~n6rYFvqLXNU98`_s&T#TsT&n5*X>+!QrxmyxxBeW_r}&OiosguzOp>NH|87(
zE$5jud7sk4&zaBm3Wze>FS%hF^hR}h+Qek@6Y*NToCmYrxK__qSy41&O}Ego=na?r
zxQiU#J=zfxFP2yy<??#f9HpsIG6&`QZU_k;Q({?A%H$ctp#07;M2AT?&^bh-xorYd
zHtX5KgddB)W<@Se<=6hZPgB19i&Orr+^EZEgMDRkqYj^y-nVaIVX=AsmuG$V;;zqm
zHPf)DI5hd}Z+5*GzCV2>Z(AOoe%vJO$+I4LnTxLv&sr&Y$n9v*jpk!+8;-sb-0`wE
zadGDwo07AM`Qj6%@yqsCxJ;f=YW-=SQPq)OnS~<d@^Ulli<_7FezG$(I$8h7H2Z1O
z1gnY;$({pqBplxv3V(j}_;=3{uXo8R6FMdub_h?Js3bg5%XosxrwJClE`B{OYCQ)f
z9&M~=elWfF>Y>ZuCj|RVRk|H|>vpi*E$3yc=DKG;s`#b+FLkq2r;Isg#kTw1i=KWI
zU1%)2baJ<g^V#jrnnh(!q0IUR9HN&^n!Z3$S4N;yVtb$9)XTH%_g>)&+<IsA9Ob1&
ziAye>`@%Cn-ky_lWs9r9f!%CbEOnY$F~`nJ{N}bu^HH39iGS9Yf&|wm7oO@nv&ea*
z2x&Q3Ogm7-G5cHPzCYIGR%SkmLF~mD4nNKqvp)IIwn>bE|GpvT_QP@&33r?PIb}AO
zs7}~*ELhrcfsj%2GpoLX`=n+HTKq4xc^G^+vG>}>xW4jdKXvUazaA=P-Bf8@ti;^7
zqO-?3h1DQ%-|>$wj9Hhm-US!dh`w7q+u+KxJ#prx!6uVSw0DX`lrNd(wJ1wVa$%&o
z*X*us=UHD|b;+H+X=`EipO+zp95sg~J&#=!yIbL!&xic3`z9USUC-X{V*c4BV$BkN
z-1u#RQbT8NrqRWa$C19z`8prSH>$Ht2-V*Ekc)AF$ee|uw{HC6G3#2Z9sB6grfgsH
z7Pi^x-o`ff4_z1Y%E;TKT*CGJGn?UK`IYbfopiSsOJeUaj-RI;So}pLgqiaLx2T-V
z%{>-R%eHjZXSK2B3M^W@aR0CQm7nu$T7<ILdK8o8_1-B(+6C<KFWU3x=L0oXRSxzr
zh5u8JaV0(YCRFET(6r#cf%<=eY@_hL;P|<--h|9v+~m}o$UU{M{3>t3ZxvG>UA@WI
ztitXKwkwMpJzQ~os>DgPS#M2WFZ3(=_jh^617S(l(Bp?6Ma}=dcxl$#b^o^YWLP!C
z<=Ah{eRk*g>t&t%)2}yo^#3`f`djRKp_ckwmn(k~)eWD@?u)4`So6ug{kP<&u;So!
z^}p7W(@rEjGm755W7c1%WWBqWZEyGlT)5M_!*5URf4<M}{{EdmZNfdJa|QJgEVspL
z>eFU3NQfQ%!u9ur*fzfxFZk0_T<$%-_V3{DjTUD2T76e<k5Uji8G0?i;+F2h_$kFf
z^Tlq>Fs+}WEp{PP>$ON<ruK{j(-+-YHI@I-{~XzsZ-T65{(Hq>A)i?P;pd|rp84EY
zH3hHSep+m*!7q7WVePUj2XB-FaLx%0jJ&IVd}%@Vp>2wMd57=X=uZi#`gmr??6qH)
z?rm7|CPaMeq+ZAQuZ4c;ZrPE4#obRRHhOpVo5?G;mRl_l$QM2pxoE~?v8(!pcC+qx
zwp!}nRL<d;&EC@5?DuKcA4BU6hu=0W^v|~3C42CQ>Avf2CVD$o@cNm~RyzO0c7yg~
z!N4#3kEJZ?Q!{+lyV3ZaaLU&AO*ZfL8~iGj=Q+9ay8Lx<U;e&wA%S;Xp7k;l>iE{1
zGyClCx;>R;Rrtxbjb%ApyPGagWm^?~_U|gT|Esp$t#@=>e@AZn^tiqDoxf*u))txV
zoy;2==dtwVgwoSS3pcZHTxDG0wCT{g4Gkt*^%ip_L~Iup3fu}Qo0xrPew>Y$tHP>E
zLH~|?|B@c2$=f!w7RzppJ!ix8Pw$HN9ji;17dj_wEdG(jv(R2@nxeDZ{v})Nyo3Me
z{_Oknqw!Ap?P;E??(C@UQF?mN=-^+Wf-k=umN<P}=5%q*qM|DYN(KKfIkL<&R_~K4
zr{GLA`8!n_96MYViVH10*B|whwY}z3WSpzuiI<nBbBoJ=Z{aZ$=@0B{%E-Sq!8ai2
zPV>*YpVH>vXRe>~YC=NOi{1OAg?%~Y95bV0Ud-|SdqDU`;GEgk7PibT-TihS7gusD
z+<Y<g%eTZl(dp@25)YZ;@|hy`*JfS)U-4ez`L)dG=zN(Sbt`{YNIt)owfp|8;`LAc
zH~cMZ_-m9GF1I4?@A~T!pY+rI{J#6w+vCbZtxq>nezoy`hzN*SDY0kT`~ML_AI`OI
zeKWgK*I4<px1sazJn`(jt0jR`B~9+VD3m<<<TR_OZO6`&B95F{wktPFO@4Y_e4);E
zciR-hNlT}RuzF1M4BKeI?YGn`ODn-p*dxibV573Yb2hK}md}oQ-YaE}%KK=NIp?-V
zqTO+i%DKWKU#}~M+8vMhxh}D2ue<4Xvqk;Rros=`YRv5Lls>pt<3@+4@xT4YIyeQJ
zn7TH2hMUgWv8>+a&(!zRC3IMhzPfv?k^M~O!yjvO^G%mFr*GX*8z%N`)+L2c(GfaX
z{q+qdW^-6f{+*sH-zd3x;_+jl?^^$+X;;O+_q9E|H&JIvpHD^SJ@;F?rhFCioxAb3
z)FZ(jb=TC}Lb854%^okUUw=K|aVpcR9UDwvYpq)QG3BJT`5{}spup$bY_IFoTl~xX
zVi@@Rs&e>`N53BIogA$vW&5A!-=W)^{{9laEM8nbU2gt8zsfJFv2U1SXSVk?^{2c4
zPMNh*xyj1!@W#gmC9=m2W>x-XjGybyV0zDSO2zNS0Mqxg|6ad-%(vUF>8I6!owa9l
zWaSMmmwhYADLHQG`+DZowSV?{e?G!`^J(7)_40!6DS?-BH+(+1@a2que`fss8up`d
zzSr$kmhJO)tx|}Z;L)z)(Zk`k=%M+^mW+w4o}mpL(H{bKv*f%H$T}3in?-)tl3xO1
z3Q3<;N~YYO&KMBbIKPZxu|fHiH%v1<?MzD(N=p-LOO53oSbUCG?PPt^F-_@u%-!E5
zG25yO4>49eWa_gxktfaQ^nbU1+nx6X6Ztr=L<k;>G2=LGvM?s7{?rGqa|;%wYP^rA
z{9f|8G;-bf-MPo>YGqf6WY2JPKQw86lLBA*jp`>4Y}t++$noR2@8RfvhNC(2$|KqR
z><1ImVnnCs#NWGjuf}fX<z?>cpNrh$KYe-c`R0cK&!ekyIL>dHz3b)$K8vFhKAx21
z@t@Ii_j~!iN9spoxXf?*nl@=IwRH72&0F>UW&C7r-X(h!CNAr{;&Z5N-D$2xr@5xx
zlR6nz|1gyO^PbH*)9z~Doyr)h_b9JymrRPLY|kzA)QNubyjH<q8{D1si=yW%ZIeDF
z!!Ih;va#CWtj!|NG-3Z5U%N(=mLthYlecf3w60U}{DK(2pDa&<GnQw|IqtOiwDBvu
zf!}?brpu92lnvG|ka4VJf4X0{F6&J7in(zfGW%bBQZU?P!F)web8VnPo{F%Q!5a^q
z;<VzXyWGW5IY&41?`fXXrnai{sh9D0k*Eu8Jg1hF)~!|(*gm0G%TZ*mWBXb*W&v$a
z&Z9v`og9*0TnU?hCMII*tB|9@YnJ)Tty(Z8TXQehMv<t5R?V2;3n6=E@ifZLeH&=L
zK50SKi(Z=<`T3#D9!nkPEbV@f!fkV@*XGju&8zd@hkW0)GRpF2NU>|vRndc&dvlVP
z6~9;}Y^NbE$a`h(;jcZ7VLL5bPr9tW&`_n2bKu|>W=$#Ey@!`&1+B=JzIfnTLQBqp
ziBXOsdp+h&NPVpTU`KKD+<b-nt{bI$M6Ym{uG1`TF3;P(X7jBR%G<PdC!L(~N0j4P
zq1MmmEawH6c<tk!pc7ebT&DVWb<mf^H+#yL1m_%_A2o4huBT#-XXsYty<2`S-SV3|
zYxdd|p>J28_0BeDVb_w7v$!EI1lnoY@sT&zZtKQpca?Oi9!Q>VH|x;Y-RmTiXi<Es
z`r___`#lFIoUdzL(!f#mM3l>=;Y8>WZtuq}7RmA~4JSm8@OwRMvF(<NUu7LVKSU;u
zWB<fuN9?(cf<qX2?Zwy>gE?zdl9sw`)czG_(sJO;`xy>X<TSb;1P6)={e8rIK1gH3
z2W<xj@9+$k^XXh6Nl*4ynO>D#q~3X5{^73KIsF&qXYQCCBOLuWE>pVXNLQDqs9#Qx
zZ^8PF*R4{74lNODH~P1?{;u4fb;Y5pw`^K?)^%A>{MDFkiM-c$G6>%-i@hl=f1TTI
z?cZr1x(-ck*|N$+@#W@q=8B7}H2qpOTyWa9Q^3b6)nTsn84;Z<w-mo?yAoV3r^t0L
z-KJ5cu)ffJ#)n3a5A6E8IsSM%XmVUTxuj9`l!al?l@^aVI=n0`ZJy3c&YV2bwP|br
zi$!$;XNwm-)30-6sSdRYU$-D@;_2r<S4sRln;4ZEA^G*w5vJC6)+R?%;~CU-8XroO
z-H1|n$jL0R<%8B?Ase0SiiIp`*)tZ-c4Sju^Wng1(`R}$J+^-oHzo?K?qO7sbhapA
zI&5baX{_OOEuq7ujaBAURl<@>VRFJVe8MB1gx(ieD*JzZ&yJpH)-_TE3mP~U*vT36
z_m%jEtvT2yWa8y+ezHXQ{q}j&`tEJII5j-l!B}&B%-5<iw%X;BH*WG*+-+Z&zRTsB
z&hD${EIw3kdGBC&^J43trojHR#SZCh-D$fVcV*B1)uQz)|6|!F&#e}RrhP1X{j)Jk
z+R->X^4!AbjS~(u{nOM^s5a5k+fs6<_u5hAtxGpXZTuFz<cgG4!_@4PKPp{r7A@3e
zd1GA=7`|oNtA5{K)|Su0cifs>^KG$>?IH`F<lws;zYi~IDAU^|k#uFx%Eqj!-W01h
z4^#Zpgm1XmShZf`xpF$Bc+x!ot=D6}J#PGJy5`n34nwKK@>AH|1dL4!WW+M~+Ey>z
z=N*yPrg2|qr)HAzC*h-y^tB$XtyIu7ZH&@AXewNANKhgoQL^o5hVwCv(9ayMpN_4X
z$#wG15o2zSwKv~-={`6cWV=XW?>?=)%$wdVToe5C)aQq>!F46c8@zq>=U2QtIZaM_
z-oI&XGFtX}F3<jIwS8JtEr0yr!MaCDVSiV)i=-TPh;dI)oXhZk(HrJJft$j$<mI=>
zd}^O&qfu-s*)q##&k>$IZprJF{54G6pZY%wH~4$uN0p*>SxEgPg=+bIFLr6Gybde8
z6!vlTR-0~F!NA~>iof-?ZcKVL`<MD@+Jx&xbxXGQ2p-S5!gzVb>yPg?ggjMx(01-n
zmGqvKXF~U%C^gSJYM#<+=03gUy0X*bYgaSmC)Xr0d9%H&=B*B2^)F&~X83^><^tcD
z`y4Oo|7_U)dV#=q^FO)e|AeHjwawpg<Gu9}L7tToztWQB5A}wx$TxVXF;jc?44;tD
z!tO+M#n&bxo>D~(hRc&ee7btM)vIRA^*gnVlfTd~SMbUgjZa@zNORZibWwWIrKYQM
zD&FVZHKPVmrj6|N4bAeqUo3qXc66g^-P^U-5BFW0eEbqaat~|ngL03*^PJxbe@x<b
zI+yf?ZAmwm=X4WwrrPiqlZ(AMJfvR7O!8QmGO@8h&@sz(`;Hkp7H5oSTydGhF|lc4
zK_kc1ri<dwKYJK_zQ8!)ThE^(!g9&M+#fH#4Ut=W^H|`$Ac@sUs;eI@+tXdDzH`;#
zH>TCxhbC&><*d8>VejY6s!xmlITh_s6_RVZ!@^biYuCHJS&R?Y?^(9z_r2eL4tAe@
zKToZH&dchSkY8JB>NelGTIj95ZU3Hq^S5{F$hYSoI(+f_p{I}C)p#mxa;L_=W<UQu
zM%>Q#z!jT+-_z=kxuu`43ENP$X?M-d^Y6I-ra9Ul?(f}UrC(t8{?DIJrIkPJ-tLtP
zJE>Rhnk@fg@r@4wS0Daonftji&HApS^|$M+GL^O2U#4!=O@Hy5^YxvLJK}P!*<I>o
z{V(pjV{Ypt9LxQgS6gMv(e2Dn>*mCDEc5kNyij!9#&bQ_ipS?>fAtFR{1dr$@vVb#
zJHC44ettdcL9KqrljzOgjA|-Bm56QL!?B}2-fqLP_f;zjxMG4;`4p7dysq;m%yjp(
z-|X`6?zcL9!HFk3Q|>>V{@&rb{MAqUHm(Ws4e?N}PMx#zl1EtXn>g8xOKZ|!zL}9C
z+_&cM*GBCn&e?UF6*^jWHJv@bQFg_u-M=TBH_yNK;X|~LsMqsV``_Hj-I#Q1!Ib?<
zd;$DT0na`=pa0j{t2nRftW^EXyDRuOuYJxe?VMQ79NIo(<BqyeJDu%2_OyC;I#^y4
zX-YhwY4Lxz^FCFsnzCsUlj0(uSjo75tbI~cU9`tLE104E!HlM(&MT8bzCLv{we1nP
zbtm|-SAoE%*<u0?%QPRHV9Ja)4YzJyaY%K~H>Dq&Umm(@*(+BYInD7w<M%1%i-Xty
ze)!y4RwLn_av-nY_5WpV{^yU@#8sQCeRKNvTw%$-gAvw=D@xDzIL}MT;dp6Yx9Z;m
z+pPKOHW!SxFa5u5$*%cj?>}GnIDLIHpLS3K$BlWn?sV*Cy}I{iOWkL_Q=iks-#MH1
z<{$Ipp8Y2K`bA%@Q>CT5!ptwqtH?(^J7RK{|JfD=jTaN#rg(dQUN^T`{NBxzGfi5i
zMSXr1(R}Cd+@qQg1irck2gcsc(K5fE*^n9Aoq4+IYWnN2!r;mKp1oW<KiqqFAOGFH
z>Gqp->|-VCZa#_pnfmbAC!x9rF(%gY9!G{|PiA|RS9a6k*R4xgJJ+XNb}?-@`|;7{
zWYbE|;QXC_mhai3R#q>#-21@JKGWv*h5OAXpP#O3{=aC7yyBY;OW(GC{eAN3zn1uq
zTkfrVdBAq2?T>SJ|F>UznpGeCsdi)IeCGZqr>9=4`SaraQf=YqQIF2O|9$yZ*96fE
z%igto-jNuvaJSvp-O6WmSm!Oy>hI(!W!h6-z2(Qv*(cYp|Lj@5if5`xRQ;C7?VnD|
z=e~RV>SFS;NCvrj$wR)Cv$blp!`II_EV@)<)s_3-Vl!tdr}4$iocf!g^s9}T+>V||
z#mm<-rKYTMUUqFs<g(o|W@jFLJa?kv<EF)_`M-X5*4#C|&+cY(z?`8)c>BtPy!@ZZ
zZyz4Wee?cAU9+(J{Rf3%8|*uL?maU7s>a=Po$c_7!oR-VI#sJLoV<R6ZKrAXj4jR&
zG%M0yEMt2%P37>N_wSEC-#=Z<$L7+LZ^oYGH-Assn{#c#JrAYrue$aMUwTxv@#x7V
zPg@pOOnZ4zMtISV<8Fn1vL~d=OxElRS6id#zmAJL^A-PFwJX23{Hy)8<KtP!cXoEs
z{K;M3F%{eP=fzp7Ob&ZzvcrABQDOi4Ec!N81uuT{9n_z0?{&{|>%Lja*RNNZ_Q>rO
znY!<sP5bA|8|sWDU0aVIFu0u-_E2yC*9Y@gBrg2v{ywkm){NgGJ;(K3y?th_J^x0t
z<VEhKwWro5F)|D8Ke@Q;TVm95<ESa|Huw5v`~SPV&6{;7%lusU8Ohqy<+oI{?59Lr
z-@5oJ?}OZTk}su0Za%v2;eA}SZ41lce3vr&vaTd&1>2>o!e2f9`}Xm?oAa;uR-JT^
z$b6yAR$k7-^WU_%Ab+8>SJ&b~2iM{|%a`PJu+F^3So|>O!RkB?uKdmJsm5X)%cZ4G
zUz`we_W;MqZIAbTtGgk6+l0?-waM2y&(f`_Tk4cwuRgn>o#!=&>^JtDjenE<_>%b~
z?`>?FePhz@+mX9g3G6d^<FEP6vnjOAEbrR8j?S}dY*l75o6O`knJH{?^W2XEQ<C!c
z9bUzGTdQ-nfW=(R-}5F(zxdC*CGAbuHJOt9g1$?4J{-SR&1Ea_VTnw&SCT`?vV{NQ
zK1Wyzwpelg*Ok=T%aiSY(~T#-u}6UISlJQ*g=Ng!CA3((a@}<uyx0>h4LAjUpJd7X
z=avyT<IV5&({8m){c1n$*YUb!o}K6SZx?@JapzWM;>WJL@fS{WOV&(Wu%+NZ;mTEA
zZW-D~q^D+lS@U`Q>GQ`En7R*Kz5Lau`Ht!*;n<L6n(@Nr!NJQvE)spGcQVDS{YkIc
zzcW1hJ1RdgAGAE=bK%rn-$xz09yeVS+PHT9<-K2y#-6@>bZ6e}nViXM%7k5~#at4M
zpO&%t^>W=`{Ix0h#=Dfm|CL3)U8w56Z>N2``Toea4{xgms+~M-RVTam=N_HQ?9;dW
ze0MPI)73Rg*Q#2x*Y$kMn5{Z_o1gccfURHskKVt<!6az8uy)ev)N5jnirEhtwI4EO
zCn{+trd*t6?Z36NT7A*(6%RY57AMuNTs!x|gR-nAzi<CuKlgheM{h*O9JU9Hvl)7e
zuiUR}_ZCn+drHRg@V|Ap*O}D5UDub9TXdIw*3M-%AGiK9V>~_erSu8UvS&K)MU13k
z?pE;xDnC8SVzZ;tzjB4UaU*BpNx7c<8@Xkfxu3tYZ<dQp`nTxhw754jAE`u{U$8iC
zC9hQTY;|E#<+Oll8b5nFVy`s5+rm6y;r8FWe+r9U{m{FAeiD0L{*sOA@6s=;Wpb^q
z<#XM%kMp<Z`mi;Aeg!Xg-P&j|_4oU`T@G(7_N{BWI%7@5|IeLuQ!?V}e{J60|K(@9
z#miHN8~%E2u-SLk=A%M!3cqPN|E>eU*9&-3_+88S3n$E0y)*a9KELZ9#3x1XeOnv<
zV%_}K+VA^VJ=M1qO<z|1ZsV$|y!riB&pyxiz?WIK^2K7=j>E3b)%<4j*^hm`VgLEZ
z!M62ktb3b3E^qJtm%nxInSxt;H-4J%`<wmzbE3L^y_el5-~X9*CFkbWBlDs%c3fSv
z<LjE8qFZ*vN0b@{XH}F|=115??Aq46YUA>m-`#jDPfZ94X*{-Woy?`~Csz|Td9R*r
z`QBAFt0-+B`^gXY-oLsKy7Q3OBN>-Fiq`XAY8`w&x$6OQ*21|p-y~L6>uxiub51?P
z5U@LOp7`XP81t8pAMgG0Ns9B>s@P8px!<opJ>Mkx%2Cr*UOG|LSE9JDL_NN+Mcn&$
zf05Q&v!iKyle*VW+{wE6)BUqu=>prd>RIZS-#Xhl^<RDF*<X?@TWzfS+IN2bTp4z_
z#PsSBPtLI3MXD`Zy~6$<QPS!Bf3;iR;e}UhiS2X4j;X6|D};63Tb%iEx@_+E_!Bv$
zAEu<6Nt-?UarN%`td5Z0ywmH{_P@)X>bSb7_S9E@>AmY$e7{oa`+>!R``Qd$(}a#&
z29>>=4}RF)`)k8buksqR&1ZU<!d54I=?dHSuckoz@fI$NwKZnk?z(G_ZD(XnuNJbO
zXme|xqGw9&ZceW~#p`}_&i&r+{PvQW&NqL{sM~(U*DifF`n&%9(eGVTi`Gsp`gg0!
zWN&W3mx!8~z7IqEYgC=zia)s~=E1UMj-SEIK<%4>*^x!snT54$o0`Nbt~{H1V1cM!
ztEH~TjOUC37jLZZ65M&5HIB34{u1-Ee-&SU&=CCZY<c;{z5SaOzp}qmv?ph+o`L%}
zE#b~`N4r3`9p)PXxc6quf4U&~eS(0#sLbBg5qmD5XX2CP5|HBGVDa>1OJ2~q*<GB^
z?R<|&`5ckSvFT=7XIA-OCu7~M*4wuWG^cCdx69t1o2-=9;c`kr)y&ZJ2UF<p$z8G<
zvX|YvT_t#B<CLejU7deNE~oB$qwiCWRs2PZZA~2mB`2%@G~aw>bK!*U-E~UK=9i@C
zzfIW@x?8{^g(JhEv$bWpmB31lwjU?>b4xZJ{Sz#z{^EM2_y4tA9shP~^(}m*^Rxcy
zg?|5h5sfeZPrNu5EcYaB=kG7|B~Q*?U+7!xu*h|D#=+$)jVIOFSS|W^Q1wRn%kPtx
zZ~Yjgv#Ra)QRb5$7fp_TyWi?+m~Gw1W!=on?^g%Ems^{+zpP~c%6*S)dlvXPXG;m5
zvTiP$;49Sr@%C#w^Y!m^=C3oeWA4wZO+G)Pq$t+LXaA4<V(Gi*kKbv%@$KI)D@MLI
zIUBP#RRoz_yRkty-KO$OSMs%M1uxj{<mD9Je&EMwKV|x!u$T#pe=18pdnVd>Z`u;S
za-&CQzbq}^vwPkVk5Wa8x5kU-Rj#>Z^Ek^+?r%K*l&OCUO+T$a-L-y)Qee!*TLp1j
zMI9q0-p9V<;JMzizGd6I<+8uoK1IzwcS(6VpW6Rxo2PGXkNb8{zUb-Ro5|8DfAbH7
zKUL|>+hrbeZ+E!f(&$~)U)Shd-1+WGlg`VWI}RB^>+hCj#=n1;#Q3-MXiLnmX3b5y
zlP5}EouN~aap&IV-x*s~wEmx3=N9+i<KeA(i|_ipYWY8{{k+wbb*de?4x-hJubo~M
za;Jra9n_Uf6W?;ziz6d?#m2}IzO=y13F56vT(`G3D>|-U*}cS3sAtlq1j$NPy_(a<
zWE5Us{Oyo1i}ARa@By~yKGuBYv>N#nOn0AM@1GsMVA=2WfwONMYU#bRc3&J%Fl*ew
z%vwIdIRQP|;vZQ0)1Ci{DN4jF6xeLGcJ;k8Ua#+@U)<bW!aQ-4>Oxy<r~X-ORR+Ze
zd)fcZ7dX;+{%v%Fj^5;tO!kF)eJ%*kKQ0*Osb#m$QC8M$X4wft?vE+|Caa77uy>rH
zUV40T)Du_LS=ru^TX%PCdeR)4<27lHX>|q5wGDnbmZyq4uiR01XV7q+|1GauU1!P$
zw>#Gr<Ps$G4I0!XR&yO&)wX4cB3J)Xj;E@RZf@B*{qKVBe-*{L{;xbYDe~F8KWaTk
z)i!SJxhMVZx7=|-sgrXK3d;S|UMwrZdP$dE=xJ)@3CpTK9sG+|9P_kry`s0sm1S38
zZ&LwNONfiHeM^#&<U~ovd4+}V%^sXlQ4+QBalWLW#A(vg^hutf-L&B%&&di--=`D!
zeZ6!8KmQN4Zd<bUUrSN+yPWB9Hfp~vOJ;`WuREVs#k0fY%Jt;>K%Y`~>oncunjo{8
z&Pl(xTMILsu1UYXSNY)mrJv~=Zwg*k`7_aO>y~s4(PuHMJibVJ_%TOZ{q%xI?yQg&
z6O+xB1rPL7ssqB*USIn2`O`b^yOvS&m)`1fIsB=G|H9H!EqCrpiJ5)hFmdOk=o>H2
z{E-r~+WxO*@rBQmwVu1*s=Y5G&uC_#|5e}6A>riVJ>hZ(h52t(B}s^@{1sa6`q0O|
z=XFn9YvBvkRc|Fq+ZHzb{;)n<mdnMsPH2DoSMAv$<&`|%f@Ljfsv@`SPZiCIvClfA
z(O1S&`R-PsE#K!SH#yc!{Mb5YMw1`UD))<e<ux}<r!P5WJK@%c>zfmPrOx7(IQ;Te
z-+}Azwyv0x%5R@Ed9G&AJ%_icThtf@yH3ps6M2@R9PIpdrX-KXxnO6jGd-J)g5PLd
zyE<{&x3doVv)CRQ?aFRBC6Slxy>N%my0-uJyY>o5Rb1cj&ay>m;${0Inu{-+x6Hoy
zkNfG-2MSpMXCi*KPAvChyE6CZH%;f$CHqf&Ei?$Jd%5;>vG<XWyA9?4ym`T@a`we^
z7m>G%KdJtFuyPwy_Sa{8yLb8RPnLf;OTI}p)-c4^D8$n!#L<X_?*JFCP<7;y|GK%Q
zTQ&EDDVFSu@YSiE*2#AND#J#e2oA=y0}T%BCM@EnDFF&BOkMMploUQ(o#Np2>T1cA
zm;ATyT$DMl5S;qw#;*Md7vg4=aa|V{JFuxE-SMZR)4d7SZ0)W0D%WOreQ5Nov95o0
ztZJ8$fJl;`W64R?jI%}pQ!)<vSvY#^I2Z1{QE3l9i)Evd)GH0v9}+xfrSm$Z3*`g?
zRXd~|Gq?5SP5123VhBFHU{VB^*|G_)MNx~N?|JCK(QPF*q3D%OhLCZ^d*SKjRvI0g
z+-|nga&H<W1$%D%ERtAU=_YNgvFm+<$kvRGW_Dq@c@0;OKWyge_xF%^aKmOjOU_jG
z=2KgrwZ}~NElqkngX`h#-gIS~)&(D*Oj+!e&%k|`DK;hPPMM_ml+Ph{%#%zS<~49}
zXn1y9ZN0Fktj^-u<NMMpxg9?6rR?Lm+H$*A$EKqCzWIaqOHA#yp1*&>UTwOF+~ylU
zKML&4+FYjX6xYI|oyxUk%~Zn+iyRMz%x2-XPUA9pGtKZq!VmZ52M&46WaeG|KTvE6
z&(+L`E!~w@)@{G|FXmo&|Lk9-j}^T=@9!<u=>2o;F2jK|tu2!_FA?oky*h)Z!abFd
zc~&>ij&++JtT`oPEp@h5-hXyd-jchEI@KptL<^U$Tjrw9t~(*hvf_TN@dP(Js|)K@
z1)2kN6{L>FGp-3cU@Elf_xY6%zr9#BIhsH0%0%Uvvnpe+w)~XeG$~9xk^QUY7QX9G
zI9Bzi-+m&`wea4RhYW=QM-$$kyiv36(Ts<Ss^hf1zBuaJ3ut{QP<AhnGLFe)JmJK8
zW`gcIlK|!AZF@8hcfE_}wmuveW!QSYLg*fcc$VFv@O_-;rEhvCOXse8V|cdW#Y^8)
zD)*#zdTOtTS;lpE%E4Q5Gai>_eGt5`B_JZq-0|$A{Vj*g_HeFy)-1#||EJ2H*_=LF
z6`Ttscm=x8uqZR_4&vRrVy1Zc0o}*`S^v#9W=9^B-rr)r`t6-v`$Us&B(F+he5C3B
ze#T?=O|jj9ulCQ<HTDzk?|l~&`TPEy6N%>XR}|-LVDE0w5H5dhkzM>{-Yll>>1-<&
zY;flCWl8aCo$_#I++V)nv$ygWz4{!IzFf8G+@6xj$FBced^&UUyPSJVm#@#=&i`4T
zH9hv}yHjsZXa1>Zd9(b}J<YxE-)(>YE%)}-n&RK{ZpFpRRu_LWdp2)P-ubQPx8~k{
z9s2W!+`so+HUHipn|C+$|I~BmR)1N(qx-|l%j(<D<xjn8wQt_%V(qTWiT>7i&dqFn
zmcM)b*N4^uU*vVAY!gycn-2eqZ$7`{@vAcv4s320{FHuL<kQrpI(yd|>F0F&=RGw{
zeP!avtd+1R$)k8_AS+MyPM^qMy#Ya|JTxN~)xHdI<Ys#(EM_0Li04zE)$T$@9rK-^
ze67C3)rrPmx4O66Z1v5#-^AB;*k3ij{_c;t?e(qM%k}D4|J`V_ue`?cM_u3AMRI3C
z<St#!4gWg-wfcE~w+vTFg9ZK$YNh|u{y9|%2<V<)X>fIZ+AXK!OP4iU-}#l?^ln$p
zsmQ2zpYjwp-`@JW+Az*#g|53_+|QePj@<cCtFSoz|CZN<#`e{>yl=~$|C_nBc-NlY
zQrGvp?kjh;6V89Av!i(v>vY*)i|-xLfAGGVD={Rfo=a!S!C0<&XCw`j1LcAlR5cja
zY%uCET&!Vf9keXZZ_nlQIlWSu8l|jW9l^FUr2S7Vbe}F}`fg*~*3RtmH&)R(F(Oq0
zXJ;hJ&(QMLm-(RE=<TEDbHXN><B!y=o5>%J9D2oI(diejA)Hk0;!$EP!qQ=N(5|P?
zE?~iym=&unsyCVns4u-?y=Bq9Pq(&dl_brbGNs~g+6t+pvw@u#JJ`cSw3je$*l3(`
z#$-+>--@4EGb%g3Hh2E=?Aapju*U1!E~Z!c-_AH2^lEs_n7LDG)<G7I1m!(F%j{dP
zxCr=#8Bds0rNgb8`_PK9+uQiXj2(&7H&jj+xGJ(k=ZUQNWszU?jDPGKJgmwOZzxgz
z&{g@eukvZ1<|;{t$QSII%Ot<-7x*DB&{+56n02}OhlAORKAb(wzTi`vrKYj<*^jTp
zaxP!GCRHW0T{6g@_wUIPnR5%WMO~vrOc#HBI>ljuj5OngHpAVPo+gr~Hat{4Z8n2D
z`IeLDVM#OLV;hdLNF7|nC9crr@bG}w>Km_p(#n?1(3ED-kh~r`FZW-5*ohj6#fSEX
zXk1ZPaUkLFnXK=Z_7tv6m)^AKd4N#Ja-P151>I_A>r4(Syka!?D)7QeLqt|(Q<;(^
z`^BEU8g2i}nO+NCOz^XK5Wh=V?gL~0bu;%pZ}grA?w*`t!#qp7<&2P6^P<Z69(o3+
z>Qx>7b1c$sIUsaxX_Dnpo)=#<7Yom~z1maEc6`l4M*oT@%zQWNRVM_LTClwJW2_cF
z;CMwj;g#WqpAi~Idsqzg**EU4iY>pB{ig1jS;gkSM@LdBHcHCrxUqj0ocMoggUlIc
z*A8Lf2S=|xK4Luaq3zd7lg<B^746|(k$&UCffC<aZ{_QkGZ!vwEMgTrz4XVSiD6o0
zrj9~QH&*%QUs>{<-IaB<u%t)s`Cf;d_QtUL>B<7PtS4qX-y(gKttsDr4s+S@gMG6n
z?{X@5CzjV3@Gr;Htm^!Vr6)x%&Yx=XSN6u-$qFrQ{*gCk3JbX{6KhEDF%>`8Ar?5F
zvp8FW`QJH*W5HZ2eOU5s7VsQ&s5;<qEa=u>r`UvcW6it^+Bp}seH2+2>U?39-z@OQ
zerfB~>5DFKN3neClm6Dn-FE*><~5r)huNnp+dY^WEOX<#{NFu^3=d6wiltJT51s2h
z(EstH<0F-tyTQ54>mPe5`!1E3aM56Xs#Cv@<Lq7o)2FFYejmTaoB6#w8z<!_`0cTY
z59hf@5<Z6C9-H`So_i$W*U47;#W1nr?`zZlVJ8e{KbF5;eBi_SEp~6F9%oFuSj5|H
z=k)K9V0Gt{H;?Sw{;P^rHqM$avU5T9nzu1oyWQe`2J=3fV|DLpL-%j1X1i;bzqnK+
zGvDJ9&|P?~EQ6WHJ1D!~lxd!i(ec$AuZGojzuIGJ_eyTPRr9@B&2yhh97=xV6!Jx7
zy4{l!?^z=AS{NrjOh3g|x?9>#t1QkZ_1UqwZ$>{1(~tJ-Ycu+Fqx;s)eR=a`<YLRh
zw5O-6Q!=k;nOxWX+xFnsmDj&&Mn5=x;n<(uf9^+5fA0VEi+JbMze}~AZm^%f`^&q3
zyGvK!3ywQKS)OCDz3sanYEAPm)m+>gFRq`KSZ2>-KiPQS_jmUgQ+k$YES~!A-lFd>
z-`%;y?tb%@+x|u8_ehu<KC)j{d;4oqg5Qdc(y7v6i+!1%F56N6XJ5#S_>g4vM}k)R
z{I@-p^d^<KIKS96V@}pyk6EXJoFe%B=4l^|t4+Rb9W-5Ollkc*+qRtvQ)a4v8T<JA
zr<<`X&4)BLmp_vco|Ie4)~n&WWhujQMz$$GOw{gOn(%wd6q9p;m+sXrDf~U<-3PW?
zM-IndnPOFbGsr%NvFuBn(yt$NHa2C?<@ZS#o$~$k?f54D=zleR9`Q*(jQ?eF<ym|`
zb!CqIV{SK}R}o9P=e@ovd$ICq#PfTlM(^Kj(mnFB^>vk2$xqLVU%tFFpS*1Q4vCc)
zPx*a5e%xT6tfTGGRc0(5BALEReZE-e1ip}WJ$y-yM^dcf_u>_I#P7UbvF~=^rMEtk
zWrloWdAEga%Z@LxyJ7UkNiJt@*{WZ;&wY$HPOqP!W_2xgb9~I^ukKaMu@3id%shAW
z4acn5%kO14`dB}tWo>)L#q@%YpH+>iw#dY)Ph2^t>Vxh}k6vk?nc^i|&WQZYsm;7_
z_~%7^pV>vr+H03J%IIzkSd&r0I@ct9g~iL8yAQDxr;AN_qxCj3BKcJ8r3-VHwD#(<
zhRyH)@lWou&yi!t%#>cfGd_O6QqsMDP2-C!<Ks4#lKy>b6kkgj9iLHVvP$Z9%Qf?*
z1}on>Y&Me9QCJ*)bW!K_P<cHbFI|c2sShoe=Sg3W^*a<?z3AoUGWp=rZ7<g>f4t)E
z|CigU^219H=QCf}d|hT~m9G7*@c6phvtC7K_C#Bk=k3=jx9V$hw~^P=YC5TD+xzOQ
zxw}dopZEPZ(}|2v(kq{|Z*uuENmJuR_nb{JSt+OfU+_BJlcFneGRmyYb<?RAU#EMB
z1!a^qocy2Wu)6)6kY?@n%1Rq=-$x5)dAT}xP2-i(mo^GCTl2Z|#D>O+iOQ;{+Y0U2
zOj!bq)+|rC!H`>VX62&xE$!lrvYJhI!Wk<4Y&S$cb2QRY3qLb4V53`5q>!$GN}KDZ
z6H_Fl4layH&*0%ZIAyB)_Q=VnS4Jo-U*|rF*Qx(3^PKht{SSmye{cKb@c)YQj*7$5
z%<qhH9Q+O$u=}tX=Tw~7#B8N=NaDI}%8drukVMD#`OFmpnG<9}EI8i_zLo!Iyne&f
zyFGK3NldyM9W86Lj8Fd9ZkszhR_*=qO1^7d@E5<#!`I#}pIR2&QR6iEwo&9K?i2GW
zA~tUlnpTmr{Jibk$fy=kac<4~?@qZGopKRNRet#_Xwo9#Dcv?)Y*Vt8U9*&53Z|*Z
zd{Q_gU1!X;NFo0ud$sulV>Y+vef`hM^W>-1?k}ys|Fr$Q-{k&&zU?es%J&V_O712$
zf19>muWv6`oVr2NtM{{<ch-K^vTgW%^@zId!>?29PcIFA9e4Z|OE%l*#gDF3NzN8D
zE51JOfUxhMm5Cx^?#Y!wtxaMoQ5k&(2R&4T6$1rLJ$tzVy>!A&U-mrGRN-2uHAz^o
z`_F7!Z$-UdFWSC{3Vr0gl#w^(pf}s9>>nA)CWlu%y&N9-h-<T;$J4p34KIDe56i#l
zbhh2DuESCO;E+!6QBUTenj@PhrLPbwS$QR-gJ*Wsx(3#Wd3>8UDZPxGazWGoi-5wu
z;6B++$EN8>)dqf>beUtef6w9b9S>XoXfHf7^-1B$HJ8kS?tNNXU?BB>Vg23c?G+zq
z*u1k|9Ab3)#$=a&cP^UA&HmQ8xh1YL%ffo%x}rI2^^=_3SsYvsb**~A5)hu-bN{-O
zjK7w=KVQjr-a{2$>#H;3H%vNPrdyp}vTDI?$Kcl=R&RT(xpn%qxJr+_zRRz-EV}sD
z&Yaca&!rput7RIzB@Cv{vw0VE_jda637=G^-F&F9)&Bq8+eb}X>XN5S(F@F!FIc8q
zyi7O1b^T9$x#Xobm8oTOmXuBCd^7v@Uj~_9+rpPg#-7%U&0wl}Z2k6gzw~xbwwTLL
z^@8@Ti#(I$IbHhXb4%~XI>$XewDae`UT-5d;dtwlb-Z?`?-*LHv-mD592m7uMy#E`
zFFo_aDf6wrmig(PsQF}dwr-MfmX*Ey`5(FVD=LF>@}l*w&3yOWwExr&vujN`TYf(B
z-?rYHtER2ybi|Y1u%-K-|GpOa?UO=|7_(l|jIUA~m*-53|9qhA1k>x84<ENL6}jfQ
zpkiTjO0&qB;w6iMxo4>g?w#nm>EHyu#K~69=^>gqD*`GnCTvPP;9jsWMES-AwND9N
zsm>GmCpxV-uqiR*sk8d27AM)nmJ7R5oNb>vTc<c%s=p9+IdJpPl6@=^wikI<n9R`#
z<D9_0VdlewN#R;TqGc?ePP6LnGP+c(bPKKwW0pMrbBTK4#>HDW4`)qKpSIHPQm_Bk
zYSqX!VaBKKhu^QZohLHa)oyBpp0KWXGK(2Y(t&p?Ic_xEe;|CsQ7ZA+Cgv6e&2LPn
zPQ1F*#c^0j<E~MMk;>KgT}s;jjTT5wc$pV``oxU3@Fqibx6n-ns~$}|*wZ=3esxOP
zoCnhi(>&Han>%6Z#J^AXZa8*!LX@slbp8h=7Veyq&fZOhGDd&ua?4n3Zam=j*>LiZ
z{OeuOyq^6%_jiS)?SEv*6{s=4PgQ@`^b%(!_A1wZWnW)it@1mvjC+4VpBj55e?sFV
zkM|RV8)S=x4=`KLI#ap4UE!hL0rqO2=VvaqFFoM>?R&dn((Jm_UH58ky!RFQJaI<;
zJ*hM6nchchiqx*KoLB$Of7-9;V<)z2GB2>ZyTLueAyN2p%>r9ryLFkjC+%Zoy0l}O
z|L1phb`!*y{J8z?#I`)2vPGTo`hm&*!uqy+`aQwn^-8bfe%cv)G-r9DzxeHpAJt4%
zk=LG{U;Bn}Z_MjoGpE0MF8qz5-oBwe*1PUZu-%)@MO%$mS_(QF3v?x<n`(L`D8{f&
znWh(;oN`~LhbJO8wrcbHv-|r0c}ZtzCw-P@mN1&oY8D`JFzb~Ow^DogcBV;9mk%hs
z7R(T``DA%ohVk6t2`jT==QmG?x|qL4+E=Z8efV>6xn`x7oAXOfRSNmr*o*ytv}-!+
z>%Z!{^C}E0nwZ|7b7zv9QC;75YufMsX}2ULCH_5kXZ~C^TlvI3^H%|NV(bbM)xjk%
z)vW!tJ@KfP-WtB5z|e|0&s%Bhkq3dEIwJ4QU%8sxJUhqTZ#mb(+v{7`scboXPCfYb
z?bpZSc(U)8@!p?j6LERM$7e?$zn1@8eaJY!zuV?bKAZS+{ZssL*Y0g!ub(dG6L+rL
zZ2S6Vy_}yL>-Jav`LXxU&rJ{R9BS5m_v?KhpMCBeiLF)hYJPsQySZuE(KqkgJ>6~p
z%G}&kyS@CC)pDNbbNAR6Uk%X_y|??JQzp0cKFuV9MHT<}@7|M$;k=M5eS4ej$0OU;
za;k63op)nf?rrsLb31d@h4=q_xA*<=)w|Ybg?^3OyF0u5`>)N_;pH*vFDfSP;Hy(n
zU7UC%z1exrG8x`$>l^>}vn{po)#Hhr+IZy8{3#rp`1T&1@?{ItucuEUxZ5{LF?Uw;
zPZ9WEHTB8?mq6AY*JpXTvUeSh`m{EQZVqVaa%}f$U6jtbKJm~^YnT3Yp9NU<xISw-
zD{5QVvf<MYPu-?_A74&T%y2s;l3=v{XS!T3>yQ1lu@Pzem#0bge@>HF$Y{DMF2i=~
zJn;bbykt#&W+wB!b<)R=Ha{)McZ<7Y$+NXr&i%Ka+SUtCm+tJHeOdflxW~RZ;g8M5
zU$EYp^G03#__QE??%cqJCwtEBjpVG(=~4BoeQnk%Z*V}lYoo$eH||%Wk)>sy`u@m2
z{9HccU8~vkeGk?x@nh^iQ?hQZ!a5;?bz8Nb6t3KBar*u5@AeNbs-Mhm$^M-(S50rm
zny6(iSD$WKFW9an&ohnLG&Xm2Wx=W^$<OER+1Yc9aaO{LP1~F^jJ95SCbdDh<la|d
z6)9Q6R||Pd@;Z2O6Q5|yX<T!1o+;yIxG`J1anfYl>RGxjS8r*At~GoWsJB|<@U0oS
zzb5ZbdA%oVwt7x{{j|ucGrQ)M+_bEo@6tF`(^ONfH+sj!?7UfrEK4o(zL-QrT~=29
z>Zti5wtqv8W9U2k*)q394=)JSuz0L#7Uyt(`@_Z2S;6Uj8C&@e<hE@-92m84=7!W6
zzrN%hICe!tO5FE?{)~(U-^;gsFSXBFq802Vrv4}*>+>o34&9=I$-AZhpZila>)7X~
zy6>l+f7L5^Q_)HLrkHuM^QI(a=f9Sj6D@x5KksZ_Wi8}tZZY-S?4{p6lz-E{c=2J5
zsqgk+?)NI!0yc0>SrfWKQgPy!vKLXajVvoWdi_L<gwK~;S*Gb3R<XFkaH8yvZ_byF
z876mRwQv2@?(zF*fzR~4VcHH$xIS~08K2G4G&w5tZU*a|Q_qqry>Ha9{<)qW{d>xd
z&Ql$0CPrlD<!_qNRctq{a@Ld@$)9JR_!t-;m6$mrMY3$B(AzopYZmPcQ*seiVrq)C
zt-8CvZs+Uo39oLw-2DCJj91@tvR}W`SsnhMSVHBt@AuAY5!ymU7CKvJ%&rQ*`QkRm
z#vg(&*XGIBiQc@sx4c6B^``3we=XmC_lnWWC^h59fo&dJ9-NI{`B2*ZZ0mfV(BR_>
zx+E`0yKH_S+1{hT<5Bd{^T>n3Q%4>=>-n&ef5DLl9Fv_z7mA&2U2VVoNX4xs54JwI
z-`M1Ck|1?+!-g$o8@xi~*I6Eaw?g{)CX-{2dAePsPr8bSFWb8IQmJv)7A?D$b=fiN
z{`+w!FO&%6$d{0b+FTQGt9Wff?`fl5M^xMU0@S1zG4fs1`0u=C>#IQPustd|tuCxy
zf^1b2rLrcvtWmXel=OKsb7o2N?9KnLdTz?T=HhKQ^U%bMnV;@2Vfq$o{!=)r-~P<X
zyzfUX8P9s22yg%NzR&vVi-Z2Z`xJzCdbOOsdNfm2>%_a;O430A7a}8cuNO=_?3+}i
z9&zbh^!nmSYj!=6{9C-~QO5PV9lQrQ&sopACd&Ch;7r+*%sHF3awflNS^Z;vvZ`@=
zM&~Z+FS1f8s~39ycp%rit>#gr`<9h*6PxXSF3?!jwv+exl#j6+=U%)r@!Hiu<{x6$
z557qMFg13?#cfM&N7{d$^2XmQ)civE#|3AkPZfHFEE1n`>C3u~N9_EfrsAwkfxC{#
z1~1+0%Wd_R@5RA6;ca^p*b9z+zv&U0v7DcIT8>%7h5pZ;VSL98wP&53(_+!@_A#gU
zrmVQw-Y}C{VFg|{RjocAx+!bvqUv?SSvOgz%Do`0P3Pg3ps<86h79i2PFDpzBPPDO
z^<>r&qo7|8ctebTB~Q3!$hKEV?0+KHA`>|mZsl77X{LdtJ2<ZWR>_o5ch#;tefhwG
z7JW-r!&=`a_K8vUw;82=By;9Av_4q#^5mzrjqI~#<vohep29lk;G{H0X4V_${5%4k
z0+%j(;Pb$0M~7}^rOyYqg1C!pTSa$l(0wX&-2MBehEM(+;lG-0d^dbOcUk5is|iQ@
zmi*Fdbo(Q4Xi@sc#yiJbm}hy<d1<ue`7;Yk&%+mwH}@p`<9;Rka)wNEc<bLUvrb;$
zo_GBCmy9fbiIeA@?KJ9E31>EOhQH%G$g8)0XRvJ(Yxq0kgL!Um7R0#wt1Vf5jz4%p
zuActVw;C7o-0EL4^hGRsGQD<^MXcj_i-$Wle*ea0utnb9L+XlyvRV4V{<M;LxAaYZ
zJg)k-zU09ZS);b@dw%ckh#z2+G+f);c1GmQ59XH>B*bl3t(80eA!T)>P_)4><MmeB
z&rhyZ?OEozCB<=-an|Y&KcvrUguf8JxsW$0qRRPt^o7*<TDRp{jzyo3VimEse3z$s
zZqCJ;iBG;XbEWZant0^v$!C|D&dn*jSI}ddc`rn%%J9jT#oUjkY+G2kJT-dPE%~JO
zXzqi*?<bzCDZIC2)9a}UD`qrm7@TX;X#9TH@vndlN8_3M4_26P={$7k5R@@+UScS-
z;bE!91*4k6fE9NSyl_{Xa^SBbLv59Pi^fTj9R@BcR$eL}_CHf}{xe(MMvS9d!6W?5
zl*VEaiFa4CV=Ip(Ywg!MyKeihEd2>ie`Gj?wmZypj$wNLvHaNXm7$-EnZKOev&!$n
z-cO!d5BFFudAd&KQK?>v^`)>zy*1vUsx^msZ>#M49l*4(#?t%1FU@j!wvX*=7Fszj
zxiC%6q2$4wn*nlHHmEvGQo1)G*44Oe%`g7#C&K2`T5xyUaBx>Mn1tJScX!3`My{0m
zIf=b<dZ8d&*D-;6=bQbCEnkMd<erwjHN2zb21n>prLO&wTgr}foJsrqxc}~Q?^>>#
zM_;6NZ%8~?^K`{;J(r0ZZci>|XI#wYD3fQ*uu2i+`7GGc7gAKwYWs6*kB}G-v)JXf
zv&wJ#I*hJ~9@WiC)!lk-;?fzp$Az|pIO~KsA7o+I5OJ22_+8}R-lwnQp?I+`=+)8h
zw{FP%DgB^-#4Y1Pr9`@8@RIU^r(1G*BG;s?m+rr7XWJMvS=WjCu%y!OM~!_Ob^7uc
zZM#*v*pfEXD0!T4a(oeb(%Hr#TxW>^i^!b$PAb6)jl~ZHlm()$MwNI}{a$+eiBgfx
z6X&D8r|yItUBUL1+2WX6KidS6IlMQGq^`_SGMSQmEaoU@v&j?(DUW&f3${G^vblt7
z`S0DguOu&W`<rlY;);pQ28t^RBM&XMQPCBAbh~Hst%c!Br#0OYX52Y-m#38c;T2EJ
zoTL5;*)CCkZu49DrvC+rf7gG%Htz3MW18uH@@AnrQ|z3ID4n2<hi!kXe*5^x9R0vZ
z*0-`9bMCP(t?OHK&S=;CTIFqb`zFo*y^-;4=7#HSS0)!WvZ#JN8L=v=E@8TTPZb;E
zcSn&2X||Wy3Ov5LxE^Qx%<}cZg;}+y&N+#BT>QUAIyGG&TqrkhikaRN+ol4$rUHF-
zA&bv*c9wc7sTkI+`{7a`_`FW3sm5t#VZf&EZpwc3ck@r`hFx~Le8lbA%Zb8<&z<~F
zukXFyb%M1{ct-K5%*$uaJF=#$`{!&gtKR-%VT5wcZbuc}g3BAsHwVu2KKnH4iDIX#
z`SwHizH)e(PuCF)xwoirMLDZ`%A5r`8!N2+xE5<H4mkTksJVQ@ksQq~Zu1L*67_xx
zLL#ibTs=L%`dx1+2&wRi2|etoU(CP3*KOzFdxZ@KoohcWlis$<<MRr?w;vX4>AX-q
zw`Gz}>zu6mz(*%#G_$UsVE^pSe?vr}Ybi%k{f_0qEDAxb?I+!MYTT?hxyn|^o;}dJ
zFu(ZEOx^<>3>ETA*&a&lJH&ZNe4bs7*<6#e(JDgqdu2>Tm)QqYysR`kv1pp^mFdSb
z{%l*rdGA`?`>$_5RGL=1zgn_5C@{?P+<KLXO!6FjJnX_s9XGE{_4&DU&Gqd^f~peB
z1mk}Db{Opr_#}8y&yb;g`=kqZUvpl6&UyXe&JXSR%P$MBJTH9r<wLGF=fmG7KlrfW
zrc%+xU&oKWd!_F1|6wuzkKd=4?Onfi_p`5Gvi{#;k&XW!`*&S_yg{F*k}hw-w_WvT
zj%fU>Dwq4Tt1E`3<^|&yk+)}8sP{hW6*F9_VEpPbN5z%h=3JjROTKJ-dwSOQr!QQc
z->!K7uk2mnHJ<NwJEul&k<a83?A7*WdgrV5^zXT?k*s^9&rklHa=uSxD`VD-Jg>`L
zaw47kE&s~ZCEC67`4{kF@?ouf@4Xv0w)$7A2h^7?dH(0Jbg~iu{I}7?AOC%|*Zg((
z*UPCBeRids3cq`N>&5paCpUdvqVVBFcGi=4fnB?JB=5#L+VUN^I^}AVR)U_-w3AO~
zDI{N4D0sT7u6NnP^|SrlAIMz@&wYIE!J@xc7_yIln`I=veto;^t=*|k$9?}V{QPlN
z!IkDE`<SLCd#C&UeYssGICAp&u#jJWiwzEE`$rVX&+M7%$RH@SgDb>#uf6hApDEuj
zR)3rQI-!B@*`Ld-pFT~DxtPNCW#;AivCI3d*z>H}^X$`(g-XR+i!dJ4oIQCWQ`6jL
zrr59Cj!(kub&QXT|GF`E?py8n^3T)fJ-imLARSwJrl;qAa%$!A_Iu*hFP6_e(CO8F
zzbJgmTr2Lk^X|!hjFtXAd-b;4S&}_V15b1vKeEaH?z$Ca+vVBbz501eDm%n=y?Z@>
z%@y-4)l+xv-O2i?Xj!%7e*5*yckY|{@pnhM`)8HT+qcvlcU$+(tf&+Wf4O~q&9YLX
zTWPm6nZH}E|M8=8kI&{`tBTim#EaAk#|Xy?bN^U=M<7=Ao1((;o5?k6?}ai>+OU7=
z!oP+$8;@}97ku>R|GwMW=U2omQ@<1v8vb82DX94Go)_L+2EG~FU-o=@?vVXs-*OKA
zyUh3GoC-@k?wL$8jC0ptcKP(J2O3x2$rq(~ANhObb@{>eEo)R}vN=SAO}z2Qd#dC7
zSh1b==k|YkT|4Umzwn<V$+J@Dp1rP$*8hLgF@2lVsl7>OJ{GQOSG>0-#+NhpRsJc>
zZ8_raE_<#DneX1&e{|tJ*RpysO_P5gEiUfNpS-E(|Ky#YBHtB!On*>!J>YwQc<xrs
zm3t3;c(V1V)oxv()LEBmGcrtSO!m!a<vDX!Jv>$WM%$XC$)E2tyB^(fCx&T}a@MjN
z&m(G^Ue6BMTq>@nKZ~omUqbBQM9p&!Cw8h=HotvQT5a&-PxqR-dGlqAyDy(?ZZ#3V
z=aI5)heV!$#A*N2zZHZ2`bN9{QCLuDEV`%uWvbD%a&3p7If*rLqRjpa^6Z~AL<Vd>
z&e~vh<gRvLM$)+kizK=J&<VM9)1xy#>~CjfaQ*XdQ~tXB_aC_U9@+Q5y7$0`<;Rar
zoHy<7GLc#Lb7W7k7r*`{RU&&q!yxLM$f3tsXEZzH8c%*`_cmN-p?#KZUE$&F>z`+S
zjL27QRbf;rZkBlV>74$_`28LBb7bN*cl&=`z;pXf;OulaG0l4$+9c1Fc6hCuw>#`z
z^t&_nvux(FaH?j_+F`W)@8d0^vu$?n`9JA#;M<q)YmKVEJ!7@DGqT}Z=i$HoMNPbT
z{>O^PUR>|yn{7C*(pUY&?0n0)*($%zef+MO^Y4hLOx4cKb!_wE3Vyouz3b`wzw}Jb
z<2yc2Ef$}BDqg<lzQy(GZI{jJ7bpb1$qtmTX5O)CkB)I=^OL`lcQuz}$Q3AfxcuI|
zKk)IaW$kiTzF&7O$^ZT}XAM`-hQBq|JJ$PLc)E36>WSx;wiYj+d^pqZur+Anfr*Ox
z26N`Tv5+}GRe83%Q()2R{S)tboQ@NcDULfarS~+WezoR~;>~&AUtMYFN!R_bMXtM(
zWAVDR90H}gPM<og`sl%_s>0p2N;+vf<Qaa=|KE4-!|$hzF;kOR7`{xN6EVMgYf18k
z$0a7|nvK)nnoV%NlHazGdBgAhOYZsWo7>+{dfZad@+td>N63Qz->UZb9lK}LW9cxX
zfYWPHPEplGPEDod6TV5Fnseyn*U#dIcQw?lOI><AQ2NY=C=R)7+jYHDero<Xb}{Z>
zMQQ&mp2PZHbDDZ)w|x?At8_Rfd!wxW$Gv47W=B*02KqWiiLbxPv79wlO=)AS#v~~Z
z-)|KwN}>;QCJ5au+!@Mz@~OfTXMTg}?ekjie2rnrYr4Bjm#Ok#o~T%3Y{K@f9P*2w
zTrl78_ui+~cYPGh=RD%~vA$mFT3UVjTjkt&syVj%mruzpIj+{d_`$-DJzG2XIK9YO
z|6tyXZ!$p#)!tn<+x^Gz&;EL*dG5JClB%lB?Wb+s<U6IJ>c-yd_vJUn$=F*>cQ>0c
z;q2rsn?8ozJ0^Q!%G{}ECDfg`_DjZ2VVcQl`0;o^jB(1GS%0q_K5<pH^}ucZiD$~Y
z@+N3k6~%9vE%@CfPW6MtiJYIw4~`@?JpAVTwe08tkIOSpOnCU8;nItY4PVW2K0W;7
zcK+V}bL-R?jWamH^r{m$!u6^PPJFXJ&wZEod*_pX3jDJ<ISyWJ5byuU;d-n|{CyFp
z>#+v;Gk>!e9qj(SbH?ID=dQ23cHBbgh573AY$hdxnc7+#_T83@vlfdi(`_hYdSSo(
z?3z0)7v|i(a=Gg4H+Pd9rpM2}Xc#y(pTB?O;)STiO^qMVL?;IxJZPR$eMV&0!h_)#
z1Z@MIS3XH!v2W4}Vegk}h1%;l9IaP8ekspo$SHVs8pHIzr#~xR_^c?vP_chfX#8i<
zYOYnPH)4*rJrPR1u3qv$cw@xL?Lv&lStA{%i|{h(ROhH0xAL0lG+Zfgk+eRcvYBni
zyRXiR?tNIbS>@=-1*?)QjXS={mU&dYQvZAS)S^?Yx&MM!hIKt}4Ld4)XwLOTcU(RP
zi!ndv75w{XYr*MX4=V26Q#F5ifNMigZ-DVqp*>zluR81%S{apQ8L+o$`8+?_sKrnA
z{NF#vNMx_BFoy`&&+ljY3SK-r)93Lbx9zt_QN5GWo|*3}R(){`nVw&|B~9)Agrsfk
zt5%vkzk7TUkNT8redUK=uJ~hkrAt3@+Lc%L-CDJIO|^Lgr{zDLKJ)1GnNu}(pItxY
zW^E9kP2~I%ZKfr8T@`DEE;B_xV-`s2Dg0=W+I(o1pU%a$?>bRS{N}&ao7MK+)90yn
z!Lo`4m;QX2^{(lO{t?p|*KTD`ZIkBbT>h=w@y1u}@)lo--)0ZEOwX+<R|-9uZn(K6
zNNeu_r>JMKX}(rxcUo=yE)g$d7S;WPgY9Ip`r**arc)y>X*=s5@Gtnqx%9Q{l^*A&
zPdjGDR_ea_mfCvnvg5^qvZlsMje2c%Oa0~*oP5XlojLx{lH@aNeCigK4K@FdE#6k;
zyuW$T^_NZ-Pnr8p`Y&eP@i+0?ulucW4-#r0^an`9JqWN}ka+v=!rOlvZ~xq?@V(rI
zaR%GTZ(jRd0{1F;xqF}39Gk~$zjj+pc6i4$lSk_DukK`REV&Z6@R&6F6Dz)FALaPg
zPvh_3eOZP*^iA5H%6}>QE&gV!{}cHx%027KH@Cu`9|n=R86tVvE_xXeXEQU*mxpXy
z`ow(s1C!+mw`R?|y-g!G<Xg<-tTr}|UO$F^J&oZ<b~L}W%W6syKFq#gc7s*RrDhEk
zhi}E^O$DCGjWhb2-)_omObI^B?((A9XMeL~PC~QE*QO=*PT$gv8>jqgFp_VOj}E^l
ztoijEOGCZi)F++0IgZWw^{gfT{G@6@^=${%EL-Y%u0`)q{jzJhe0H`0QxyBH`Fbz<
z&)y{ea@~@{n$HfVu4?iURS0>q`O<Z+gr!o=V%h&rzYtv1^~lN3WaqWG$!7~=!#~B9
zM6sTzQ1xZ7H0D_0x#V~L!<#Ie56-vP9}St%d+*SH0hI&xlm93;wdOy(%fgwsds5Pi
z6{mP@S__jmuUKzTr{)+Gwodwi@u8JFi*x?$Sbp>hgI!(BETgOs8S@es${J*xcN0@(
z<<pS)cH%&s?U8EB=07<REYFHd7^ZoKCwXt3)$6};qes|nm)@t2{wwA!&GbKc?oxh~
zsI5`kC&SfCmd~|3d`aIe@96R^)~j>2Cfak%uj1RYjW46(*`m~$O(juA#=9nF@IDt%
zUiIM%&z$+U!XqZWR%$Lf+Sl+$(PdLa4Tp&JG_F$x&JI5muKbZW^D;jubfckZ3y+ak
z;!;D7kg$?K<CWn&JYi|ZX{VzWOcGYQ%JchHzWR|%k!%+=zm=>DWqx1y?a%+t$yvHb
zn)J+6#d}Y0kV-HSYSnK$&Z+#lJ!QYG!P#B=|I{D)vyPQ_qO)pfs^Q9OtnH^21PzU)
zF289wlu<JK(~KD}qL+wwtUnj}Hm<FeOK`U{=g)vO9;c*Z7_S~p{cue~_saqSE}na;
zini058XK-E>pz&J_1vvlST17XAx9_UZeFpz{i*KVtSsph-!BZXdF*<Gq0K_2*X8lf
zHJTG9Tv*YZ8yj)td$#bcol8pQYDy?7-BS7X-Q(RdA)5e=eIJw_F*u)Sn|<iv0iL@j
zC66z%$<lPHyKwyY!e6Uo_pbZ)=I5zzk3>|yzB=*DD=9(8UEyxp+J9oA(>3=P{%z@!
z-(5ZB&!ljUw|o8;IUkqS%<X-B^04Gap58Rs$|B}PoQ(Cyq?g&ceXjG}K08R=O>|RV
z_Iu@wgRfVwo$+y-{?unx`%flZ_`AQmHpN9j&&zJzmC0(8F9`4bp}G4`-`D89x@&l@
z6f(;Bap|2ik}j^|=$e-_Q*>V-*ReC+E1BmMRfjBPo~jitZo&9g<&ndVx9jS2^kNw8
zB_5Q7Rm`+_tK&B%q4h9h;H9uF%0|yG7Hl@CJh@>-k-=7{5M{nruWBua=*>GOmYnoF
z+a<7F_1dNxJX}_Se?L3lc|2|Q+}HWvBJbX~s&HI7*VWJQX_(j1Fpr;Ntp==DEQ^~I
zIn&g+mUT%j6W3lAzEZz!lfsT2U#9l|{i%D>((~ufwJ$6G7)5YMzuLke6S$S%hx^*0
z*XKp9UsAu!yk*JMgLhwkmf0b+MC1dLVaB}WGm=X$83klMidpj5W5TyX{|>52ER896
zvd>G-W+}G@3;+6%BPrz*msN#2hO4CYUO$-qpo8Z{$F}U$xUB91U%m|9WmiRycWnCn
zj=A??*O{79uAI_S^Pce+oRx0~=>0V9Y@NnJDG&X$Nf*S#%w97ayk=fERq(=8!Kbez
z1oM@5M_Jc!yGhhVomA72WoGNUG0jxqb(_QITead{_VUhM7qi)1r_Ej=Hv7QOun#kJ
zq?WFY{Wa&nv&A*1J$<@QKCI;3n;BVWQZSM4#}ku7hBqCGug(8?;FJB|#Ka3XF7K{s
zbnw?(G5LUFfrGkw=K+aYoeBG{?fa>pwXeBuAM?g1^W=NFndfjnjyfX7S050QId`IV
zV6%K`_iH6D+y1|-$yWj$PrsVD=7^EL)|74&nMCsm3Lj0*ZpaE-U1GF^%l>R#?dv^;
z*UsGPpQ2gxmH*=NsHSgOQ*9Z8?zFC08~ShO@-6F)m-tR_lHVX;>(n{X=B7ldlFE(D
zRnPzU?<jg>;mg#`W6Za-<H+3Gv1+r<=$<JNG}Y?j;r8k5;CMS*`OYEFqn{RiXnS_$
zqtXM-y^4OKM;2_I_cOiCT)S!O#Ez{Oqpqc%4in1V{qy%Vj&DupJc1>A59Q0&x*gk>
z@MfOpq|@ogez%`l5<Hi;mvQgwtf|-f_wd($cI3WRm^-z9N*?1!sgwmP4_{-gUH{!U
zdyV|Db2qNL%vXLVf7e~(+^VV0jwJ_kpDdcH*Y;)BvL^?=U!5cD(fC*^r1jd{w=TbK
zJX*SB<^9@?>^bT`HZL^|?V59>Df(<^dA#JtHuXz2JD0wDuQT&gYohx4f6Bk^$6ju}
zzedrxu4q*XSFinw^+x8^CLbq9eyX@r#j{Ico`><BqT<(GHfNiZmcPDIe>c~TGi$?=
zsu!aD=X7GCDmL}R6en%{^>fCO*h?GFD_JL<u%7MzqpIF+w`5jN)GB@Lyd5?@UN^a-
z^j_Uu@KJ64&9-ZnoHvhU)fQD8TbHq=V(C*y)vayCO|v+;mhD*l>q@}HjOt#WD#g6s
z^)uWzviBb=xzk+K(<ZxTPuz}-f3d%rmh@`=X?$E-^TUMm<jUn)(|;^6_{DR{a_2U2
z#&bN6wt4mzrU+TBudQED{5aHNgYJbt2h0uqpDdaBeAmG_yj$Ef_pLe?Vjj6}+n&$I
z^RKYKjnKaJQp`_jo$I9~>_L5>q(VC8wuelNE>Nq8)%o;Tvi}J0?Fnnl<z{>e5Baih
zyS~Lkvz_){?u#~DWA#Z@Ec(AC)bOr_jPSqE8%7fg9^QCRGt=+iX_1xEA0n8vTs3tg
zl)siuQ^@={YaWO2_v4zz_s+Y`yV}<H-=8mG?f<Io+Es^QQ?=giQ2Fa#Xe_rjSE+hd
z{;?K+Huh$B8ChBPiLVPXj~jW+oOo1K`nOi&uG2MvD_;LumUh;%J6Q1b7OU!pTlyb-
zdZ%yQoWgnJatc@8w^bXvzRF%c7jUC|@zlGGQdcX3?!A2dW1GZ%Ri4MYx@9!4&t6)W
z`)$^1nQnIdtX|!FZOJYwYnR`xDD!VO3~qQ~CjY-#)L#9|%#GUf<?l~tkN?L0&PT86
z@vqO{1TU_CapU0ApMvu5%&qQ+UA$D3c(Cbd7O&E09lzbPL#p5Cl`UDw&iTo0iy-Hx
zPWglS-5k^M_uKD$q^7Y@)q8*XmABK1S9RUspSz%Fy^hkSQ(Ad@Rrude{o9xJw%Wz%
zPtW80H&JyQeHK5a%_y`sd+T<vVCTWNx9^;>k&?)|{(s7wG8;?NSzolGiaq8AcvQ)H
zzO`(6btNNPYBgtldRT^QR#MG5)3a83p=n3w`Wn5uRL90QJ=ON!?3x8{dakW?7QZTc
z=aSG*;b8eCkvZ3!^h;v%LeoX2OkC|!s-dH{efhVY$GTo9B;USduukJ;8T&Tx&N{b^
zx?es2{#oNSA!2gVcFlU#lI=gsKBR2<@I5&G*NhMAYAusyEbYqIXSbKUt*yN&dhh!?
zt8#zezIN2)ahdwvxkeT3Rga2ROnr4qwcK;kI;GMV6Z|e(Z=8K^Z_5T#j$0No4$cco
z{&C-^eqH>Qsn9e!opr8xcK_4MKeYe74*&kyqwQ|);d}8iZMXT}FBGfV-GA(7+nrbM
zXL7cDH=91W;qvbzcc-2WV3y}^JyL2WZ@**mqTS8EOV9CriZQ)=@^RzDhvBC;yj-OJ
zgx_l4n*VD{9Fq=ZeVfn!!0OxVw;7Dq`uhuPc0So|8<bI2?x-YjYk|~LVIPK`h!0CH
zoff{QwTF*|C*Qnq#%#fD6X$ZIpSt{~Ct|j=<V$M~_0}a*&d1r_E@wB(e`$QYDXwyJ
zQyrJ_q$BKVF*b$w7PCLDnZMFF?n}x<UQf?oapJ3$f8A*E&#ASnKUH<_JX>tg)4UD)
zcK=<vWzL_<&2~#K2ra&7UXkv0zHFA<+PA{#9quCX+uqmQ&zrhScE8!pd=A#d`Dbp;
z>S+IX{j$vaoge;orn}qTkCBS6-qgiW%lRcP>2u%gcYLLJORCf|cSPE+7GC76`OVTt
zfZ<}&TeYBoCmcTl*9#uj`Ksh-IAtpD!9Nilx0fk#2*^nG+pksl)8kZNqWqor-G*z6
zuT54`*t&st(Y|<fr;F>3tn`gCsd&1hYwwE{ex`q|3PZUH_<B5dUN2x@xoQGuvU;0m
zum4-8(8N#k67Dw{F1a9_m>cmr#ZKU*Cc}i~ToH1T$q6F&I29s`s`V~dNG;dksaU#k
zceY08{wRUNL8~gh_h!ljG)|0fjn?!(@cTOV_WSQyRyMCwI_~@WZLJzp<fr=7|1*!~
zMp@<W>J*6YTyM6v_}7|$!7}%D7I91d+oNgp_HK6S*~{)-Mzh@uYTOHKe>3m@d~LtF
znDFW+C&i!CfAs9>TJv8tF|#8)GW3>cqN3m0BK?ehZ&zV~iQncgxE1|$#x?Evlb006
z2214@6;{3H{P2(0cyfgJ*XOnyZN7a!r~SZr_3fLku5$UhoLN8SmH%}XX=~1|i<z}y
z^NT%<G26@j&2yh@%n~iaCA|KRSnOG?ddEUP4w;Y4OcQJQSa<y_mJl_TmSS2r$yZb5
z>eA499_?vw%W{ln+8E{*NdyM(od5Ni^WjC#fAib?JXZO6#I^sbpFHL9B2L$&uV*Z*
z9OF&B8&CDSKb>^_({ESL&zsFY?yU-V`%X=Y$?Bm&!~N8Kt?kw(ynP%?#aOP0t+~>_
zwfB$e!FliaolIZJsI0IKUVh&8+C6i2_r|S7)wfQ~;If|lxl80$>eH@GMf1A$)L0e-
z?^jSi@}xY%%53Rg`Q=<M>?T;vHfWMR`sB@zO-<Wa#C|qb{$vfP$lvhatnkZWr_;_)
zt$&Ceo}_$j`N@r2*fPx0V(mM7qkl(#4qrV@`IEegUUWxx_t9N-MZq>v*&9E9vOX&G
z?B?WED{QY<AGQuos=u$Y-e<o|){~p<7tii~A<%!~?-?ilqQcDlLU&#%NjK9%6+>OY
zt5@n+nkF1`mOT80n_JiYfKAZv3EZaHmAn16#%r{=tH{i@Ncpw(#*Hc)ud7lE_C$Gx
zD*y3OWRWw>Isec{ZxYJ~VZ+&5oK0hHx29Dw_1$d>{D1FhCWB4iTd`x8UoYG++wT5G
z&pi?Umeu^b$ji<2t)|+=uRT?1Gu!d&Cx3D*s-4uMWbNaz(&$4r*G1mAl_nEH19mWH
zJraIYKe5s;aHYxwzknGs6W_{B%njXrtT<q?mUrBhn8}wGY;!#v8Mu5^vTL=}&P}cF
z_>yPD)~pXr{&7z<ok@4|NA9g@k=J)UlL%a{wXOb!c5$ZE>lAZ=E{*?|l?Fy<%C~Z_
z+7RFpH}i_$#=}aD=Qz`yR5uGAek;Gyxaws2u34O~?wxMzc^m%ZkL(=Z<K@~{p9t2t
znRFb^)|nRRWVe;)+`4Vsidx+5tMc`Vzg^od-*n)_Gl3If%`aVV?a?%Q{on0srxSzv
zVY}(q1ryn=4lXP4@!hO-W#V*wroNZrD$Ogtv2}m{f66~MP)0+r?a1N>V$zy#IMZ&$
zgilK--r!QBRPuC(+mz$i1&x1LHfT)^`Ru8(N<nGkM(gioLLMTynIAKCT4ba)McvPj
ze{e$Y+T43j&ucz0O@4pjO?-*=Dv27#vNu1b>6Cv~EiN{aEC2E4`_s>LyDuL4(RJbZ
z`s=-mKiNg~EeLE7h?31!c^PfCvD;GdN$83VZMS3P8g!4=Z+_nJIF4&kD1*?&MY^94
z{k*xY`p&hK`eVyyveoajzIpC&@fp7#lU9BRf3_sCFfw7`cCC$fMa&QH@4kQASy6LU
zruvl3$#T&f=FRH~zEFI3-DBQGhfb|nv*ygRgl*p!hDemIl2O~TRmW=I+ueVHxW4*Z
z`s4_gpNe@C;nB3NohkXuL#K(`Wgg`!tUB;^<z&Sgy?c!dbT67e6m1OKP~VY!F;pvJ
zmr+J3yF_Ey{dFlPI$MsHotT_-YNyPL5KE8J()saE*N9$TI4$MLx|SWx^M70@2%SGY
z+puS8)P@<RmC0umK7B4X(`n#Y)1edh&~lDAk7e5QE1rGcSF-Cx-#nchH}6-5#175O
zXwHADSxlQA&Pw`jvC77)uyhZfw88s^#yzrUN(wew{4Bb@C@1*x3x7*FwKKQsJm<3i
zjePU|h5Cig<<FJBORG)uYxsAu*Vui*4&BV(=bl?yo6GC#DyJ3cy}X^xf9!(){*Zd7
z(%-xdM|SOXIwg7cgYE7&Dq3?x5}IVa#NPZ0mbc;5?<n+KaXPbjoy8Wr?AR6Fk_Vy`
z-^}9Qy0BG9JzezO_C>qmZZ`Pt6W#Z76Nl#W877`@{y+Wu>r?N-m-_azzF3@`vi0y}
z<F)s-q&A=Z_ImN_PiEWLw)p>AeLcna?MJ&S+xFb-+O}MCL)7<g>%ZS#J~xqRLrsX`
z{Tu(B#U2~2oq1_O6zBZM-9m+uE28->tYRtAa@;MZFt=MkZ>0cV>xY)ZyB8(QpS)m4
z+506`b=F$7pZ*tl@0vSf<Bw41NvVA|XD-NFxLnXM^Y)|Z5e}z*c)MB3F~{68w3Bp+
zvWnwX5%h~-?PC(&9&tdd?)AsJUlkW?Cr`7u?*A@o|EBGm4()yzd_Hc;I`waL>%U)q
zkhFgHv=*hz`+PqWeVLk9$Iez`@U`#WvGl|n*?wCcziLf0;h0JGg~l2p+(NFa?GH|A
zygKRYKKq7;H?Ne)J{HZYpB`LyrMQqagTHBs0K<(QM~+YqaX){_z13bqhobj4DFyAd
z^ZR90VNe@<SmB6>e4S}&>ISLcNV7u=Ci9der8PR)C!{gD`X}WvEIcG7acIKg?Y|~+
z%{le+f8vM#&G9quU3nb+XR~_urSI}DYnHK>^5?odT*C8ju0WN+kHg=Mcnxc$&+y-F
zlAj~Vw0YVx=?PP+W(g}!+2u8N!W1j7sS~E$@|rneN|e{c2~%cy#Z7qA>9k|V$-+ag
zElvg=lC(IPcqq!^Btv41jLDwwf0A5ge*Kf+GV^MUu*=M+HHI!Tk5+WelT`k>BzIo4
z;ylyZ#6zdg<-Kt<scqqu7n`;G;UD`O4M*ya^tV1Mw)oF1-H_H`AlKj<I>F)s%a&Oy
zpMJKP{O+XW`$vLztan+7pT9fFV&=x{3W^3&@>5E`JeY28@HOVevb?8HCp-<9x4<fX
zJ<sAhE0)Gt>OVTaMBG1XYsQ{QI~8Ve2W7rHp0wdb)2qh3b;lDj#M<wtwCrS?>aV$`
zA;>R-mwl>!<Ah0^T5R3n(U&I#a?d-y*wxELd1b`IK;^`iJK>6nK8e5I#_N`sO?7sE
z9u+CKqU%?X5~JWU)6Gk#&-<1zNw2P(FZ<EDiqx>5ITw2cH%Gp>ky^~DI6sDC_2IM`
zVv{G^uRD|`=~{aA#(@c*-;d-~CE5x`xOe}(pS<;+(Z!onlvn0Fds(|Y@qhK*m3z|y
z&rAI=-)+hzEOz|d>0F7N*Wt5kv-#hsM?5{_I5Dhv!O<ye(~=&Y6iS-bm>jlZi;Eju
z62}*fX*?;-i#QA)vQ9`5ebjz$(yjo--k-iTM?cFfH@R_WQq04xr9YVs!d~bpP5#L)
zajIg)Hb*YD9eOuY0)&zxe?H-9h`73NX90J~^n}Zn#}9mZaj)p^{uI@f#!q*xF?!-^
zXdl{hE=i=|;QyI(gjU{OE}@f?A}#0I+b^`o*=(Ldxbd4wYika!JfL&nm*tUlx1aCn
zUG2EIASLW0gY4SGq$g~`p>K_z=$CIj_1f^QZt2$$T`S2wvNcn?%yf1c-qe#k-y9`c
zdGDN%#qt^+kIZQmJQ<lcDz;9_kEt$=m~cO`A+K-Oo8UJhf;ypmyZAVBlRnz-&Nn#g
z`u5gD$D<ZO6B{1=*H-pf#KpN*YiZ#d6SiYE@9HZ(Oj<)~9a7$TXqp@~F_?b;ik=ux
zL-l%|L~nb&hFQ!HwJh2myhspUB-VOLMYLp{xsl|~?gE{aV%NU#>ulQOD_M9e?3)w+
zdMQJ%%Zt_pT)y@*@~z4P%}IA3`M1>{vznnW_w2(BHy&=7aK>=$M^7&8>K|-QOo9_^
zXU$5g{Cw#~xX`*&e@gXkIK8pUVcIHFpDXIm9$k6;?Y+m@`CoPy^yoKCwe!fYXrF7i
z+&ia0=^nr0rR%Kn6W7RU$E`csa9!p=gnIuBsrjZ(>x&L}?=jxIYxWF{=vfj);;}Xt
z_g}gA)^nx#suic%4ITe)oqpA(`Jo2?wzc!$=sJiS{b`GfuvYzle_f!?nPY8o(zaEf
z&auq#ur)9Ba{s!_x<cXgWn-PSzT%#n#HDTD?yBltv-YOn(UV`gw#Cmk;;&vW*7<4o
zfi)_pCd`*B{QKZxNaU|0OE<5&FTdWpXYc)Y{pPO!m}0B`?5c_V6}{hH+RF5=_5D7z
z+tcLxFaOzJdF*CQwf%j$oj<pUY-<yB|698<Uu6G1G5JMjewWo$f3>?Smz=hL*X}>J
zzt--yeX=pVcz@n1GyQ4e=_|`!%6}aa(aZg~{JBoVqWy06Yh%p+%iT=>miAP}JKQcn
z!H#F)pCHQ(pU$w@FS+qME$m);aNdK!JOiC4j1rfbR9C#NHO!r$efWauOf3#A-a`vs
zeRpGQ;b6;k*myMY=<K)B_3|fYr|$L=?YNLoEA)>g>D7c4L659&@9C0xl>M1gB-lDV
z_|B}oT5`H9UP@0_K3!`3nfvdmxt*nl^F4!?e~Fx&JU6K{QC)|z{6g>5hA^%b*DDqC
zAJqgNDPoJ#D{z|M5VU8fs({(1(q;3dC6~4@>Yn8~E$4K4pz?FZjkkXK+}>5QG9Y?$
z^!pp%Jd~ThS<G2i_~>xp9CMpxI_2uotJAMHn}>=grkAEyKacNz&RV&(^t$=|nJ#Z?
zubvk!Y^y9>QCYZQec|h`PjB*OmR6<}xjdWhe(mx_TRU6H|5h8$>Ms||&ae8Pqq*<R
zjhp|g3|rRm*vG1`6B1dm@7(IJ)vr~OG}duin&>RJF4x@jeT&-HYck!3yjZ%{WM39Y
z)D>xavWDl+C$_3-cXIz9vj3=CdCM#7{kt!}Uw<n(GHJTdjm-vC1ugTc+pVIROsiQ;
zqZgh1f45t30@Esqr0p}}4u3g*{c-XyP2bvc=dWB`%xSXTN5pw!TfBnnLe{{AY!|kF
z%@<9LygX4f`u6;%ZBs?QtkK&yamM26J@dkTX}1VHK6;CzXX~Mf*Bt-V%@;o(w`cAC
z{lyc0Ui;uRKlselmDgX*T_>Qot^MdzsV%Gy>s~U=NMXFb|5MS;S1;r)Z8&fJe(of-
z84_7uoI+fJnaO)@-}`t%nf>th*Gpxu8+^zW%V_j_`1elIm)2K?A8uU?JX-kSw(|sg
zKCbO5A16mtluUhk!%D>Z0FMU4hc$A=Q#Y>p6LxZ|4pRW%+a-6WYW?_9kkw(dUr?>3
zbFy?|>Xe0EcP>=eTu__hx-53?u1SwGYXkZG7ahHEa;oGd*#|E+_g+XVE1vHg!M3NS
z+4)Jc;^~B*m+U6i25)lysjPmLp8p~3EyKNtEtU$8cVu6m9hmcYP5b-o%*egDt>&B5
zu1ReW`M1T`U3;lh_*)^tKV`q~<))u~xO9V0w%s!2rQ0vXY`XR*d7-4vZ>5j3`ef$T
z{oL~Ufz{pZwv#mfPS;ZsY;85sjP;CN7sq;xYxzB)P(xdV2Kkm`Pmh~dbTc{UJ>%RV
z@K4!K?c^%Gt~a8uKdfAFT!Q^~$KNk2Qq#};a_i;kJ$3fTHN|_mCl48&YFo5XJ!&pr
zB)6=nh-9&_my!DlKUp2O!`8=wc1Q&+SrL9{VXVb;^Un1=*TPp7ytME;^lg`0%3RKM
zPfU(v@;=L$u5{Bu@_XiLqhrpp{>=jFs;}gN{pNav*nYn%(EO)kipYkTowGI;8Jx=r
zUA!n$+WC=yv(wkkRi~J(+eI=0Gu_PGWBJNs{3plt+FtFkD)pGQu2}uhvolZhS=J}n
zsJvl&J@;nR;k%nQ>|@VYIym{p;&*%j9vONUs$C=mMb=Gx`Y>$y<lUi3`-5KOu35Sz
z&Ev3EXGKiHX6<b}-}+2;E^56r=fKal3$77;#xG@-Yd1{L%$NDQ+9&eDEspS|Q!iP_
z<}uHYHSy8oj9<r~BR5B1&n<M{N|o;3E}i>ozluJ;zq8u#aqX=+W!Cw^6OM!{#$5X8
z^JkCIW1A}{i``^=zN~rH^VsH{!Uikf*-Gy}=A_KD6%xLh8oDQI0ZZ)p=H)-HSl<xz
z|4>vFui)o=tgpU|LG;|C>V*f69etm9U-FWthx9c0{|07D9{F5n?eU+pHm+JCvf!WM
zWY!0#S4nuMXFoDr&eyy0PS1)d<_Rg;Qm)f;`d99dxV$dIP3waF!xOh=T-au=vo>qq
z^NdNZ(<5eh{J(f)^CI_<1>*h7QgUTBE!ICcS(abZ^1IiJ=@QDnOD#67sZ97<A&?mn
z{kD9`#M3RcS?(`Gl})W47P%a;eH$4$>EVG5Rg5=N?c{cA%fG%TxI60cu_wI2A<KH&
zoBbPRA4$%6e#(5RpU__sY1vQx8$SDI9y3f9eB`#X?~mnozx0U*8vA?eez~uyxh#EX
z=E|hSg8v_X^iCH%XgEzK@MPl5RQ8FtBqHWC-IDXp$ewZ1>f<Z+g1)yd@hq{j4O|g<
zQ|?Bv+kTn5zG?mwOOxcnTJ=gntC|fWQK!#H1qkmy>i6g9)60!}8Ml{nNSS_hcwv4m
z`%~kpV*W*DUl|@RYD<2^cxZMNgYW#k7j~B`&ItN`<np!I4KJ?9?c1?GKVt85#YaVD
zg1)v4lc&Gl&H3VP*1~7AGxxj?=;oRyr7!Y~V@FBheC4h0Pp76jGH{2wH~II*i?MXn
zPur;ad&=*gyxcM)Kg+OnDgya?Lw{~pT*V?0UA`t<+rp(bch4fTbF(hCzMWNPa(rIK
z-tcWNUdOlT-Fs)tuutRhlf{w(dICQuC7pfw^NwM8M4CSPY>6!H^}CeYK5uE0KlJ=%
zeHvf;%)&Fb|5R?*zYvtXxX&(4@6kVN_2qLpZ=9OMGG9b)AAg2|!Sd-Ye!06D(_OdL
z8nn+37c1{{zB`L||2?kbPV>!IJy{}Ny--_adPR!b_acS+8gsQbeTfpTI-~yBP(b_e
zT>1Wu851wu{FZ&@l&Rvwl^n;r>`JFp*oY=x)>4~(zJ#Bt>*z(%N3W*&|J90n6)<nv
zDj7ewqyX=tp!UL37Z3RyiGTXTBw%Vn@tTVVd7I?7RA=fv-+S}bz8l-l9X|1Kdv<Ts
z9$q`wJJ+O-GcV}u7C$t>^<KB?pMX4%1%jOlw_hBX;j7O5X=9bd@wHDC)-9THwlech
zw(#6JrE?Z6VpdEyTG2L5;Z;6+=Q~ahg-bll`oE6Mefmt|#JRTM9qSr08{f~AaJsr~
z`|V&h)hbzs=g+J8BwD}hXz8!sCChyN=#AclN6`{{X1u=pykH*N2M*Ui7Bv@kOuaZ$
zz)8w7^1tR(8MR{)`fU=99*;a)uBoOR@p~xZ@KAFrzt~2R^Ox@|60_tt%rP{~dibTe
z@w(Yut)9q#QzP%4yShau?WMrdrfEBp_AL9eNpgm#z>zwZgzqg|?%DJmUt0X`-mwGj
z4?>vU1iqEnvbo?<!pp}hHM3NcFPU~N_~T*5&v>!p$=RGs?oO)bE!h0xy+6M(R@;@l
zdCO_NZBOPk>|#6qj92N2$KHjDHcpb>q-?>;U|X2u)Eb=VXqcUTRl{Pp+wH^Z^KCD$
z6Odf{cb=Nysj^bv&9^OfzKS!{tUDFU=3=vCz2oBWjVcYnz0!*XPmA`m^j}JnQ+ZxI
z^+$^OtCZrD%V}Kv-OKKXpSU3!!OEw6wOG;r--Wal^31-rCSO|Cv>iE=DU@p1yv&gM
z^Gqi$-|cTLQ$u2IxULis4QLe8&HMW|TDC;sWCORQ$kdx`AtEOmm?D{4Zyx!mE-zLt
zKl}TI1wwl#GhF+{U#~LrmT7{BdEui}uKDKHQ-l9cSU$(;*m<vMwv22aZPsiwIyL*~
z-^Z@{btNyhUCEofLPu7%nWf;@%!9wHr7M2z<h$Co{LCN0jhud~j_^(UslWYtR*+Pt
zX&1*N7pbF1j(VvUmb}}k_|a$1p$_(KY*{}g4xN@M6S>NKthjrks>H{&Uv~IiF=l>!
zP2dpI2j%UWegP+w9L`#8i7qfyU`#$?QE{R|E9(lA_Pd>4iwsTXA2MuI3dx%9Z&jWc
zr>1$vPpeVug3?6)y7;KA84q^NYTeoz=<sxVM$D&ItDm%dW#0L6Q(yh!HUXw2x5%HZ
z>uqd|Z!7BETerk(;XSU4a?x{Bbv7Fb{#xz0Wa^!V_1=qq`N=u1^Xw21%~Y3Pzj#-y
zv0bF{x{kAN4;CJofA#G2ukwr={CIZmwA7CHR<!(R`q^W%cI01M+<i0bj!D?WqG_?!
zv#l0ZtUedccv*w<L5lGcw-ZUH3=Q<tw#4~5-TpG~TZE70|GpZI8Sa(FyZyI*u%Ecw
zaLZ>Kg&6&Je3i3$eNSAy@;FZ0T6<Q=@1oh>`*iG@nFU1eZ<=!SudrD$tNPb2y;E!4
zW~9zx-6gxm_jQ#;(bG%I7T!0UpY&?m)N&8AI}6tG-_YK#TP=Ef@1B=4?tOW;PiN}a
zqUsLA*xM#&)*Ev?ZoKraOWgQLl7Cslw9ktbJoWGWJtOnd>gT-2=bo|g-kHL7^pa`T
z)zd1so)?~bK8>fr=C#kuIhmL4t33|3*`n9XvG<4OuOCq_Rz<y7qPSwk&N&vM-r1#I
zFLxa&O!RuU>j>`~0iEE5KEYF478U(;@~B}v8?fy8vsH=C#cC=iAD(2gc-gW1%&(PK
zN;qUKV=66U+P=61Dhf01nRLr*(yRE74vC3fR+DN?KXU}1ICnb4X^o<jiOHc_xyjYM
z8y5Ra;oEHHF?We+1ebY&;eC6P3rW|w4|iX6{Kl}MY4=8jQ-3z}GzL%1o7FA9&a33g
zwOKjZK7XE0IB-ni@o7Kt2V3K_R+-8yVegz3JLi^Q<}x<l<}VR1KK_{+mZ{oYAbWVv
zhQ5pWbDvqg+G7w?^zL4$g{0#hs|@dmpNtci{IcZI+g8Z)Dlyf8J&Rl3U{{M>Na*7B
z@ESiiO?j`2&&;*oCY#RPVl>$#z~bZD*@q@+UNc);@kC$b$0cK9r!VKLYMWk|^`F?x
zx^_jKR6|9B&BefuthugnmBDi^OzsINa#amFpMOX(@z8}lIhIz9ON=@fA4t2X+D}}?
zZoaB#^B={|63vh*u?`Lo*%FK78v^&Y94T4+tWdo)YK7d?ss^vZ?OaC>hD!WNXPy-7
z{qkdA#fEE_9d2z-Vi5bjsnp0VZr`(?nvXa02Hu%!6t{JI^E0(IB`>FcnY^XHSE}@d
zba0l+(V5vAjomk|9KG_gc4y1(MOxh__lvBVv(a(-=2Y{Zf`>Qe-DAs|*cH*IVDB?0
zM)lzqKR@Pa=cU{D8Qdaz+s^NaW8EIrclO2Svvnq~rLD`uk3agKC@b^){)Y8^8M5Ct
z6m5K8dGOt)w^Nlf?lYUNz8sdiyJ?b-<7|&crMVuqbz*wPksoesT3KUg#jQM#Q*D{m
zwvL18E6+}UlP)qjB5+HWNLWi<+P&?M9vph8WVOAndF#8%>pvyB98Oz*7M!~1_eZNQ
zMPHv9eD&$As2A<qQLWnX_J(z7(5zCu4+kQZ=6rN8i#@l~^5we5yd!y$&Za4<3oK>#
z#oV3L8C9Bc!D*4;)+E)KUY1zayeC%6eLI3m8Ep8ktK?jqAY=94sG#@qolWa@x~=76
z)@hcueY(AZGgR9le4+GCy^QAzLVB5|o$Q@=Dtgb6RjiwS+V6kh>b(7mVfi2F{_D{i
z>Dkghc2yjYeO(-2wsdVJbF{qDx<%L1wwM>r{wk8Qq5aM?bBWLEzqQR{zSc1-dg1eV
zwmo}}<~-VBeo}YO-`+isuWehKo8Mx7_=5Su_Ia&q?>m|miROqLUcY4R-h(-vqPZP`
z=BnB2j4W&xtvgh7{_owN)9uY!>wm4D5x4K&t+?lP`Tx)I#ODYvyu80y;DON*_3U|5
z@65?{D4y-*p7A+<qxRJ=H>S+alxF7IK66#?k)FGE1f|=z9(bVqvy#7c-yNou#-;_g
zy=NTQTXkXHX5-txuI~(IPSo%eZnt1rRAn1|;qByVp7Z%1W@Xne`@i+j!9zzMZrnI^
z@#8%=yUccd_B;KoeAls-j=i^&;tMa#IXSs%;bY&aCN~nS7R9Kzsyr@xSM%nxl2$N>
z;7QI)p`sfFj-|0YeDdC3euJy#*C(cL<i)nXnB?vH=54i?g!k1iRjHHeW{7XAI4&0a
zY~Q>M$EOyQpH^JIf4`XGO@nVw-+j`s`t1|gVw~P*RF=cI&icpZ2t!Y+jhjW5cm&5L
z2wqHE{P@SJS%s>zikx{T2PJWZFG}o?y{Y!uJ*USsIjrvtbJN@v#YeT`x9+_ke=2<W
zmPhk08dtq`FTeKY;`@c$4qiXH`dhrrto5<qS2tf;W8Sj#ar^mATJJhzKDoFxUHA96
z9aw33qQhFw{9jDW^OpEOEuT8yKXG2Srb)ad=U2O}>#kI;jSGY`Z#jR_)6kol*8Em;
zhS;oq*Q8X`W`tjLTzJ|yZ3>IM)TBonu2pX7J(RO+_Tx*}xjxigyS61wKy_8lQ7g5D
zi;fGpOh^#r7j;`2U30);!;!_lMpB;LN(Ur-3!3j2^G7}V%d=qy=ZdFn+b#OcrUoV!
zaeU@!>*rp}Kk3q(<!j!xU!7T@mA8UF=yHs@i@fKQOEJsW=()u%oOR1i^qJkvHKE}*
zuD@`|x#1bVU4U(Qt{v~8q}j?&r%J5K(w8st+^q28g0+P9$#ch(_ph7(dvE98l;joB
zA%Dd_2PN#j^m116>U+mBLdvdr<lmaNs?kE?++B75IX5mI4_jck^+2Sp&-`Gg^s;Hk
zt^c$eZgAb+bo9b9)v&yOx!ZsAPV!lw<^PM*{<%!{#|M2b-)w~Syi-3;|N7LwXZrQM
z&$n2InxyRKvzMK`wpi@TW>xbalT<(G?w<T4b(YML+I8=@g@g&qzIM=NV|)AFXVu4r
z>ucY9JpWwm&!N<ZD{Nb@id58Ay>mFub$HdHYv**I8D8sF&z<04FvVBEAYf9KfPlv&
zvj8pw#oPcroe4TuR9r9qdK18xaYQ)ia=+B7Lz!1xyPf3azSwLn5%9{K_Fq_E=)&7I
zA{+-CIIKBl*Xb_Xl(aC6Px9N#s-T#!86S66rwXp%lT}#u{Na~OBmYZ3E-TIB`P8uQ
zU3Tg&y@~yG0sAJ*Rhet2_VUlt@7G`6jQ^PV|BXuBZF}33Yv-x+N2)jVwCv}*BKAM}
zPoag+vB&qWe))L!%fHMyI`-$|<tE=-ub!?h{`YZc_u=P(pF;n}{j2=Ack|@cm;XJz
zdTY~B)yw_c4=?%d%(=;2rv9Dmz5Yu&d6K^Bd;i`1`St2V#WwAw>vui4c+K?Pv&oN-
zFYiv7_M7+r)^#`ai)OTzZr6`aUhv_s>xyZX`>L1RGTgB*%j`+9Smv2$FEc(}u>SEY
z)5`DNytMyvcmHqCdGP<!MU%g?p8D-={u9sFC*}A3O-O{4blKvkr;k@X{dMnw*ypop
zT=(<$+)vY=yl~sYYxn9m&pw?~dd)&U@uvLygVEmvfBe4cIs0UV#o1ea&x}(&b;UNN
z%Ik^G`E#Sl@BH*dITvO7KQaGI+7R*Y)6w4rHoLs@FHiq-VEOOBKQsFF^*merJf*Cp
z<?Vz^v-X>PcouCQ5SjaEU)#)DZ{z;{-_1Xs<UEcD7r(B~UK4QrKL6PQt8;74xxJm8
zvQKJX_M)%*b7xF(=DKk`^ViKg?J^AvF3VdV?`bfO5Un>qCi?WjkCNoMRXo{oPq_V^
z?Nj}9LPf-cQv|E^`<@uz+HU5cea-o5&4VrV1$CnPrT4tt|91YKn-+hb&R17|&TgID
zre*vlcz)-qze)4oOb`A2)b8f{u(##kPu8u^+P~fZTlm@g%CA>9hu1v+oLjo%{pF1H
zeBWxNUSD0f&tLiO`iS@MCw_H2`YWM-4Zrra_Ue?{kd1b~_NCoCe$oEq4~z8*>o;%z
z`25G~7xo($r{vFej(!=q=hvGjqW{>q)Ac9+$g8pbBXi&P|HU(Yzo!@ezx(sSBQ5bq
zc~-LO+aq6D_|Kno?6k=J{`LP#>o)B?^D$rj`2P9)ztY3m=jz^%<GGg`weOe7-${F_
zeDc#5KV02@Mpvx<&zA{4ac7tLzciS6^h4H{A6aY9J<GSh%P-$seTF~Qz3lnc(|gUE
zkJ`2RuGj6)+qLFLk8irOqU)1#DbwFY)^gibZcjHo`AyYvlkS1?FYTpwb^n|S>&mYy
zTD7}B{-)%Hc#%cZoZd*bu30*v+A(m~>iq{b?%uj@%(vosL+xXMuQEyZEmCZco7>nK
zIsbmW`(5_yO^c;Zi^*>|9r0ktJU7?fb%&3+UFo)6C9|3*;5ftYqYAGij?@{RuxiWC
zuXt8HJ?#6*t=}_p?@nHOKXA*Bpvv|D=R*cN_Egn=d-k<;($cy2WcEwPcvW7={8n^6
zto!Y&?c27wuU)>l?eDr@S9HVfNpw{&R({93dxv?{qi?yn<}W9RX?t6*+;Vo!Ugfy_
zHJ?Jmc5e4IDv)5FW%B=0%IlS#p=X`;x2C$s&i;B~tK0RM;_oN7x~6AH?z38dD@51z
z#i?C4*51g8iF1<lJ+S2PX0@nA&pMVj+duwnufHYRYn$xEn<{(E&ON?-Uz<NHH+RK~
z<!eoJ-WF$sXw0)a>l?Z@^YV?yvTwb<Do$=QQ~sWOE%fqkm3h5#w{|^Hx&JmND|Kt&
z_f;{Qj&FD^b^BJ&-b-H}dB&Z8TQ_UfACaZIE1&7tpKMqu66?Rgt?+E->{O!!Ru%0J
z8<@DWvyZP!pH*QoQ(x!g`zO1XG4K8QRd`w6K0Qu{9{K;bi#SrQbI)5RE)%Oiam}Rm
z(4q~yJi?~8UwxYJb;24s{?*41zEX~?l?$8SZ0fLy&1MZFdv-?(Z)Jot-!+btQXe<C
zsjRu|KKYhw>g0mGe@}0gTH+!rw#~i9*Q`rVI9b6o=!S%3sg&l5o{+}}*7ZEB2=MIN
zb@;?AH#5Wg)8<}~W6+;3^LGbNo#Hlw=*r5qyQ?lMS~{=35&J&Y<VoN>uXU9y;VFEs
zlcwe>a&_~BRQB_SBxUsS?0fyR_=QgOY{3aVzcy}u*M04Uq;8PT#bX_>-A^9UINRm9
zi*>KCSaR%K&JUNh3=HP#Ov$k=JMnz)w?moNrH^<#x80t0FT2dQ_6Ucms>PJc^OCp3
zZBdw(vw=g@WZBkPVPShW=4!1n+p$_pgI9CalijP=w6KZxbT4>j9u}n@B*YW)u_!z=
zTPP^xWl^|mlu%H}!=i9i5Ph>KoE1c0EDC=bDHIfPvMBs0h(1^pz7$07EDCRp)DF5j
z&6Qz^DC6H5sSNUyP0j{2-RL&Y=Wm^*>S%v*#hy((U#9B{yBtvR@^U%ZxI$EH?@MWy
zi?SN~0$1cWDVYW~h_G4&#Q2Ihb{#Tmv+))2>^fu=w#8|(_pXIPM@&<Ng(f-lvv_z$
zxOuH`SMu<TVDrj;@nLb$)=f9_!rKZ~yxWrFT#`E@sF&mQJK+*{8(&tp_GL>#6`3Y8
z6`YM_tX4`;5<0O+^WdLvF+Hj$jrKRXC^2a&9Y4aZClokIspw3{1I7FwiZWXtPfwIc
zs8!K1IJn6o-=Ri(I@h$Lz0Rjaycg%)lVD`-pX@eGQ;e_mFl)5<!AqM{)4D7FE-N_x
zZrZowtrCSr|0jqPN-x+pUBA93HFH|>=KXgb<ft6%x_4~aEFX#Ig)*NT?){rO%SWR9
z&_vGHxxqV^%)WR-$yM>KQKAgz>6T}=QV&@OO>2A<nSSWl_F1xvRj#lcGC$Sw@{?Pl
zN;hX<!W2$#C#3`>uF{TqhAww+J9^5+te)VS621S;Yw=0T>lAONx1T*Lv2&+n^5)6g
zKZk!i@to(S#Lvav@A~t4?Js?qdC;!t_&U9a%drdo{L?)<?Mbd)v!~sK`;Q{;%AZQu
z{aPqIT!*Rid9M-A!MU#mr-u}@@HozYEu8=RxS$bBb6&$8f%8GkDIc#1c%BMkNcnh+
z!&585Lvqjc;(#T5!K|}Ww|!+e$>p<#NA3-$%IbuqrymkKQ>OZ?;c3-9ue{H+E7CvG
z>&HC*nsgEO6NYBYkJ6h8T4a=swyfUwD&KBTO5xrU>#k%anKj%0?2C=PdL)NU{iEag
zoKAz;iEQ6;zb}4uJNEL7Lj||*E_Z7y{;~aST(I(COPf1o=_@Bbl(Bo4ylv|BR>^I*
zPpJm$Jz$S;TA=bo!c=iuK!8vYkN#bqy=l9fRX$(nIO)vH?#w==gy){*4Es&lrQJ)M
z4j1X03YeK#Oq-C|Ub0}OZ+P4q=g%cIPu;|3tWjf|u})WQLn0INvh;4v8wRY*%kujq
zKmA;D_{ru*Rn-lFMLTjbJT-4<SlXCvS~4YP%A|QlC1*5aw-uf=(s173!XoF=CMe0}
z?Q*dBgn^86W~WA_faS48sTvF%{&!WTD0%BSt9}2Hdyqv@qCJ>xTf!uPp2MPx`)V?0
z9Xoyc#J0U#eraAde(k(;;z8dpOV2iK3;TBFmq8hehRuQ_$r`RMOs(n~A0<|$Ox$uO
zgL{qiK25V+w{?{_T@$|F)KY3SJe~7M^6kc@Z`IoTKApAZybxS_WDBzumyWye)5pS-
zo+dVH9NFi6Vqwj6f#XhMiJXm-CM4-<*WLO2_T#HR0(W|!?Y(!fx}d(yZVLbN{cm<Y
zu{W|wd{@B!)cO5f{@I^1-_9(5@%_x@J?FPIO5V{j*&%x+H*lx3#MIyrBd&M%!+Lh!
zcA0ryWWMj8r5T$)OnKzIykDfIUgA$ot6TEl#Gma4r87;XlG{E+IR=Qb<gz;VG$}eA
z6j+>aV&Q@SQIT6*Y!a-@ElrGW2OFFnS88c&75!q!^^1eGwx`Liy=mR51*_LCXb-&L
ztnngI<co#Kmk?IlT-Mm0COs!d@x=>HFI=!*>qWM<h9Q?%OOw#z1y2GD0z`SXh>A$C
zvN}071_l&pNUY*&yT!%i=IEfoA;H?{e9$A*;8NIygBmXsL`n>}tXjD4<vcYt7ppwm
zJL~$L8P804w;M4`+!*pIhA&gBXmOgN`Lak4<$RBm(nTM*riLd}GJD-?XbxYsN9gqH
z;7`9~_N+VgI;qmuE3Uu!dT^z!&U){YuZuqX(pumDbn28nlbX!~Dw%cGFL)v<vuEKc
z>7>fWN#Yh2%2Uk`eX^ReXNqCeO22(O@);wSgjr<?t;@Y%@<Mh>p9D+Zs>p`&>21Oj
zJ-2sUKeZ;|_^W`8n|7X53e(=qrG2e~!!${Gs%TKAtCY~hwwxt-tyf-!NjpA?t(UV3
zTJQ8Ka^f$SC3ey);}yTI^{`b6>hCpKF@Y^?iJsuf({55>9?sf9o-SH9Jr;9irnqW#
zc(BI53E-V_z$j=Dm)wttY$ny+9-UeNi+Uzc`M&Y_!7Y=IUOJ^X*(0iUbM-s9Q_s(7
z?0UBL!;$yqOK;o?pU^k?x9g*asY$8z=7O5Pe>u&0^MCFlo^7W-9zAE5zH{^XyU9h;
z2X89{+okAUdYL@wTzu8vgHNx%-fX^J|K1nA^v@+Gl`mxHK-)S6OO_v3ntfv70U@p}
z3-8=@>=d1{p+)FcKv8)>5v!{1!X;)?ZpcpA-BhHzFeX>yhU}E>O`miY+T?1amk0dg
zI<>v!Q|ZD*cMVy!mmQd-c_Edn{J6-v8BX5I0;X%;kPY6F)TJ|1DORn%ojYI9uOZil
z@fPDzy~mxU4vDgX8SNt0JsJx*G!K|9V|cqDsojuO#F6!eo9F`Bur~&)8kE*B+}^?T
zg}GZcNwksmhMU*|*{C-Ln@;Ekf8KPI@$!?LsfoH@B5PjwT>dq~<ZZu=r|~aUQ)a`i
zB{?S<r^zfh`S4RA$JE7%k4!A*hn!c}EuA=P7l&PJ%+$kMpA?u{HuN73wzTo)^Phb9
z;o}dXeD^0EzW%u5hXIqQ@`fWEUkse=dIFAe=o&iN_B8M-2N^2;dBVQ*>RQcg1FPi9
zxf|m$Ov0s(wX%6>`=<BYb3gjxs=9!Nr&qSj4ZWfTJ5L$v6$L~+Jy152`EF_7#3ftK
zHNJIXpQSDP*D3t-t|prY-!@n5em397>HRKo#V4Ob&KADajV!%(>wex9OX+9Jg61;S
zH8aI7bZwrsBHk^}g7@8We}U~TrRUtP$m@5lZ%>V{Klt;Pck}xFGk#V5z1{YuJbvr`
zoV?efX1``1ep3?nWNm2{gI{lhdv8M|@5Kde2Ub1|UiGp5E$fzoh@6TrV{=z01qB5K
z!_-7m5UpTrmRfOZ@ATN>MFtYB@BfNQu6vNQT_y1KyV&fv(_*Lc-SSJfn#*(4<E@f~
z;O(#X^&hYcZp{@s$nCo*-{$<p$>AJ}?J@<EnwBws@wDi_dtq@;A7gZjV(Pcg+Fz3n
zuV{SREM4quyTtH<B=22K*3B7yi`Goi>3n^Z+gxD=!`lPDl<O`{%CGBHQ<N>dzv#S@
z*mA2cnse=f+4g93NJOr5I#Mhdy=;>->&^Uq*{z1D$=4TKZ~AU#qf+$RZE=eEEB8Aq
zR__edKi*q)GS8%M$HjnR=IM{w^ltV(6EvTdmA9bij)Us%!nz~9#ir&7mU#yz-OZ3K
zT)gyITV$W~GtRpnDzR+bTn*nk>Sy`C&h*QzDP>PmlUsMZ|C#!}o7MYLqHjzuzkbqA
zrS^Stz1gP1#_6+FTy<szis?6|^0$fqUCsTR>&538zE1KPcYl|imrGb98DHM%&?l&6
z;3Vi4qO1H!Vj`2WP-4qfqoh7TZG$91x6M(<LJ}0O-JIpK?fStxv!?0R%qn{Aq8Y!i
z=4XEU0{5B~;V}V?++4*AH|+?R$gNqRn5Y*aCazbM$f~DvCUxGl*W!y!o)pbG%W6F9
zYR;#bzA9E9vV<2FtlJWPy*XS+@jPd!#X<q~RWpP?&hcm!<}5taWodZ6Gt^?E!174L
z^o5GgyMr>HM;Gl=x;Qztxc<kK@IB%;InyWduG-*Ox-EFl7wfe%lbyA4Jm#F$>E(UD
zF;UcP&ADY2zE&kij+)Knd}g}x28*erSIM&y=7lxOx>mnFwbEwBXU9rAjRWmkPn|90
zCp~v&s@V`^H|KL=rJc@!^srOmFZRw>?Ns?(TW&o4#V(_nb~XD~)a`ov<+iTyl=P-W
zJ{wfjLK2)l`N*ejFUXy<X>-xTTd4;>=|p__9{6g-@lAI#Z!f96ocUk!&eu;R+h?u)
zSaGMt`sK6Ja=ZSrU)uUhwkWsMdgZzqzdGY0j_=rM8@g%wmy;`A#+l5$WWYAd`FQ7_
z7DgUrgPMg4e480=Bo`QejDKOn7W>PMSyy;X|BISO?I+dK_hxM2$k?|2ovP?c=G&<Y
z*e9ny+myQNm)yqv*{nMs#9deWE}Nckv-Z;d*Rf@D=7`PYsC9qRzAb!e;ytTx`9-OP
ztm*m}r_4J$!+L&2dR<ZPoA7&a7Kisdm|y<R>2lBBo&AA-Z{G@EoOb0ObNJt_n*+R=
zSwt8Z7&sW*J7U6)INeb2XJTM5=44<HVqjnh$uIEDPbtkwjW0?`)XOT)&wD#1Hvg7^
zNZb40+I<t$0$2WIIdnXhJ#{uqN$VP)S!yXl(<@5fobl6G@o4{fJ_V-MgLjv#+}YuD
z@A;nNc9kDri>_F0a)eV{vnJ6$=7@&soT*VCW@YcZ_v~cQgvcqYuJ4wAU?M&5;jMMt
z_c>K_3Kz>T3Fm3HE@V^T*?1(W&v|pn=Hkzm%Rh9q#(dYbon*;ndB!(4byq-GT-;YF
zyR??JfRC?M^)tshKClb$U7Zjfy)|uhSZm#J_Sle;_X|X_!|e}WI2v3a{oqRUw%bKn
zv(}2-JRkEc`qjkrKX1={b4ckCG-F<$<>Sd^duS8u7f$ccl{>?4JuB>qSh3pGZm(yD
z>dcLtNgaBs6BGVCOHwj!?NpUeoS5OYanUoC1Czy7KDiy!KPed@*uQZqhl*#y*$GwG
z%!AjuMXC6`II_{lG%4Fw^YB`eS*6!+th>e4d}L$Iyf2kAR*EUPEZcT>w%5KLHG0u`
z@z+*g`lPf%`S`ZW+m9M}nJ<2uHbw8Dwkso_x8=5|%h8`2`4hL?+xe*e&7>*Lc9YH<
z1V~?rD>`{8!+5vTd6_-_B_d0Y@h#;OwD347BOlr>>FwEb+A#4`6!SMl!?aC%F7m1V
z-(u3|=k+f$^G8ATo3HApmUlSKUM=zEgG{i5MES8367!pDoj$hjKWOV4`)s%K`CWZV
zwQezK(Fx~&@olQ;V*Q}*q1+faqyFM6tMFe@cSZUZ?Mc#iu(|o~D_`&Z$HgLcza9TI
z|1i<(^Izn@v0h5yy7Ap*#T+6@DYLizz4o9a;ojzwCfnE5X5RMe!|EAOliz%qPb;Mv
z85j;SF)#?DB)_Em#G(|vij?HJffv0F8;I0?*Jk>)>)6Zadv3Qq6LXdZ2e>#*yOWn_
z%4xoG8{hxCC0xQcyLlBYIn}?<DSoV2(0+}vZQh#IQ_gq0p6h;E<I1<`8n3~w&FdXx
zxz?-R?doV(z1g(z-ZqVcpEa-cok}}@|10}`JH>q)nL;mVdVYw|_RN@*d@uXxvLlO_
zQhu(9vYB|T`O&SK;0XSC6_Uw3<#q<kturpA`L_#8GJV=`cv}9gU45!-R%Lv?C5P6X
z+FdhK^s4=vnn~$5m6|wX8m~uxE_zsRera)!U6wcB!tw*xUamT0{BOq6CpX$OGq*^Z
zy_j?KKv(L|0{=Y~&GH=zBC9_|mbtwsDoUHO+pexZ!y_v>LF5+8|LMk(k3XhOId15+
z{qdB2Xkn>vz^7q~A_GIiDn<rg<gf&V;@-Kh^QA4P9koCIN0ha~{tUz9zExi~F`r>t
zs`XR!X4sd4s&WH?Et_^d&W$!xS*m_B;oo;vZ~pGkJt1?Iw~2MnJyw!m@$27_>XY7b
z*OGS0>^&DBxBT<d?+fmGyFCw|`|ZW6Z-4ZD82)_vG4t2Mztg8bfBF0R&x(&0mH%Hy
z{N4J`-d^|F<fFe&p3d1gN8jz?@8i#}Pybo;ai4Yn@9>ivviis0=P$p%Pd{t%?2k#7
zbN_#Nn<#W<v;D{A^Y5+x|MdTq<L&&32LHcV&0lH%=x}|>)Hh#Nwf{5x&-c&pr`3G_
z^wSp)mwf#3`px|MxHoLhp3=7G>MA+wboTG}v;Q+k&2gC>&pf&GU-rxHf6<U%m$&<{
z;|7WUcCr7T)>zg3xR8B(Wopah$q65x7Eb)@+4$>x;fL2HW^?=JH@&(4X8QEX4S$Sy
z!;-Gf|5AN{>)-QKoBD<=u3NTEfAHFgGn%d6c&Tsi_x+boequJMm@4}x_Cu*zWo2F1
z|57oQzsq%-PImud7hkWxx&8Rf^#_fFe+cZcJ0~__mDGB#zvi#Xr+G&8uC0$g7$4HI
z?D5IzZ~Fg78~k(qyGF!ksmK4f``I3M2JO4J=70Tk{q+YgfBF70c)|Z1*O>n+xn(o{
zmS11k_HXX1EBl2_T$-hvT6HU{X8zgs&%D(wr$~9*vCa3J_OoC8b-!sHSEk#W_Xn@u
zT_Nmt_o9N#-7k|;mi+rR|I7a`qT9dPPb#r%n|kW((|Ttuf6b}KIPO~fl!)Kres}fl
z1+l#UHvWCfGI{y^4KXEUJ7%rk{ZC<^@8dYBl6RA5@2>l5;F;KZ$t1A8*izPaQ~Y^r
z(@T#}I}3!>tqRdPxVz`Zqu)+{-`;oAVrF^&Z|T2~smAl0<yY4)S@-|_{^s(>?d^xJ
z6yKDUZa#PEZ@sOadi=5oCZ3yn_RNgjdgx4-lj-JFi@yZdFZq{Om-N*>+@LX~OHBRh
zhn(-`ACf<=uMhe6Gyg;Rfu#|_m*=fK%9$*@*~55MzTz{3wi!l7ji)92KVRf}m{Isr
z>R1Q&W25cN$?B`pCKsK)<RIzzN=dK%v_|#Wnj=akNrKa7CQjL5U^e%(#$jXjQ(DXV
z&ipev<z|-@YLR3oRL41Ghk?;+>%e<iQ@-#0wsUXTHG|faU(*Y(eGXiGF!1xlAKnj+
zv`)NvztMP=MOvC{u=_Ly!Kbx~C$C-X2(4B5C!|rBnDVWB(V4VmvZ>4<S;<tzlV%S(
zetP^-4S00u#GCgHlCL5qxin_au-e3OLW7%g8>jmj_e=VXLgH>|b?m2teLu~e`$SW%
z@611?lZm?W8-gto?276*CvDguHS>q8%GX2cQ>t0gXV@A2?>2b9xaQ2c2Qz-2_@$cg
z=+KEP@0(_-MhK>Ll-6XWa0+Ddaho{r*c|kE;!p1fDpMx@ynoP`XY<XSuX>|9lg`eU
zisW=z)SPtZftvSOcUBE&k#M(bjN%uUDM@i=FA#YB|H{cMh08qLhRwfqO664-@c70^
zMsmcMl(yT-e0}<}b8F+P6i<Q9Z(W7Ww{=V9Qy1_A#z;nT$GBwQ{8J)u)XK4RlSYTj
z?xQ;n%1+<aZxqm`v94zgtKXu#wk{jn0_N&)8C^WorrIK{&nv$atT&v0gW--deZ2>l
zrseP$U1pnG)-~76{KOee|IHrie%15+RvtE!<JHUTGR{em3$*i<{~&yqZMyM7&SRX)
z!ONJJ-Yf0+&L-lUbNS)}p=BPQ@9i;P(9E{}&Fq+cEt!uF?I?M<Xyxnq7KR&T-6wl*
zQ92b@m~`m$FSY42K*_;qwsk;QtfkoPER&sF3X5cBq$^q_eW=>^Qt|zC$4>6YllC(&
zEqr}(R?5a&x5=xSjQl>^xVZDioiVpn`DbL2n7BOVTlu3cX0QHzX%oJkGN&lj;aKK7
z!;&ixrv6#k{v@7FU9V>~1K*s9^X4WkI_fvqf2WbcBAHp=*-MT*ID9vl|KxriuNaAN
z#yJ|Xroz^xc27?=^lXYSeh8B51j|kTE34sLD|)1^-eKX9zPaaj2q`Suqpue6qvZP;
z=S%v{LgL3#L8(XF^Uax`J*Pow$1vf;#dkB?=ft<E==FI2;hXf!##@C`&SHMy&3Ko}
z{CVjwJ02e2l`c1_PI6j{k=|G9gtoJ5!u2j5`DK?L!zhsXO~>TnKd*?76MlF<R9RB^
z^ZwCbbDl+qwxvwhyvD$#9iu6*u&n#H&z}_YH5Drumo5;xv?J(2!>Z>i<`iz!3y65g
z)pVjbW9E{A-KuLUG+35a2wmDy^x%QywauQdGPWnLU9jQMRo01_=l5)z%F6d{XNxHR
z(*+{IF;barrABADRyJ#1<LB}`zWT(L>_jf}IHya>-Nvd*3RM|pT72I1#rOSt7;@yz
zJ&CUltub}e^R;VCxXRuy678$H%r3$={r<w&Tx(2>_UBJ%J1Wi>=JEK;8f&g@ewj5J
z;u^Geis&cX@^>HHJ;Q(b&zrl#C8j?u+|*-n&0zQ3b*|gm3Im#MGo=>oyvKO`g>l-$
zY}?woi!MH%WZO6G;<40fccptL53(-uHQ}z_cu6YK!rw$GNcQz&*2bVqCzuq^UpZ^q
z@m1!o>#j7eWeNIqw_=w~cU=}T>2sjeseq+NCO%C(Y`Sx|?fzXSHotvg=B^r@yFGu>
zlFx-AX~o+Oau2$^Ihww^dxx-?r0~tYtv3&KNan^&Wnh1J$<~5n`j&%^CuC|a@rYfH
z-@N^XoSgW2|Htni>}XfJ;dJoLi{`N3=Tti#3-<+QAHNf{>cqqEI?nojS8X@<O)X1^
z+IpeJd*w7XHzu{9YumM$_MWwz8gk`B-EQ&iS&|D{O!T^UHJBMKxWujQ$;9=<XoJs|
z$;J;>Z+LjNOuz4{kqc*L&Z6m^ul8-6P!Jp-6Um!0%hJD_OY&aU_eWv6&XXs&U1MVR
zt$pgV;3nJAiB}z#{M;yEwCCizqidtu)D(MlSomh$;#Iz2!+vz)Qimm#lZzj&p78K(
zslMM;BNNWd6v2WEIVXyR-$-qk7CJFa{X5%4F3FnLMqeHUfy_Q8%2*)q|E0~9{gS^!
zXKmqL^?C03{GL~TK5!{nw%rsFKl-$wK)^_Rcb)n#ttEfUh3+R^e}1T~e@RZx<}*)>
z<_T6`W}DeJF`j#6&zYlsvmUMK&)@jESn*}k)XaM#8IPocFR^i7R+;ki{KH=-4#a(X
z9R1;5%;9Q2{T814lPBp#NT@CBIsHs;M&i{{QKNmV`sXHwMM%C_dy^qB@$mMgOT@+4
zyfl<oH!!cL^N4;EaBTa7rIwfYUq8sq+Z=M`L$79LM{A|fsS{QY9!S38TN+}0@O9A=
z*=vrMb23)WcqkowiJ$xOk*OQoBE)^&-yY$aqL|q>HL>i>WoA*Mns~2WiHS2>j5cxX
zNO+ZZZQ4>!;mrYUOJu{^DuWw1qeY!B@pB(mnNldqAk*Ug?14gz7aO}`@Egz9uZw;%
z)os>M@m+FzX4+2eU)xr6UM{GPFWLU^hHPKe+q!B7uct*TEM8B#5OMF%`J!oi>fZWZ
zwdenLz%yUd=rf-{d4kqfhB*6|vuxedck<qmy3?e-amn=%zZJQkCr#>;liH@dB-l-C
zy7yd@ayjuWmt~ryc82I2vf=N|UD|a^pjT@8cE@QOw5%?!kKhvLEZ-CH@@|2F*RS%$
zVP&%~EV<Qq#cj#Uka>%n56QeuVC;$5ta(Co+R>@a+byFa_PBlhntS2Vog;aL?n_RG
zEW5$2y|du!g@T_R|9)#8+H`_RA^6VU84uZ(a|-YNBs5VhSn^pdV_KJ6@9Do9J`rN!
z3<n%{)b#N>{jxdec;ZOarP{hm4!x`PMswM(-{`&BVUlDu-RvgsG>f%&ZiSg$H!Pba
zI<d~Da^F^;<G1=>OV~5@L@=uxJ@rU!Uv@$1;?>%N7Pi72N$yb-#f6ve3^Sd*Ml0`w
zVZxDL=7Eb^jP&|<b*zhiR(NHL@X>Qyl=PpSja`(PVHdXi5!-xLw>8<qs$Lq&!F#(i
zwk_;g9Bdu<CPe$wqnjqPnOPToNK81g%M@hDCb<(X+R=TLQz9PQe0p^4j%#J0&Vmn?
z2}h(%1E;hYZ4x-4VSay6&tc==Pmat}=-FdodUjb(V$q@WM#1chE}f4~MOZKEe(`GM
zZwnDFjc+1}Pma#W6bty=S|V!x!@)pFccww0lVl{v9GCmQSj-kZnA{@xl4<J1ZQULZ
zlMi-m?Oo)-nHuBB!2Ynv+Ja;Hyn~J>a<a>~4Xo3S+%lBCoVUDCZu$3J>2Vf2_vAI|
zGVA~IDLy*+cEYxfUd!yWN0!MgeRClv>dmgUUp7^>*_SSr?agbnW!7gq8@nX-bB#AU
z-~E}J@A-&mF6=qIOmB&G{P#}n-askWqt}hrMJGHz&>edD&9d3ot3tkf_-pz4lFLq^
zQ#ZEkfBvKBs;$Sb@YlhoZNfIxJ~+BLGfCGcyk_#bTc&|)T8uWCooEf6AD8!=oy`j*
zy<unfr^S^lYnj{fFGa3b>zWkccCDq>_{-bG3-_MiE1M>ja?q?v{PVI(omhqL{A-@~
z)qJJ}xP`HX`^MVpiG?4USeJHcTIE5%rt<U85B$lR*Cn$r*1qlI<Pf)MEbN!Rp6)Ar
z>a%v@tJUqnI+I1;s&5br5`42^N6?}2x4iOK7qUb;Nro#PaNLo?&v)o)W`Tgw+gX2E
z-f(4=Z1}oq!<&~bRgW|4*L}2N{LR68f9IFQnQwRbb)5YbxM%vDP0YnBTc7><%cJeH
zq4~kx@|)-8&Qv*K_E%${x1Z#6yI2Er`Qw`}vHWJc7d!oW>x_r9KQG>)XPKH)R(_$z
zT7To7_}aM*vdr&~oqip2+DviVQ+LC-pi_KiaZwHH?1ggX&P%v_VE5-w$$4vM30Qw#
zY@u(Nnj%?n;ZBXUgZZPipM`V8Dz?XOE8g4Ga$}<W(YlkG%HEC5FP=3ox%QK@jML-s
zGM~@8Hf%cj{n3((!pl50lwUVAr&T<Q;90nr@tVKZqdgivel^T~R_=*&T8!2SG&C1j
z%F48yKJ`E$WtwR;^MbR_0?sc@J(bEn<8^*^q*fAF8N0{vr8Y11inXszxzRj%nRmgZ
zz*GMkWNw`~=d#Aq<mfHt(D#0)Pv7Y>J!<~&YI23HXKD;r>E#&P$H_}Ox3aIgCp%r7
zb=C*9JyksCRaf?${a|$V>(q2>-!<j|U-NeE(Ky0$uQ9woahJ-}iKYh}i@$H4vh5N`
z-;&H=wyK%8>z4db+v7FMCUHTF(Iy^?1~<{l-xHJ8Hfp_A6wQni5p(*$w&&GRv#2Ju
zg*`gVe6x1PGi~$rUt=ARs=LJK!l5rM-yekPue&JZz?qt&TEKYs@4sEW8aEVIY?2ES
zd|9bj@WBqOok??D&z8QX=7LOFnHKMJ4-`^1nOd{hAJ;6aU-0UF>CKC|I}38%SKPW6
zcv<Ukpn1jj`LFb^#y51;F281xf9%Sy4{s~KTGaW3-D#A(b|`ZHcDYxdCw6^(d@3qq
z%R-rhQ)<(1Th#xx<W||2Yv1QSS>!wW4U^JlkKjI+6IXekXmQ=#oxXYMe!JO61@E7g
zKfdi`SF(32Po)3Dt1)%eKaBc!ZaWy$Y&hBUSfl0h$7hr}^FQ||KTSD0@#&Gq$oSQF
z!=AnMHfcRovwP*4?F*7N9qX9$cu%GQx9Yy%>Tj3uq&vw(2FT3$TAmv=_2#cT7giaq
z*L2rNy>{@`f*kK#72yZE)3?f`I>|%^%1kNUY`^-9(vw$gMWSs!*CYgswEx?=iMj6y
zesJ_?6rYh|-x_tk6IER6wKsRb+~jsdCR*G0p6!REEZz2*0>`Hc7YMA=Noby+7F-};
zq(A>o{1L}bMzej@PfxeBP@9?ewC>TiW!Lg@|GF$Ky~1qWwM0K;Ud^-fzrV*H-}{pJ
zqPD`^g%|ETK047dOl|kd#k`U_W$TxE-Ewl>pj#}tr%(2toI>wHo(LzI>7lX-=I2*f
zrRh{0ZQat=l_0!XeQTfWJt2j=VELK<Q#af`mwdL$*jkx8u3T~NLZzEGtWrPfIUIKG
z)$p7qa9os&{pQu_H$-oGsN7~+t&?dWdUD&D-X5;F*DqgBS&_0tQ`GhJs>fNa&2NA0
zelzX2kYw0~W0Q`B3uY%B@4CLRtz9ZmOnY75*L|lVzFMD{I@LXX*2aXXs<9iCZe8gU
zTc5Ioahj9&ipO59%x`}w@43{&>aFv#ed@+pYukJud@ypeO4MA_Vzti4V#B(9{41{=
zP3qmtkgb#1CwfsW%{_wkeUjZ=A$h6wla@tH(betO7USFT>(=FG+GStg9#cOruCr}P
zc-RwZ@o%=P86JJ@*>bX|hO;u}Xu*f8V#ls>Mc%m@*YI`Pmvdbu(TCm~^_I$<`SgX*
zryYkLF4+B>_tY;l+vh)<TBny>>Z(a@)Q`LEA*_3R`h?@6{KpH=Ww~y(Jbtoy@0;dd
zJ6<U^<<5K`8LPMNyjsEu4|!duDU*&)<zzp3*Xnnf$#mu_ekRr2t$YiPZT(oSRwUPT
zSZ=`-opnYH%>_R}h03`H3NedpEq7MVITPPhcS`Qa#=I!^-aCIktWIUU;@{bC5+0eq
z*5d1}V`rjm1nxVmt1P_oZf4CABcu6N8{WnFW#2N><H~7@e2~57c-RstY1X2T6B^=6
z)>Ll1`p&iM^rK5FCqM7wU62`lN^9QVC(0`(pFUx9A&hTDP)l3i>H7+SPU5par7n4$
zfA6MLQ&rKu1)C?Y;Z-`LVsAZP{=$Nv8qC#+k9I|*uN7YQ<4beX%wx=J*dvm4w;B0f
z{<NdQ<M?UAd&#RS4X?jTtZJ~@q_E?}tKD9Dn<BoiKXxnEbyMzV&5qJH58g$c?LNIt
zCDKVYQesZZ_cM`eK8GjQZdbZltR1;ybJ*vkZMvS*0^Gwm#UpF2-rc#Pu`A;Kdbg;8
z)Lko0l@Hf$mCC%m^o7u?9aW5chnChB2<R0yH&5{Vp)${Bng8XVr$3ii9^J)ddM)eq
zi|21+k~hR`?w@?_#j{oQ?5DoWjSIfK%4VKTX5fqWzd3eJ4Jk{A+nR7!X8PQyyTX#!
zcK;Jqn_POcD<XQ?d0%$_PNwGPM}4B1v={bxuh3ibtL}k9Oufwcb6e+^9C+q5_4G-j
z>sP+aS^eVS?F#*8H~&U(Cg$8L4?J7dpts6XezV2Z2<f#PrkYM~7ccxADDGv@S~_v+
z!N!umUSTqO=T<%Ih`YP!ci=U{*A7{8GFD%RJv&WN!bX4JjlThssVS)i30L2<te80~
zH#}wCgS;tUPjtoHZe6)*k@&)kp94j`3R|ZZE@hNy@&5ckA!ZUAyW-||Clh|Hag)&B
ze{Q*By;XEW`U2BS`mg5HTfb#G{YUyv$=6@(Q(juHoi{tP^yi;dCXM?GFD>LcYv*@E
zenLq3yfu>-EHl)&T<*75!^t<<q|Du8<s1XO#a0W~=||s|KY7U~bRkP<k!EDttXaSP
zMXf@6FI*_plu7mCNWQ$U`Q@&a3H5QV#=ZedQaS}Ds<AFP$-q0OziPvLjWyjSyPKK|
zUcxH~+nv?(Z0&5$EuZ}K@#&mDA}Qeq<M!`4vur^F^W?0LTU1u<mu-A|ZqIDNJD(Nr
zF8p+4x7jwC^Zk>byfpv9Ue^+GiqqO)s+eb)(%uPM_b;kl+`s3*Q@)p6Qw!ss6~1y-
zHOX=JSTe`x8m|`f-HqJO63VLnn(a_l>|J1V_Op$zYSyn7w%*gXH2l1zroTSuxTA)T
z@6glK0s*6o^GfXRvwXbXetfz@U0rR}pQnPh2UK_`uXBBQDsF#dztr6wiB>^fj;;HQ
zGjnEnA3ML^OZeAsJ14cJEfd*1#m`@DpRGEt_QN{Wmy@RyE?c%WWzF{685jB{cxc8R
zKe1@ZRzaDT(~H#A57)hpT`wQH*dcev^3U<dgbObESMkYecfa+%!n-=RR^mI`;)Ca3
zzTmP^P_ga!bjG7+Zh8L2-);Z?)hxMZ`?+bNt6DsJ(BBnLpGkNo-3s=3-<DwCx<&C+
zz-5^$j8eAO8ao`1rcSiH!CLxUB)(}Dk3o7$?-oU?hG$CCb;L7X?BL-OQZ3o&8Sl2r
zzHiGfk!@cuHeR)9oj6I=$>o+=S-IBXhYLRka(`1&Eh!XblxaEr{(?g3rAr)qPS2wD
zzTwncf9Zk#-G9Gdtbeee-a+l^dB+p?H@y0$yyIcy_aq0CDlNCvkXaA*CCx3BSGwFM
z?6xe(IO4Eh`VHP`pVwbL%sSEX@>k{C`waeliwXD_&SswUdd=^EKQp)Xyj>>Ly50J9
z98df2`t%+nz3<chE)?wYIId{HF@42B#~nv__?(tqo&3+&p7Yje{mVadcJb_*{-3qa
zd)c|%$14MUIChu+mTIlJAQ#h@ci{Y)t9vcJ_V|9A`BC`)r@zk_Yn+yx`}KK2jsvH1
z@$&AM?`jP@Uy7#XudFtFDXIHN>B@0&lL|YdOvzOnjIQ-PUvu~Mycz#?ZCP5Dy>9P6
zhSwce_uly2b8BvYT>i#63okz2WN~V0;IU<OmAl@}y7*?Z>C3N|s{_;{wOrO@*6mU&
zE=Z0}KijMj>Ld{<BNNd3dT!aOz4LGHmD8A_Bc^oKW$mA$;z!ZdcJ7a#FE)F_?(M0p
z-NL=LZqJHWb2jXqAN<C#cUSrB#H>kwCm!wp!RGx}BJ42pm5Eai9W7rjsQme8j?Y)E
zNOPBcot207bt?QRa{VhY52V2K@X_+;GF}g?f9&MdTfE1bKl*2t^^a?A;@ZbI$W1zR
zqU+(IU7v#%bcp($PWdUY@z~KVHVcIA8_IOBuTbu8>wdp3KH>@Yvefr_M{fM_J80EV
zanHI<@FPQM%GrXqdF4`pm!55_<(uCyq0ddSAZw2GtGCB4=YKtQcKUy3=NF3;-Z0iQ
zSZyk@c>eyJHs80O)ur8K`Vrfgc5F{Q6EAvTwd5V;50BQ~>7N$hCZ%)GamO8gzC)^c
z1p-E#^J{)t)p7i~yZXVO%soGt_^J}}eBb4rU$58ma{7ubmaEn*n`8LWPP6yJ?lq<F
zrybe0@!H>ynIC_xa9Qa3Qev4))a}NfSC~vsue`bS;g+D2a%NJkre`hAoBw6etJ?cp
z*#6l*_I1rOwsoXFHH_)nV|8iu4)LOE@_yP6HTLcjm2BpYzx3%vQqH=X>w!nEPg~+Y
zDamI=vUk($!jMSAzH2qQ4I96QzT(}~)6rnP>|}+o(+|h9yTrxQJP)*3ZR&c%yyx7N
zP)UPt57Os;P48;Rf79^L-1K!^%}iBB;a4Z5HnDHm7hu}4N&Um+-47F{e0lG-mZxl<
z$*)zBb4~NQmRLVKJ;`c{XFc1oi{0_X{HZ~b;f@C!cQ`Td9a1eU5HOOTuX1_$^7a0Y
zD=%~#?oXWiEh+C7_tr;$ZtwlF$!p_oWBov>kH0ssDGr`f$^3cZrh7jkGo9-1xqGai
zvw_)ob!*m=^N&_7j<|Z(#AfrAb3NH}?lo&p-CAIE;MwElE(<$Pcjp(|N4iN)4~oqQ
zk3a6MZCbf&QG3CX>A}lx8Je3`g&lB@UGiPH)r%wf^S`#0OFP%^XI>)D(3_L5x^}_0
zTMK+Pg>?k1KU=!4FLr(Jv2*T;8jPaiZer}>SE|Z3tP0;Ibj7-2*`%dTEme^XRqXy8
z`gWK825=^(#7@|ppE|ol*TjCYNo4#=kIm_Ed4Z0<d)Uvq8{Y7rEZ`=_a$F?Ve$AEF
z(eiQU7L-M4glw|u2w2aZecz9}Z*G6m26-*T9vu$86^X{TFCGbuZLw-uIO*v@MpOCS
zigMQSM-%KrA|jf<thf5KuF~5x;(J}+`VHa&Qft`5j#z0-xqSYy`v=2wY}u1ocN(4A
zaf^YkC}W=fzp5`@KK1RpE(z4zyvU3{-2e8f#=U3L7w0WIf2J_1dFy^9d-=FK3xDq8
zDBoPkCHgRfd&dcJ>zCOT9}mvjd2mnV9xlb2Dc3$EHR~Q17k9Tximfq^KfYqlKhxfA
zvHeQ%Zx*a~yp@%@&-wMAR{u*<OGKwX^zL}9)zJO^)!ivuE|ok<d(*T2pj4gfHrwKz
z+xX;bI<9?4`lx$+nt;0)AN%dIQ8sH<-O>5B@Up*YfM%qt%f7>RbbCTMvMV@hMS6>B
zI15uA7JR(BH%9N=J*#DtzcO@}RPU?~S<P_mAw$*2KhqX_cPO83YhE{R&qEE~`@7;?
zxA6w3M%ue<V}5-m_rb%@fkvk`sven`dibc>?$CK*+dOX`+Qzv`<#qdsZRx4Ej~sr!
zIA%(+cZaff+hS(1muuFPyYOygo>phYnmXyIb4ztzYc<#L^WDrlWMZ6TA`RyhT)%yH
z8S^_yjmc|dmF{qB-!ZnSvpeN#xueBmQ_6{g&8k;l?y8Nw`$6{+@4-0H*KB1Uwys^_
zv`7BQ(f`qW28;W2WcqgPu4=SfdquX?oFzJRjdsM5>g2im6z}nUdc>^PDIR{js!_J$
zIzQ{Og_HGftV)VK$hYs>%kJ&Xdww){e^R|N@#^7&;rkPX*5zrpZ?k?WeJJ5@mnmC!
z%kFQIJ?5=@BpSVi)UHf?df2sMtKp^XWluPHzO9ak-q7*=nqA|^c@xBy3q1F<SZ%7Y
z_#jo5C24SDlM?SCqX+9`4ep64#U2$&aFPwTKj6rx%iLO8rGDxBlu-BQ^Kai;qm*+v
zsbK0ks~Jb-FP3iPIKp52=*qS3;+;qOJ3`7c1T{YK`fq-%w$Uzs%8RD%l53}QF74G>
z#vyB8Bl+g&_Lxa}3*TLkN?5qNs#CA;(AVseZ?$DSdY2>RH#cu6{G2cBT%-8r=+>A?
z1`GSNne+~2|3Ce6uCaY{_ZPNEcb9$Fze)D!H%r@TxqI$wvD#E+@k1(~*Q?^7tJUiS
zv5#@H;v4U?2*k5hZ`7H7#CwJE>2~J)CHd8_s&6jz5sz7De!@uU(%%gKb3deRbo=g9
ziFJ~Vw2~=tuKl(`Jp9wg5FR=0$=6cFW3&H!SR8%nz0XC}D-&A}Z#{VLuTj&6gM9}l
zr(Vm_eYAJ0f83uh(@Il}D>zG29u_>jdVbw{y+`|nKQ^VGj+=9Hcg6d+Q^oD~r>?!p
z6Bi@<`dHb6yL0ryUo8&F?QdZ#=b5KpZJ6<%N$%Obn8<bk`@^Zcw|EkqWFu|nG=x{*
z_wr@D7xzYs!Oefh-W^-dYUZf=$UNFqm&<0T+`mRa@7OPaAmco(V~;dLd*qC(4fALB
zaGO8dtnkQJ>DoSDL$xOpQ;!^sxG!|^`I+0(&+F72{5MrxetTs{;{3xE-5sSx!8M$f
zDWZiR?v^YNePCiW^|`@~!=E0#Gt=H!y3%#d(@p>Kx(pTjb(Hmv?Uvsym~MFE*r%kg
z_f+p}em}M2am=+hzJh8`Cb}MRjPOsIyL7vDCU^XXiBFGy&3)JUHd5-HsA=SV^P|%z
zxQhw0$3LE}p_I60=G8Bc{+n^$E;hNNpEZfCpwB?DUq_Me(CYPPmQKC=HE>}_`^LVo
z%e$-p9J{xH=i#!z&k_F$!{j1eWO~fQ*M-jVTfCNc>j{bMY}38gC*Hq(-l}-VGE45X
zv)`%T%rQ@9JGXBz^IF}vkNw)stbdGpMb^nXDzDf~>z^~#eVP#a<FCbjg|4bOZ)=4l
z+#~W=zr5>fccS)8mh2IZJUP?;r|RiXCoVm5u;Q=jeUmBo_xC8T*?9F!p8Ecc`a6_%
z6koBKws6i=_iKXe558VKwL?hrMA2jAL$jiN-!J>Lu=b$n!Mlr}ZOnPP#%5h#Lvz8^
z2j~Cuqb`LBe%;-y>BPWr>?s2SKg#lr;*7+C)VDR|b3(6PHG4i!(&Em{#<NRxf8Xew
zx-+;zM43myC4uK?#-x)hg&|6g%o!#pXE$^#YA6w{c64#b>EIO=t#)VJ*cikT=rASk
z=e5nJZJ)oHS^aKj{=WO)ubZDb6Lmgr_xHWmtm?HhFQwczUKxB&$|~o@htpoaum0a#
za)7m2f1UnYyNW9hirM<t*e~4jYf-npyy2HW+-_5sSx*a{QYLG*CS;ScxBHd;HS&d-
ze|M_OJ~GR^^d#fo^(RN1{)L7aFqKSJixu+=bGbg<Ok>gX%U5pi{rd8*D|@y5OnLRI
zo2suj#=hS5{e5j(e_iR_syShgOg&BC-`|>lcLFyXzpS2(dZ>-Zre=3O$(p>{&gmYe
z@9iG&h~BwW9PGL`d)L*k>t5bl?0<c8bOr0mB~MguU(&vEkh$v1`+La_-s>}0t#G?2
zvotz;edMatw($Ds>bO-)m({M>slWXHwr`VkmTxjG(p;}`)hYF@^HCnjjdrHXzpl%B
zI(3Ox&lH!aYTZ9uN`7gsPhI6Ik@dFIp)fS!RQA!NWf@XlhAP5lL2LJ1TPeh=`NUzp
z@2c3+>x@@pcYlAeZnn1Y6*Ga9F1`O-zTGNxJpba9;V#}+H){`MGFQ(HeY4fSQph@2
z!FbB2ANjGo%!jNMLf1G~{Vfw{U=BVyYnss7J<U5bOI}(Yy=SU=@8HU3FN{uZ{lxdM
zAeN<*<9&diF^kMJEk3U;AH0H3`h4BJ^$Xu|i3?j-yu5bEx~ZA@&BUrBCnPlAYm|g<
z-*x}{s+X5zy(X5Itm@@@dv|lX!h)^kJ@!EsulN03UA^T?U#PO*XU+A_X)YNnwthFh
z+qRtdPi=pR@7DwCYNM);FOB-OCTF(L`-&|rEaxRItn4j!^7`@g&AfFl%f3mz-76ft
z)>+x};oCivgH}!`I6sd^{=wWiS=rsECwz-L9+JI3t&}bQ_0*+Nt%WmdW=-Z-4LI&Q
z`O~SL>;CSTRa6qf|K|Skx#1JNN}q2qxN~Xsl(kp3`?YTUvP#9?;q#%_N9N`n%4Xvg
ziA(3yU}T$B9UIij!}IFqz2B9qOgkD&Yj^WW+*rKdZ}Hsk-638NnSYhoZf<XjuH64b
za4CDfJI|T0d+TeK_$V%4;`Jk6c9HkauzTwddqifx5BU<Ye%GtG*xz4YUtHezd*#;a
zSLe^)wLo)ybed6`R<N#i@b$Id4=~nNg?|@_`yR3}(Lm#Ng>8@$^NS4KJ@ae|s=r$Y
zizOv!zu!{eS~2N6S9r_Bdm7(v9_;#~)>Gi>=k9urFYF1!djD0q0;fH=*XOU=5nf(j
zwe`cVrIVP?$z9mKOg?GbhpeEJam>5Qo;#eJ$GELJ{P4eP8zio;-TUvvuKd{la<;2~
z&(U*@s%CbY5#`R7bo0SQgB7cF;y0RiOqc1&*9+fRzAP!=#I$?wD^y-yDiYrE<(!wO
zVX9WZleu%VuI*m-ZjEu($Fz^XLpIg>?_X2T@Ot{!{x(<1NpZQWG}Tqa*V?y6?@QNS
zuXpED&mYORcZ_d*YCg=d{g36tyX)QezdO5EapfVqX0~r{Zr-sD5BmFyYrS2l&dObk
z%)h^{d*(HFy4I?9xAyOUv*6y}S=G;%bDdxHGQPH~_<d7I;(f>TuW#<$kzTdJ@9f_F
z8mqjG-yfP{y`X-+Qhvm$$kO?aGjtFAUhN|jowMD}G?v}$bKCNmdkNipyMyEwr-r6a
z<<<D6ch2C>uX<yjS<Y?}%bI3RY<qd;jK;|+%Tt-Ar%3oc2s*6LxL=x$GbU}uIp#_C
zo~~Rr>1Fhrzs`^6lx_U(e=;QWM9crFi53t48~V&z{6E@l{i+wyPyVW3^_e&~x?-_x
z<pB-v@@GGE_ZTuxtNzP%beVSGd$D^r7)~z?*V?v;XR6l6W0rH`d%L0^#V)nb)ZVjt
zZpg%02WoeReShAYyQjHu)ef_JrZryzogI0z)w=g&&kYGSof&kG<+yzC-VHjkFMLZX
z{$49yZ?Dg3`-<(`qy5XjD&<c)yn4aS?q63z=J&<!T^4Qq-b($dSE_IW|5r8ZmCO-)
z%%9&e2rJI-nymHWyn}YWmi&QTg;QUDY4EJNJSQa4+)nmO_FLcbAl+Sj7Q5q1|I003
zDI8gx&*pBscvpG9*gc=C5qG5Lvj2I<@V-j@>au4&jiq~k7xpn*s>_w7mfBWxy=TkQ
zy81db^zQHSyw`VMEOEJh&-T^17s1!JuX`Q2m%V^{``5IeFBqD+-mCSU(Y*Rn*gN`t
z8oPDsj>fLN(Q`sJJO1^1AO3lF9cNKYzTkYf<@dIxlm{Nlp8U;ief8^|EgFLTmPt!~
z?38%h!P;jLx#v~KZ&}03f?t!SD^IglQcSZ>TIB3ItLpJ`yXF(Rw(C1HoP51zOB^zE
zIV7-7Nk;gIgvvsW<1XxqsSYPzC~WBw^OJbk;%U&hAnfF{S2O1ROgu8t-uH{X0&i-O
z66gApAMd@$%~-JQk(c|GuJ~f>7>$3bzq%S1?G;E`tX$RgUiM+9Ftcv(9RJf9GaZC8
zXLfA6v@zxM77sD@(`VMK+P$jc@CA-(uV;UoR`=(wGG`&fE9>(I-~a8K@vx)5%e8OE
zb;0%y)jo?>_A@2!I%P-nnXjI^q8q$ajrF9<WVia0YuxIamf6PSJS{nr=FTJd-Ez_H
zAFP|K!uLGruzvDi-txrfr4Mdg))mgX(Q;~!!AWsr_kV0>c?Df89t-bT`g-EW^*e<3
zmmcYSr0YIMzt7oF@9Dg^$EVJJ(~*2c!BAsD>gykKZ*4gIN$O6NM2iU9!qhDrvRfQI
z5(R>8wEEmmd7ZHTmAqT?WT(GOS=?q5H<?{gkzUny_LA*n?xUHHwB32c=36E$SGFsu
z*cYR6>5;d4jUM~O2zL|l%`zWT7T3Pymv$9-weQTY*~WG!qkl>M+GO58i?`3CQ)=T~
z!Sxo4I&VDcD0^g6xJE<hvB0M~gUe-M%LMn%)I9&cIqzy^<AuAcrZWpfxp9j(EJ(55
zFW+P@lW?u!f<DWQO|R7dGvxi7y!T>u=;!y-cOUh9ROY_sK*_Vp*-wrZ%~JLgU-v@a
zPjo%&?fopFCpQ26U+%r6PqlhU>L!&C=4YweGk0F_s8Bht8}Ljwwwbkf#g2o5^^fj0
zY(FX6H{(%<Wf9w)Q@tAk*3DTe@$Wd>tp%=s%rYYO|1Wy6vBzT@N9Zz6=7krT7Bsr>
zIq0olES{{pW5V{%#}%v=t>TxM@@Bct_>+3WJgVD#gI5j*UkB4_!#M}E7IZ9I>N`t~
zdxGZ`c|C`v=2gaQPg&fz|M7B<dE9ZguYzT@?eyfChf}NWJdB;ox~}|?>dD2?O2^{k
z{tDZiGsy_Lt2?tU^TvyvjCoW0?p?~is1+<*s38AgUg41}_Y$GSE}~Lh;W_VS$Uo8g
zYyEE5Du-s7$^OlM@}Fh7M89NVz8s;>p_E;1Ci8yg(lB+2jN-Wp9J-Su`%0U7-)^!x
zXfr)WSLgaWzLUvLwNt*fvwl@tdd$I$sj2MFvHE}SxmSI@6E2ZZlmEc9V1`9p&BKm!
z3+%6R%%8^oq3FPK%YAV=T<3PSsi#$^JT=Wya&u8S-DzpOTdK`%Ll*N7hSRe8>NQDw
z{pWS*i?5a`oMOR!{JFKk>8>Nr5#Rd6`Ps8;x2$~pf4;xk!T(8iva_e0nlZ;-=X$|A
z6BUJY_uMbf|8JXPb+xW#<rkrCYIX<X`Yv8|{p0&5a>9yd|9^F_mbqiC|4h}|U;&?7
z@{^rGueb5!Y-v>sTi6*o<GjG>9(RyG*9fyrJdVV9C^yUIM&79h{Oq@5WmJleZ(I1l
zzc1nH()o+L<BxYA{2IaYPo=7pOU89azIB(m?7J(=BJ9rzn+k4MzG>6vRCKSzx@vK`
z!e#S`hppPpe>)#7|I}b7Gy9+Z4}Z)1=YPyQfBakNMed?^6GhT9FCBWATzS~k?1J&P
zNz!LmdF8t-y<dFfyesFt_4A4<Ztc<Fe_H?CI<b1+%#wp?N6e4PH%~nm!?pR^*2LB#
z|CT*$m3K^oglDz}9PcXDJTvG0SDpt;^|ab}SI%F<xQcn+@xBwc|7Ez_=*EkzpPv5l
z@X@&yF@L77c-}kVJ=4}jl2PWbxq}kdi7#2Yy72p>-(d@XNaP0}FPeTm*(p}R?4<D8
zQ?uN^Ge_wEf6cfqRjI8}tk3*FsJ;nLZ^F)7dp4VW4LegUb@fI3i{5*7+jE2SuPMi@
zU9$bl;oi?5`JNg4yr!il>$&dNEYH5_FCKh&pXRwVKCr&}Tgu99PIeCpZ1W1NEM(`N
zV_mLRRhZp7Z*zX|A^*R7w;Qjt-=#bGm(m1IPdlbdQ=b%_5cBvHJE3(_4SPzk=RV#|
zGga=hy!0%(ca~dd(N2}}{by5xuCg+@E@M(Xxj?L=`=Z;4^eDZ5@jJeB`n-JJ=Jo&9
z>GQKM>AUIm-BmDB{mIakD3I08x^T*~x97JUu9<LAQ?xB;m;IDWO!iBE7cakJGUd{t
z059&pQ{+y}4cZ*w#orQCm6WfxRD0WVpOf56zZ7UJeLh{+K(qF1OID%U^F_v2D}D;C
zkkViL_v0qU6;k?-|9mW3Y9F`m!|~G+6SDT?xS5#7?PG{kIoYewt-4b##q)^9q<qVm
z<;6Sw@|O8^JC#PgKi@cg*;5%;)rHq0WxQT0x`b=m&aqxPZQ+!8980%c)4#l2A=JA5
z&GyfagN@(rkyw)T+}&YSSsX*q*3WIr#b%VvV++~(Ib_1Bxyu_u-r7hm$$IXo5Nhr3
zeoR$M>V(*&Jz`9{syhWEIXv&NZJDZ)&pLC`6J3R9?@wtHa#bpYPi&hshjr6jm3)Sq
zag$b0l=dtV-elo0>9az?0+sj7l@nB+H`zo?`rc&YGO5@>PU~c~gPhvQn+o2lmFy=D
ztL~JHlu<nS)1iOLld1*ouDnKf#CJODKj70nQ}SZ+LcZJ-$$kl`wa(7To*GNbH-1??
zWm<EO-^yH#rOTZ)ZC~nh&tFh+QFANz(l0(5OQ+V&Ja+Hfipk1Lzb%{+_aQjz+~=C-
z`%9g&@1KlZ((KHs%dNV_Q}xJ|v}KDfTdGVscY0yTx0FjaXB*GG6kxT!<<d{P;Ei8*
zYL``LEZpv#`}bzv&1;-$@&6r`Ju&;*_b7FtgX#^tn>*5C(-cfg<{Z3ly<?7}@Z5vD
z7xKh?w=O;_6dKYaFd^&hPmU!~)p<8e?ys>mc3ibJ-O6L@Hy_rO*XD&!To+Znj3G4p
ze5u0KTc4#^9?jv@dnsTetiwO`pJjb})UxI5ll5PG7Mgs%Ib`Yl-B!WBeEW3`mfknX
z?9}}G>AUgL`)zC8rv9^Y30_*y*SYdi|NIR{*31cS4$*#IcjDO1g>EfnE519G?)ugJ
zbJ^+mGbKMKR+d%9t~h<4KXt>g`+0UBx6fAJz_!Y*nrBJY7FUJP#kM>_rnh3=l&`wD
zj(L?-u9C;qjLUy=Yq>nGX4p4|^p+{7gf6aqk#GK8@vfNQTlKfHJF3LnZ{@pIxa1qZ
zHLqeVn)tT7WZv8I$Q6IX+SI=H#HLN0vCmsi$j3L?%k-Z34Tl)cxZ??pOVkWQHCA@|
zm`=UIvMl6qZKuXOmgNRFVuB{m3_81w_25KfR{iZUFBUEgixkb8>N%rw)#d$;%q#uo
zbA(L&9W`Oq<@Mj5|13MP$}hgN)uHqk*MwDX^nZV@kzY3H)faO^{m_@&6<M#oT<@^W
zVwF;Wdy%VK>#{$u0$$%=7d3x!b-?^xGin%?tUB&AVb$OK;J4==*fgw?3QuqhyDGsP
z6q;<}5!%Z-A@u4D{-Drg8I};!bu257y^ENT_2%4{elKH(ZBtx#Ozl=sDdi4ct5Rwl
ztm%38$P_EJyZv)+c@$_h=PFBit~#@G;y*E~h8l@iZ`%z%lxnZERERz~{}K0J&3~3&
zt7l~zd0h3G?64|r7DtfjWtRFbfA*E(rS)C${2`{7ed@c;GpwBTvTVYtneW(E`b{Zs
z3{gL2?YK(#sk?`{=v9#oEDx9c&^C8<T&8KqWqQ<orfkXEL;fkjABqZC%$*Z^o;vLN
zRmfKVY66E2yL`J*#Hwr3B0nPQ(`=c8wtl^=5E`w|xboI7&H6N3&Y-Pdg%v`h>(_^~
zs>!pjy7kL&!m73PCwA(+kS&SGu&R~P`fI1M$ZNm&60N^-6IOlM{k7`YlcfD$b~miL
zwEunol(+xxCeM!l6)F(0H2!7(6j6o6Ui$IvJHCjwdF@vV`L%vxht}V4h0vGV8$y=;
z7h0nAck7I#RbP_-Jbj>5uPx0UH1(g?gjHXX|2}=dRd2b2ZHd(L$BwHC>r79q_#3}!
z+fKj3&e~sZ1<Wl=kPI!o#TIn+rS*hWe)pR~N~4;?!b*QhtypzA{NKzpZjZgE8$wE>
zx-(Y!-De0by~P)FHB;LoboqG&=}`6JWtR3K%g;NN-s)@TsJ-;vf9CvO%g^-x4Sv?H
z5IT3=cmJ8je}bQx{GYP(uKn`0ZYH72PyW;VEd6)VgsorF`sRpBrOt2n`k$D_Dfu_+
znM>QG=f#VDxV~`Ns5j-{wF4?&cK<2OS+uG$j(O#*=b;l;RmL%{ob@~v#9&%E>$z)v
zah>3bta+*bp8W~B<NV`9z~?pmQ|q?YyH{FXw(*;H_Ved}&pAA)A2#0q_fIHp!gHa2
zt1k%sbJb{0ov~f3`Ma_Eu|qc51zs!IW;;pldAL4U*uWx`J^u0heaC(;U(f&N<9@Cs
zuO4jw!}m`rIcj~de%i7B(sk_n->u&iUEEi{V#bayUl;P-lhOM7ypDbUw3iRkD$UNX
zT=?v#(UgPB>)3y9kI_HRv#ZVMhR~^mx$(^PZ06^UoAVa(?Bhz^aBqF%eV3Cq#w++z
zKa^@T^VadkolFUslFoM5Su%$=b;Gsu2lktZKA-8>AHa6|a52Mj_NfO?`LOAJI`d#l
z0Nec*qZ{0<&99j4o2ON#UC^F#urGk^w~?ey?B~fZnJ1>R?RA!{kv^5MfBr%3)4ptL
zKb?7CzL00%XX6LEkG@_XyW(=a;p954^I!b=m+m*7@=to^m+fhn^5>@is&#t#p3n5c
zr>QeD%j(nAGiE>cyP&^C_zh?3hs)DvI_|OwZZ505wm>bUdGA7=ZAqTtrXP$m=BKlz
zw;0_JKb0_h{=w>*JR0YD>ZDI4)N3@qoyjw8-_*!Xz7xjH{}%G><L6y;GXIsM$*uU8
zd}oaRGA{g5&k_2-`RkKTu02yuK3HI5EFp2~!SMjL;_WHB4%iFG&Piu$c9Q%faw=iX
zf0q~EKPpzH6{Kl2FEut}H<FlsGU1E#Odh_o#?ALzjVkn}91N}F{lmj|+PHbMlcbIO
zsf0eOOAmQU_&rJ=&EhpuJo)zD*$>hG<90JIu_}D~;r-U&zq22z{7>6)e*g7%U;SgM
zzw9;R<o!`o-2IF1<tp(BuO~KDG0x-++S_o3VP%}dvgQzdh5O2^OM`Fo2ic0<<zQSI
zyqhuQO7_Gp%N}mqrST=|i_?m=$A0hqo3_lD@BC)tI{8;U)14(v7HOq^io7eSCA?TF
zuwHj_n`YwXwsNPH=8G<+7Aaq-Sn1ETe4o~oD&<!tYR}(v%>A{a;ML}Iw&46D4Gkyd
z4WkwX<xlqfYrpWw^A@4}Bg-02UR>cC@~H0O@s{qoe2uSeYcyuW@EB>8?tk%L*sCT^
z<D*-T?Ti|ex<~(cRO|k~wPx-#UlfvBbSU&yt7`V6y4`2Ewx4ERcttv}-^kLi?$!48
z;ChuQRmxd0YTqB;5YqoT`|wKpm&@<%F>KYD{MzA|_n&tKkJh&e<u6#0`fB#vpnM_a
zmzEEggujV>`ODM%!tJ7s=EwBEH5J^FZ@-kc;&keVtflWeCw^;wxMly$9or7PKWqCw
zRqWVZh0v4bjXoYl>K<FYYuSTVP7Zr(@@mOc>t3suhvx>(J#xj}M>F@*aqFdKoyzNP
zn%>U|xUVd`)$uL+O0yNU3f?Je{4K+{J!=n5xs<%-X66@(>~bmFW9inta>+;i79am1
zk{p!lE4}fYMsYu{!fnrsr8>9bg612$PKr8zg>llahLy~!TW76oR{Ca|nRNDSb!Pj6
z)sOx5ms<9o+<mdwB6-i8o7egTf97US|9xBPvG3m9({yjfKB+oiWacgY@f1t9-2-p?
z2cp`CZKQZ+o?ac+cJR=&4;5h<ug!M8TdAN^64`VwPSoSk!Gx;sY%_m1@mx!vI%iYe
z<kQ=^4pq%n<&d7l6*MicXn&C7jRl)n5|;-$o?7Lb=c2`7?LSQ+XRVe;<c5=T!&wto
zpB4IYvwK-C_ui%Ddnyx`9eSK1bJizbE@5At)&<%1KHLWP*_^+zOU}^>;he%a)23vF
z!W^SbEWR9Sc7`V&@GMc%G&ZVq339X$PUWmJnQ>&2!e)yTHexApyhhje31_h1P+i%R
zuzpF8e<;V9DN_8E&tE$*X*cm~3lw#~sdF+mj5RU(tWXQD53A;Hm7V)nD&%BDHf>nB
zN+Gpd<$H{1i?5mYg?FCbC54qM?(BVif99h1oNPHaU$X1Oib=$5_T^b-E~U8aJ=cSH
z2KRNRHhI2VuBG{AK`*Q5sks5I8ro_*{Z~ym8|l1_jmtngpy4iKjU&VT<e(rizoNq-
ziq)IjYVw_?m`=XK5t_Q;tEt$LiFI5e355$7l9|5PGFJID%#&X5p7#Pj%Zt{!{U=|0
z9%62l?$MH36C%p?I<)m*%++HF+q04l61EyfY`P`k6P3%eZLJxba=3K!*44cS-&{SG
z@INcrpk=FJ#F1MPKFPT}R_v{g;tLHl<a2nUIHa4c4jo%CeS@KfMh?#`F6rh|hmI}S
zy}?k!Fo)+Bk92d?;bRMuLnKQ2xtcd!Jhq^8i{T3ST%IZq>1L-(#}>@oVz@#hmuHuk
zbn~T4#}@3}Vz|OEm!~SJ)v<n!fksa*&#YwWX0DsZ7I<$n)R>yfb1PN4dDhKi3-Y%a
zYRt>!k;;&6*1C0U!Q^d*8q1v{4q3;1{P&CDbyn-aS5b)uo3|O>h`uesvp$zc?1mXz
zaE^4d@3!897PpTjEX_?exW3KsM(%A1o*lV7)9#qDP0o{U&fVU7@Wkz732$?g4SsJk
zyitE!g6Ci^kJv*qw#x<5&AmH%4@TTMmSCEfY#_hg@W#a35<I7Jd8R!zV-qfxZeF{y
z_uz{=#}aDuk`0`<8{SxaTY~3uE|1tN#Wex5RJ1szb#cwQCon6`(Rb?BMY?6Nhi6Qd
zauSwy5|&!Vf7vZM$LsKn$<oJKt}gC9*yx#9kP{?fGc$)r&)1CYzM6FN<jK7UZ=XE&
z;9E-ahx$#17EU)MY!>D4tP3<_lh>4PE}V8KVarm3h|HT3XSU|>ltr7dG3!Y;t4{Ad
zxa9P)gxjgf2A?(=MpWOFII}N@=UcoPTd-B@!6SZ&8&+;Ml(>FVV$GWzo@ZrdY`d+c
zn;GZz9uzu%EMZc5@`kgU4JBUQlvwjMhbL_oSM$$hhZ5FjCL7$@Vi-|yOTx!8m&a_b
z8QWt&>E@}+dk@~Zd@SL6X0idpR>O#<TM|A_xjfqznz1PdN;hv^(R(oG%CQ9dtYia~
zt%fuBw0P87xte(w_8y#Z;aEawMzVqa7Q-8ow<LJ@a(Sk;o3RPINH^;)>OH7&@mRv#
zjAVo0ErvI=Z%K%xb2U5pCSF)@Qz9hOjBW0$UdNqj$rm=69+2wf-aEV3kug2_!jYR2
zAw_0vcjxpvdZs5|xOP+GN|_nk-?_bx6VsC~ytpY5Qf<a2JHOZQW_t34UpFQ8Sc$OZ
z^S2({d-&Lc=ZVP$KQ<Uv)ZLJXameA>r(njmTtK?HyrcJ^?~!8<^plbcWHuUBOt>Kt
z<C()_r>eLn;Fo|#MyVUmITkav^-R*u)s7t6Q|j2ZNyzZ!@XTX3V-shQZq{$=J*au`
z*n_zV$pyh141Z|fkdP72;gRDpV+&{7y=!~aRoyA)c+E<RonI_#d&{z)Jvt}L+3-iD
zCeOckuI9tjdk=m-ee3~KTJi_h&4w1OrU#75{p6cx&*(iEf9BW&i?rkq3Y!ggOu8vi
zb1H}DT&fw{;l$R13nCIXC~PyFA#__Jrz@A|*ikb!?PTfZof~@(zPoWOq2UfgwhN!y
zNi(+FDbmg2lMg+(yTo9J@lA<8vvPR&{LI+=)uo$HPwqYV{p7I+EUC#K+BO;PaJ?z<
zXK@ZsUyvDFzovBa@nwe|oLp(JBkz_(jYTfcxjANR>;0sgtC#m4Jb(GvgAbX>AO37H
z+|h7LqQ)_o$8Vt-o9xO%3#>yWrYtpMTf4H?u{JCD!s50KPAdbpE}Y7{kYCzK-n7MT
zn?lKXy<lDWW~pn(7W8j5)JS`)W0yPQwL0%YQ{IJJc^96Sa?+N%#!wY2-Q0Bj*n*c^
zCr4j5Xg@FQ^jg|U-lQeWTu15-zX;oQmezxB^jZv)PajzAocQ6}gc&98ITAU~Pn*b>
z%GJDh=8+}oksRI?>jI-ZzO#yQY-gJ~QQk3`bNzuy6XQ6!nzuJ~v43w7nD&87SMsIt
zI)fjRw0Y9LD(XBmJu4#c?XQ+V*?+EP(P|FwinBSAGu~?RJo~e%q0UXpyJDWI^4gE0
zY}&Q0KXun{Y`K1CTI0mMhZ1VmfBJlS<;IrjTT+(1-E$}*c)h`lX>A)?!c$HkP>D-4
zm>MpT^Vm7!koLCJ2aRhCD%4gN{rYG!?Sq$*EW_mrQI5%#tp{6dTU7UmZR63oC(7ns
zF?FK6e=_I#rK+1n+TL*8o_H|fqTC^&c^gs_=C3oju~wU>?Uka=!>wE&TQ9wdalD_p
z=-@w_7S%l=9r{zQJ>hDaxU-9G^Cy96AEMfSIAwlYxbTb=<L`cfX&-K-M9I57)e<=N
zOlb18rywJ?rYu>z<4}V0y340hmTYXfer{SL=Z-_)(ms8DoT>A0>-Ll-soM{IOMP_k
zk9mu#&8Czk2e%zcII-3sBCmZzOSwabyY-=MOaf;%hnD?Yb1~wO^@T|jpIzl@zPa^K
zLb~iBp?i+oc-AZvWy{W*I)8s(w$4M@oRc2O8LbCBq7v&iXXreXO*(zxSY+ac+OVGo
z#VlJ?_c-fHX6{;JFvCRqO#kwYE#)(Go8Mb$@Z7Hskg)q8a7ZXFGcD$jPB?2;YS<xb
zr;jszpLuA13hX++=A*l|-R37Ui!17r?&#}FSsiX~dgi=gYsjU2l9!Sl86&E+81GlT
zIJe*`@0y6I4fz^hCLh_tpc6Q?Az#=;E#t`NgIgOfoxLZ>u``TM?Cc$3p2pO5URTT|
zcbRK!FiW;Sa^_CLi5m&`vY(a)7>Bbthp~Hab-nk;q<FK5E92qI&;62T9r+T=XuP!a
zlh@jrypC*Yr&qn$=Xf#H@%P;2Pdgoq!`YqZakd@U5ViENw2&?@myJ-$JZ_`zrZb-%
zpM6v?wwv)i_}~Qb<};@q+2S`o4r0yiwwtuvOLm{#jbjGKLPJ03wtqYIHH`bfqfpKt
zZce|Onckm#V`7|~wBYnT{<bqbZ3jHURbSl}@SQY6Y=_?Ag5`M!B170~<Z=Wg&a6tQ
znLIz2@!@%+LoyrgvWR$PM~I#`|9w-C<DZd3z4U_pTo>-MzF5!v<s8FaZHM^A<6^OT
z5ocbpDktA9UdowX6|Zn_2g5%5hIr8f>&^Hr_ieoJ!=X&N>1=_o!h`CcmliVDnRGs`
z_pfujn0@KW?H_G_njRUh+rGsisn2HB?oTqY8vfhQKDoGgr;Wg)*%Ln<x#}d>-eR+4
z$&-f*d+*%3eUf+j6SIm1cjod<t3I}{NL7Do8LRsV*6$iS*T@B{^qYnR+*;Bcta4uK
z$AhIyDjy&D{!X=){qorvej+DD8*P@|RobZ(P`r%0>q%|*Bp-3HliBK`hIhAk-1AyF
z<7JxbCp{NcspsATTQ8X0_guMj*-s-cr^_|mOT%V8+pW0N<ZQTm*4oQYxRthEw7Ac+
za_zF8ULJ2v&YGKMt<T(dLh$8|n%Kq6Cg+43`BH9aPbw=a30S%6vAB<X%&J%WI$t`Q
z?49}2d1hXm%5f9fWsma`cZHn(Fk{(a({qn!^j-K8wygh3VWr>2z+F5xy)QC+KbtH{
zHaYvbz3-~YdC6A`GUus(G4}m@XTf8Wv&GGQ`k}QOXTKEo3XT0{P`gO)cHA=mOQ{bm
z9o1iN`e?JDZ`QwgFO781JI}Zj^-q1-X;Ztj>-$$aJ+|RrxhbTVeO__M($j}O_gH1l
zdM;La&E&l9t3{dfLcSFHezsW@pHanhdB09*>C6*f*3a-0^USrIyXfWX8UMCUn|bET
zN~!sWO2U2pQ+GwLeDyukCp`P-OP})VUwD>PXH<z@w)GB`%}@GeGWXnBpYNBx==yxW
z_GPWlf49rq4QqET%TM3c@Ap}6+3#tq_U)McvToMAvn9RfUdt^zf8mSFvij&%x4y+K
z`<-2*cbVB_U&G5rtG}&2{FjXQ4QiK7i#+q?{T7~hofkUG<|d_<dZjzBh<N+^OLZDo
z*VWw$e;EV2t{ztWE10SqcC9F6wd9P;7w1n%-nfZ*vDMiFAA0_+k=%3RV#3)2KE3BI
z^4$BL(UF#L#qD_(+xo_k^^!9VT&$dR@M`n9>!Al~oAfU5=y95a7#lP$*>7-pO~g&D
zORcjS4V8H>uRM5b;=fg|nTtK7)=6qieyNl;>8RIjAMtFqRY|{Qr!APhOmJ4?qjtAx
ztIC=Sg?caXTyZJsOiMWBGChm!73Z(rdeUFSOJ?#lZdH$6$IT_|^sD_Gd)dS<{<2Kn
zyuYUVF{nv=v7gmg%l<dI`yfNhKjvjFksTK@+>19TE;MXwZsm$iw73y?A)?@{*Oa5o
zv6&V(TrOA?ob{e^l_fUSVus1Zs}H!mr-XGMYxbU|5o;Ek9MpJGDwg}4Zs0GwSqYC0
zp6FGZW$=PMQ^;byi{#OZrD{B7%qBONbTVuC8m>IRH*c8~-}BH}Z0lCI$VO!DdbmJz
zxuly=Rq?D$i;Qb8s}4JU_cNcd$syaveAb<X?8_q6cy2}RI$3bx{-v{R&27{33}s3V
zl&pTZz;o$spIH~SUV8iB!mbNymt@s=Zkg@6JcZ|%#jeu@7j9g<+tz%pCrz3!%5>N9
zf(yGZ${c=p!S8#MbN|Bye#HkDt}QHC_WQuXvkCM3Kl_|aF!KA%+n%hXYhZeabMia(
z@PI{qGqwoCToy{5>&ri*!Xo@)vzi=V>6Zx;TcTNPdH5a+UpK9CY2*B=GC9j?&A&VL
zC98BxTdGV09mH85taWD$<=GPU{b|T&@AL9^=5Op1nq2;nCv4f1gza+=o|4R7JkKV`
z^Vlxl-Am%QwgtXdx9bqOy~3SO-pBYxSnS7OSDtxhi}uy+@CjM*T`}~~Ii4vRxw5)O
zz5UDOszhQ6JBz9o99?GkAlbR!bKTZmGt<4+Y(Apxrr<5Ib8XdPjs+8PSH>*}Zr$q^
zXIIlP<<MzQ2QJg>rE!YEX9MnR@{;u5=dhgXe8|VBmF0oDjau7+^yf*f3|Pq`FlFV`
zs@AI<r!IThGGx1KT~)*MDO$91y2eor+dj7gcN(}R&oht_neb)F!vc@x%Y6<X+T1d&
z;qZ^+d;8{@Jr>N9{nGPrf#-tsUKP3g3{8tCed>}`=L#w^7Cz@~e=b~gu%JSEVd~5c
zzGwDkSP30_{biD-<eA$qQx7|GdaRe=d-mbWDoySwZC_?_Hm{l(XP)U4>6$OWr*`Yh
zvWE-qEZWY)K2>6Gu7yO?W$U(PuHJj5GCapKcRpNDwD>y@yK`~X{epmt7r72Ul=IO(
znCSLc$!9fByS71Xg2kSIOIZaOs(WT$Xn$~lzanpOzmJ5-<u4mKn@`QLGnAR~>dVTL
zvRUO(%zSHESoPPkwi_}|)vZml&~ScPeAscS=W8BzRmHXDGFR%h94rVZxa2lj{!jl4
z?!yP4cbGLg_a8jlVK#fygJb^jO^0{2N89BZCKkjjTJO{Qz}F>ywt<b$g|LDQW1IL(
zW(67I5xtknCR@MpdMSPQ;HN2N5`5dHep&l4fzjjs%%VeX6UwCcrnPQ4{e<tf^Q~Nq
z2=z;HhY!|G{3gXG*0<$+!G^{qf7_a;s?_FN+z7nH-_~r^RA*w^w63wvNM_186RW^g
zWm+rtW%5{DVeBg1b*Ny&%|#m@T-deY)go<P_G(QjcD}#u<@UR{=EyWZSZ5|P?@z{_
zhaYaZUzgyst1r>Dm?G6*XmapL1G~knj8jbh_On>{_I9=_?>e`HiC4v!t$kZt-=t*{
ze0oYISC=%gyUt2`^iXi(GHJf`9VUkhD(sicY&$$#F_xdt+OTAIN%Kj@Qy#knWunBj
z+-9X(Xz;yME4Wd!Ky=A$UiMI_yGbewD;7obve(MpO|#H={i5u!<5ahD2|g~PU6%_2
zsxQX2HE&kEn|q2=-)0sspDv?%(5~Yx2jizcn_=){%B8((Jbp_}t`~ezUizDd{d(Z6
zbc>4aOErfNI!+Oj;Ims;vj5?O^IqJ%?d};>3^KQ*)?fIt;NgcSKKzn=>o0!U@TBEZ
z{K~oV)|s83QWv=UY%)k)>iWRoLSm0w|15(Fp9@Kc4_5Tb8Ozw{yeKS?nDXJ^0(BmC
zecq}|1s@(SSkA+492v#L7tG|g?#q^wvS}N>^gK+^@IEiaC&#zt$`d|i^Qv<NA37F1
z=VhPIS#_}B!>R?TYUMv-FLbvxr?c%%vG|d9;dEQ`O5MFlr#SbsR9#)d85~u0b_&n2
zZC`dhOz88@m*BJG`?9Q)U7w@sbis$o3%2vJ`*T$tf5I2fU3I<S!?6WV+nV>Y?M=6+
zaJukY&Hl%=3tW@cd=_Rd<!s()veroE%*vO{hYvoO6(-I1tmn(ZhYt=r%g;1P{O2Jr
z&1Yx-rSoBep67fiK0Bu^#|jMAFWEXt*eiBDn<`(d)5=EChT@q4zfxEqyil9+FO1RR
zF6UB?um-!u2UpFoa~6AHbmRY}=WWfc25XI58+i@an#-)|$!va@(C#D6%kG`<>(COW
z=)_woQf$|bY`N)i=-kYGCNh8KW^!AU2+7QQseSlh(DZ#~nTMuL-_~@vimyB378{?o
zMNV4gZqDXV-P&Y}8+R}99X|MJ>ORxVLuS+V8LvE`G_y>~^M=l4y~77r%`B7VGh4mo
z_!1`Wz+2fC5qX#F4j()=>6<iP*o-f>7FT4qb$@B*Y);hpn{g<?%FAAo@7Pk4TUTXl
z4rcOLtXW_1Z<+Rig?tyzT!<*pP~T!$vUFmLzD2L`q!0g>t>$58FW7af;KJ1l6_e$^
z7?<>VvidzKSv#@Cty8VxaIQ#{$}Em`OI%h?%$W3Wfy1KLJnTyaea&P<TuqKHVcIP^
zi;HiuD3{`_G?j-|{+E3t7sxM?RFjkWoVkp%S=>4{{}iXb)GkIDH!-_C4(79dJxt~{
zIbHChi|KBPMaIV$X$3b{CqDAr-r)TDQ0BBe*7kqR-THUa4n0Wm-ah-z!#3~o83q=w
zF3nZr(K}Ky{ow+>CA)i7?o7zsrfE7S;icSU`Iv~zzK018Uf-LX?>^M>D)yC_WB=0b
z@Il|n&m{TyrkdO@C}>~8+Sa^S;_m#F@;h4FnuFzS6D%qkFE+O|f0nULvDmTn;^DSt
zdvV(&iys*ml@34D=Ki8#v4zDaICJAlL7UbWhQe&|N>z6Xey}myGV-lusaL7G)N-)9
za~@Osx0d<Bx`r|~3twa^bH-~{9edL5Z+T&JTl0NBUDKy6>U_5878QRke^wKfkh;wM
zz@X|ul;3F{cID8ji&KQt)_j@tFyW7vzBJ#njbFMRCOCMnm*P{~_GOl)WKY4%QeigZ
z*s8+?1#QdBecu^KF5S+<uAW_W+vCvGS@#TOMDBc9cam}b=><=h)qSv8{JlrzP5X=5
zf*C6}a4iyTYhKI0)<mX9EpzHg*|e!&8XqQ9c&_GUzwGntLV>}(CH*|?(QdzP6l^G4
z;?Kig?eQz5_|iYMr+kuSi7PKVMV?;DbxzW>M&Mr1lC80;I&Qw|)_)mqzo~A@9kGQ*
z%S=5O+MQPvW$Ie^)MymGG!|yl)vL`rl#t@^+Q)Fgo#kKEc*<^UVU&^LpLT1DpiG;*
zkNOMl!;Xi2X8Z76(7K#<_+ZzZeJt&6?eQkLjO}Md=Ux6X_2GkP-*{=hd)K#IE%;Es
zEVr$Bxp}U+%%7#8`eN~{G6}xAM_<-HeDKrvz9iqihg&We{D@(D%hcZ3oS$+b@9@D@
zbH2^6I<Rc!Hz~gRTNc(nxX|=NfbZ?}m_>^(Ux_yR_wugmkAicH=Bn=Znl{(8<i>@E
z)2D{<%N05P<y?AIJhtX%$HM3F2CGc&8s6Qw<@JWdrITbMt(vUMjx7E9eBKqai%UEw
zJO7BEyzHLzX1Uv(n|Cg|7ra~iy-wj?+q~UN9&8Sb_1*4h`~SkqZFw&~OkC{3Sju^5
zu4#<NTz;=@ruhY0YZmimd#TTz^2Q+NL&xXW+2<rJvp!5n{Hh*bbMeHk?sGrA|4s-j
zoqn~~>4L`0u-GV*#ix@x%Nr|Zet0oya&pJ@-WB%q7k4}}xooLb$uWJ)_YZ+zmCs4r
zEcy3DQ9PDu*E^fg7O#m*(z!Nqot$EItxIWMknE&(cdnCMqMsRTttvBG5+v2b4>{Yk
zheWQ;IOuG@D@EtZq~}>%0(OOQ%sX_^>FL%*qUYaaEbNM!_=r>fu7K#O)lr}C^!Tma
z6t?9dt8&zeRbgA6ma0Up2wt0U{IvI$fag&c=Y`LGap<&RpjyZDNiVKcl*k@f9cH$W
z`$~m|F#9FZNcZckcj|*)C`bt_P6=~jzx;w@p_gh2$6tZKWm>8w8nyC@Eho=AwST-d
z*;zx^>*c==qnF2Q=3L+M#;Gbz{^02ZzJ*&XCW)n-KgaWU@rz}LG8E;GxnEu*<78vi
zzfiiE<KqjPX?Z6Uk1XEI96R5+!~OaBj5Iz)xsu}-d)7EjpXFF?b9ctpxq7C}?^t#z
zI(hK#63z^33D_xQ)tT2NaOw5IlO?e&6Xf>EW_p3BR1;GtkN)K*y=PUYh&?q?o*vM;
zV!_Ottts0-G{t#-PkS$BY_vL~j89Q+=G+(WWSne_tS`q}wp65)EwnD?_;})v<=u(S
z9q!NcUwk{1JgL34rR~~PUP+szBTq|9WiLJ`z4L7Ul1$4Zm;Si_>AEKF(mmDrjmWJD
z&TE)v{+qQ<+s3G}Ky0ItrG(#7b?!x}mlkQ;iYf-{rSAGT(`a>1UQ5=jkce3}3bTEp
zN|vi{N>_Our&e|0%Av`Vj<=;SJ)d*>H5<QV>B$F)&p0kmekK;8=6_0WU7u^{GPBH^
zTFWo8S04B3jw{Jc+_&+RYyK{^_YtCx%uV(g%(b!0(+PQ15*0fke2Uk__GRMpCfHbs
zJPlfUj(2Wus_U;yf0||-5?v%8D;&Nf=*oq|g{`ZPNS0J@F%YX%jZv#ReSMloqRuzB
z9rpJtlv+2wkbcNm6WOI1qsn$kmQ`J?e`722^p1pu>>qn|qpTuc8UNHPtNEl6y=(u@
zT|b__P^xpEFV3>wG~#(-sb#m-#}kr2uOB?z<{GQ_g|AulRZi8#-dg#GrC+|6?7eLG
zb8~=ZdyL7OMS?PpNA>vi)MSs!XH++<ofcEn{pWFC<cM$Fx+7b^<i6o3^8F|LR$s$^
zo`B%OLuVeGDT;T0%(d9qu<%LOy}&;+*J<>u+akX(h-aC8(G^97-Y4f5$`@@}DZkK*
zXW`k6lh-?adVa}&<K*`$uQI*gJh4{%`8mVqVdF`&>1IaPkN*5(Z0&Jgu#mBIL-Us@
z0?scZ__+ELr!N1&&1<W2bKgb<k*7|-xbG)!ZkZ)$({s#nVo*`fpQ-D5rcGL$Z_#_S
zwC;%N;r8qOD<%e?v1^;s+5O_C3*W*mJ7!FC<2dQ;yz_^&t*oL-u$H*;i=8a<gBG;}
ze3|$qp~?I&$HpxZuGgP=X!UMBsQM+KZ^@~nOHC95r`hPK2yf&rT2;Tik}v<l$K(ZR
zkBn|jvrwuGSp0X{?r%j>y{7t83La<oWa)n7uToEAu~q%&$t`?b&0D&2!GbM9;{O+=
z&v`t_{n;evN&lVCTkV<qM8vi7zwc+Ek13ZQ*_+rGI_cajGQ72r)v#6jh(!CdV<PW#
z))l<C=hEIGc9~b_nAMh++ltkbf5xh>D|wOm{K=DzhAF9=dy8MZbIJL+Wyh(7);nfz
z_T{&f3+!7hD>XfOolj4B2ABW)oX;HW7xW%UwZ|20;(E#ZG^+iY3ZK8+1m6{2J_Rq1
zy{UZJo4m+*zMJZ0PoJU}e^*>OakF5q^L!W8%dS3UFIw4bMXk2JxZPUkJLA!XYizbx
zXYAQG-@o+5Yl~0M-r1a8IQ!0yPc`f3zPoTXukzE6oKI<MwYYtjN%HynJ`r8+bfzT3
zwXRU>=+`^0KaZ{yGPl@bv&!B_W^>=&7Z;rULf>t@6@Na(Y_m+%^l%fOsa}P`-_!10
zTy^9uU+zikDD}c_=h>h8u0`H?bwS+E>U-Pt&S_%XEw^Z_pL1pD&$9Myma&s#i`=EH
z{_OcBsQhD6;B~_t_TAPpRYrctX08oeTVHPVC06Nv#?wc7?Pbqo*E-#Kdtu$4MJwH>
z+~mtWZymLB$MJ>vpZdZSpIK~C&RS@A@r+a1dgu2?CtcTHQe2sIY@&I7=6B1It=aj9
zrnBWOJox*qO?%vP<NU|^`QkH{U;WAV_et9HOZlt0Wquj%o)Ve<zWl|tLm4Ye)<&FI
zogKE)ySMBCWBW4El<AlB|MOd!*zTTk@}pw=JI$Wr-Z1m`MISdf*V}7W>d1?=@yc3$
zS@iJkYxj*3!WQ-#EAmbhT-6ob`be<-RiBTX$i5rx>erRpr|oiA;onp7BK7OxRqe4A
zM!Q}<Q9P_CV>PG!mgV$0<@+kGENrdme>>rKtwVU7q5FZ?4(pN*r7q;tew)nq)!yRf
zvW-Pu$9MMLIXvmDH20B3pIV%YH?>diRR4M6`KO8cSA;LEcIxx_fAW>4+~JR_R;phW
zYL_cGJ<0yG>_VU86}J|q=B?5B@}ch7!pg|@?bjZMb)?HyZF`Uqt)H8>(_7Z+-+`3Z
z4{}VjUO$(yI>u#W{_44`)h!S$E@O3y%cxSf_{E9IO7j{1Nc*%_Obma~wCRL-LxY9Y
zZ`JlP@grv!1noI<I^_+|lg@9V?ca`^Ti~_l)rFQDk|#F5%ic5d=(z=(EAB4bYiY!>
z*LrHF`HwSE0fPURdd11!D|vD1kj*B~?(IKJCM=fw_uF@7#qEW?hOZZPf9Z3+fBc++
z?!D5C;5R%^zSdag%WgE*5Pxs^CG`!@lf`F$^Y!ZW%yw*zcz7Y4wNQ+GbM=~%7tIl?
zJ1-tuTa*#{hhtBD&4UYG-xXeeJ)<MHTGp!Xc;vgi-s|f!Ch^Nky*G(idFIfkiO%XN
zch_C1e3{HXt;{nkgpK*FFgsgqB<rO|!TWByb4=+r<+FYI>8ibsz&rC|#mLj)mD6`y
zwCSw+Kht@0v1)Fdf?fHGb1|Oma*Ee1OTyPJ`I;g8T6ULh_@#d(;?gp^n73cCcoebO
z%xa6p{MwC9H4iT=-pIDha(-UXi-Q${Tg>V=I~PB8z3}UYzU(Y{{ic1t^9wT^<peK1
z(r%aQ6nC6&v%l=czX-uI8_QQa%kSb%n|<@)cFU4SoYNk8l&-Qm`uly_)TG_c@}ftC
z*9qOX_)_Te&@ZXodA<u5J3r6;qE;@yZCegICT8pZ=@W1M@hNlT<m+uG4zX<C5__lK
zu=R41`Td>AT+_R4Z5orf!aMfz*@^}42zpceV&9BIp-J}VPt7<q_sDlXTe;vJn}0O=
zpJRQ?+~u*E`Ok|BnvQqoXxA6L2;XzPW!?0LtQvogb){yT?8&c~+AYZ0YsY4{z3`}Y
zr}l$7vB!_(s=8jO96Pkz^nS+Nqf-lJoP9oZxld1XeBGVhNvUghXgSt*X~%_Xuk#DY
zjrr)eHL0fQpG5ec;<Y=}9P2&Q`_I>I3pj1P>-Q}d-fmG>-47i`ijLw(yB1BWaG5z{
zL3griV7A#JAFm7dAH8YY^v+=7UcPBREcI$L4J{qdr`9H32zr|2)imwX%C9>L8V?_~
zGB6Ijx03Uh`*DeUp_%SEHEPY;68cP97P9g`JLbAZuAR~NzUWBb0r$r<f2?tT!%<_h
zPcEMI<h@y+tl~8DJT81@eLG2a?Q`bB<R-tgh`M4$hVxzl?)U1L^VmxF8aJA&zWA{1
zq*#F`#{zTp7aU&OPU$qHrlsytbrd}~_2QdH+tprNU&!&SqAt2=l@p`dvA3M7cvxq+
ze(PhI+RhY^&2nevf)WjeU=GG|)fGC|asrN<^{m@1Y@&5e@|X?txwgkaJyQ?Q;d$>F
zaQ%nB|I;MDCblD<ar2em`vz>cc(m(Fv2cj2dyM_}JJT1uD?IX5vNW!#>WJWV^(#L)
zUrD;h$eW9+yx3y#=-0*f|5(0uDX&`_BCfWgoTqT}37ZKE6b*NU>Ppzmm-o3avv6|y
z$9ADF&u^UkeDudd7T!~<`mVH}cmI&sw0QAa4XZBK50B5S+cI?(PtNoHLxHwdr@1yt
z#9MUu`PePCW!wJk#SyKej|-Ul#8wIUA1JnnS~0mo=-jc3PIHe27gY6$y;7R?V6yJb
z+aarVSa&Ud7(Cy3m5&W`{BN0{%#S)XA2yq>4EeXfbNZuZQOl>NjuiiBbNH6ED!lLc
z$GzPd3%Ncr*)<F5hG<SZsBRs#!fOwQ`MZpTevecu>fE_Y#q<wH+C;7Bu3(M7by9E1
zmfrP`jFoOyyK|L_tbb_DZMo#sk=H-|Iq|LBQo(#bwL>o~YES3;nJ(N@ySIOsIT>WS
z*}gg<-4M-tjoCF@0;X1o-}`dWY3`9Ciw7Xn-ycl3-!0&C@br=2KNtdAX1dOdX!Kt#
zGttIiwad(i&hr5-olA~t)y!7%S`t&!z#rz)8Paj}N0;k0E#A=fN&Fv8r*SA+9=1QE
zp0ud-)RE&qn0#6$)JXhenLoWV;~>{ZO*;<Zi9In#uRl<h5j+{vwe^RUFOTWEZ6^ih
zKTJ+DTsQMrTQm1Z%Y7AMkDXq-PjpU^wOceXf6JDLe*MBH(raUK4m`K{)ElN~_Gq@k
zPZRf<KbY>@omN-hdA7s%N1n&Ni<gp&?d&E^KkGa5hfuugru#vQ9+!wje^|I;kI^fo
zeTSAWOWG3mp!QF8O{9UFaZ8-L*3qz<U)u9CvI_6E<(EB`Uh9=}ynV-~-fNyU&H2?&
zrPpr0(RjY~>E*7ckD_Z97|fq@W!FDhJ5900{muS+tv^oOzUNVi(C!ZxckFpoBDVXZ
z;I80Fp*tU&q*beYdm!ENzx8F%%p2YMqQ@dUx6bXm(f+>l*bB4!KRn(XcehP0i9Qjx
z(x;|>{mmzngQNa5__rK;!FWGZL$~h8@%&F+!FqLv)QdiK1uMGk-eP`kTB_cY<d`be
zX?ylfjDL7(^~NV(r`D|TUVn7b_3}^Ju~oLy_UxO|U;MK7$EVD~sow98P1hF>-~Gi;
zr?U37{QFC@H$M5w{Mb})uW0-}r<m85ZWlf|d$hjE`?~AQ6KzjUXB~B!u6A^q|Mf0U
zJ>MSP_&Du##hFeyKf9s~Z!XPFJ{^9oOH(iQF`N9aGu8J(ru+5I_In;>cy{UPJC7&1
zw>%LIHvh9Iu=Fq+zy0*h4%7Y1U#6;W*HG6<+hKCr*08d~<I5$tn(UPL^Cg*u%)Doo
z_SV`sPkUT7?^Hzc=2a7%Zr4TKd2ne~lf-&6$<M2$V}JSTRQ{c2aeC?H<dd(rK2n?h
z>u6ocvwiz!MqfUe^<lxe1>1Lg>8Z{z3twVXxY}D>PWY+4%6hKtJ4;;4%#|zIT5r77
z`>UOuG;?{Mb<D0?og$OB&#|s#Sya~B^^)g(QD%?uYu3oUMoQ=I>h+#@SE;;t-rGy{
zecp1COTQSTiGANu@~`t`a#DxVLrc9_ft!h`kK(4!D=tpS<1u`;D`dX%=LXqhwR&^E
zZ+`M{;ms?Xqh4#AvYKmLGR3cKp7(c)ZKiq2lRQfIc^4;fP48sYyZidaXZwN-E&hFC
z9lv9%HXJ;3X~mX^7nhoLaF&bk?<lDcIW)EI5dW?%CV7WaA1O{3J2us?yFIo_!RwYj
z8`obB{+=C|Qj;cnpEqBzXzQC7%EuS$+1^T+$=ko^L1dNT^j!z}WMa~sSA8k^IK#XA
z==Ajh{ku!j53Ng2{^VS^xu&T-e#!5!0Qdh(yv>h_tP}CySrYB@P;29nrL&W{%7y$t
z?~)DPvAN>d(%(s3<$~uwo$3AJZ>)VhX!^UpFaF$Xq|fg(xxG<$fo^Q7tl5?4u~jP`
zY)JV%Az@jG=p)wY`}8c7Difv^JlT3?=|)Q)Pv_kgGb=7G-D>$pYi;d+)#-L;6L-Aq
z|8DfrsQ><rN0}eF^b0p0J$-a_&AlGoIK{Z)%<!C#ULSXP|4!--?=-J4dYW<iLW*y<
zdF5oio^4`$#~sx7A5DKI)3&zJQt#d~R>k>2Q@hJ!qT2H#pV*15h?eF0y3V`4SJYMZ
znn3DK@59OS%}*bx)~glU6lL`5<M-HKsRHJ&K8ou7RqA-`#a6S_+x~oBwgUg^r%9sm
zA<A#8w>*8qUXylCHB>X~GpGIZkf}c|ES+BDSt=yI(?oUk^qy@~Ji7a1s|=NNHAD66
z3Ns`1R&sq@>8*dnyyuzq1F7j@$IYj_3DLf`>r31pj+))S&MbZUQMqKL=={%H@AjJ7
z)F!_y)$8r4ox5U{=<C>BhQCXlY)$eDUKW}Msp*;(RUbFndExph{g_pqcg1Sn-h6VY
z>qqZ#(c5n>{l3Y)c3O{qY?WANTU*fM;}NE_x9|AE=N5W~BlDwZd7_-)siW6zJU(><
zC~o^?&?D*i^_ki9dpZ^ue{uOP77Up8R&VVY<)2%A99z2mQ%8Va*^Vz|cRq!5<i|#d
zhVSyJIo_<Wt|aQs6T`5C`IS;twM&oc*?l}Npd-FJc9wXx_B)ktALM2p=2hSGGV$2^
z(oCj5jK$|{GB+RX-E@BGzKu`5X1}bP{!2uCFQc>jRsKDH9#sWKOvq7+ajW{i(sFHi
zY5x|DoOwELVlS`V6Qd%r&alAI=w^w7yxMQB<*A!8Zd@o{^}3yRa^{qRfYR&#{+?ea
zaCT3M<N0@2ZC!#r=Uj9a@mN&PAE?sFXzRi$yrEq9sLvNklMOy9q6aIr7sc%8S|Pyc
z8q)QwYlV=U@C%8Df8<e5m0<raxj~PSfngdG`UNY+C8bGvWf>_sQzypzA2JX*djGrT
zyTvM-3_=#Y;e6htB5}s$(d|ol*Dqe!;$7YS=JxHgE%t6c6}#WQpEIvI|97oN$z6%S
zwVaFEe+SlENbo&$_E=bU-5}VNLs(NWn^nwcOUAZ{wnmXV2A2=$_+GXs5ZkR)6K(hO
z^U;!NPXbML@v48Wlwn!n5y0#nyZ^-{PnJaHhVWdDbqB&3TJIc?XldGO|L&dT$|YsF
zT5})2uW!mdYNTU(sgF-5e(A}gi9fGMYkZxq#LN8Rt!)3Lhpp3AI)+TIn3ub_>wcU3
z7OVaz^_yMF-8JLxy$*|$`}X$y8If$qhzZ6V(`4BHR{m1#Il=Mn#ACOoF`JSm7w`SN
zF($jy=cxN6+jH4r&$ecSC)kEu-T3N@qWlfk{)bB>6B~Oz+ZL^9$&&7G&JXZrWD;RU
zT%ff1ubH6;69a=0I|Bnd0|YQKFfeRs1hG?+3-l^-bI>(qelwfBoST7Rr9A@!FGw8}
zZ)vn-Lb`)VuP7xEUF+(%)(TR=j10@V*uckxKy@-~Y2@ZZ)|!%9mRF3f`T9?xSzGop
zF#P=nxgrLkIVh14arcv6QA!fJR<&EZW*uf`U=XrEI2qzkLwP17t>r0c=vu$AohdlP
zz`$U`$iTn{wGyILUKv?yW?pg;x?aaA>@N~Pw^cDRFi1l6f~YNxyedd~<1+&?DvSLK
zO7x0S3vv=G(T$lGI4wq-fq}t_0dlws$OsVL(zpcK7`>FV3QVs{gf3JTglYtx%mUH~
z!dn{uplD9c$;Q;YuIJJVRt^S+G&M*D1ZjkL-bxkO1F4BcIhA@9Daq(gztR-7lChnE
zVRjpOoE7LI8K75Of{|7hC&@9{oMvFqIL*Mo1F{&3w=~Y#f~>V758cuQc|uFy+c7W*
zFJXj78A$V%My3X4q}Z-V!gTO#=R%FcZVU_`j-iLO?0#gud3iaQDRh<QiJR(-3=9HH
z3=9Gw8yOfFAi>4U#)7ao9#VRtJDY)F(uMhJ85k06+0gP;5<8M^y#ma5N;Izc;4_(l
zVd@k_`Ucs*rBP=qves10^o@Rp8b}+&&5J!)k=zWsQ4QVI=$Bw2^ecHI>xW;7g>HZX
z+RaZ01M+;348WGU&`%u#X$9dejk<ZrT8lFh3sTYTMXy{y8X+EU-hiYT8d(`BIRW0R
zY#>Eq3}OtntPBi~HnKA4F}RvoX6Kn$rdFgUMHX8Gmn5bYnfg|GndW+jgyb5!hUA#0
Qg;k{+gr}708)w@B0MBu`0ssI2

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_power_routed.rpt b/game.runs/impl_1/TopModule_power_routed.rpt
new file mode 100644
index 0000000..9667b00
--- /dev/null
+++ b/game.runs/impl_1/TopModule_power_routed.rpt
@@ -0,0 +1,154 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version     : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date             : Tue Feb 28 17:02:42 2023
+| Host             : LikeUE06 running 64-bit Linux Mint 20.3
+| Command          : report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx
+| Design           : TopModule
+| Device           : xc7a100tcsg324-1
+| Design State     : routed
+| Grade            : commercial
+| Process          : typical
+| Characterization : Production
+-------------------------------------------------------------------------------------------------------------------------------------------------
+
+Power Report
+
+Table of Contents
+-----------------
+1. Summary
+1.1 On-Chip Components
+1.2 Power Supply Summary
+1.3 Confidence Level
+2. Settings
+2.1 Environment
+2.2 Clock Constraints
+3. Detailed Reports
+3.1 By Hierarchy
+
+1. Summary
+----------
+
++--------------------------+--------------+
+| Total On-Chip Power (W)  | 0.215        |
+| Design Power Budget (W)  | Unspecified* |
+| Power Budget Margin (W)  | NA           |
+| Dynamic (W)              | 0.117        |
+| Device Static (W)        | 0.097        |
+| Effective TJA (C/W)      | 4.6          |
+| Max Ambient (C)          | 84.0         |
+| Junction Temperature (C) | 26.0         |
+| Confidence Level         | Medium       |
+| Setting File             | ---          |
+| Simulation Activity File | ---          |
+| Design Nets Matched      | NA           |
++--------------------------+--------------+
+* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts>
+
+
+1.1 On-Chip Components
+----------------------
+
++----------------+-----------+----------+-----------+-----------------+
+| On-Chip        | Power (W) | Used     | Available | Utilization (%) |
++----------------+-----------+----------+-----------+-----------------+
+| Clocks         |    <0.001 |        8 |       --- |             --- |
+| Slice Logic    |    <0.001 |      616 |       --- |             --- |
+|   LUT as Logic |    <0.001 |      325 |     63400 |            0.51 |
+|   CARRY4       |    <0.001 |       56 |     15850 |            0.35 |
+|   Register     |    <0.001 |      127 |    126800 |            0.10 |
+|   Others       |     0.000 |       10 |       --- |             --- |
+| Signals        |    <0.001 |      381 |       --- |             --- |
+| MMCM           |     0.116 |        1 |         6 |           16.67 |
+| I/O            |    <0.001 |       33 |       210 |           15.71 |
+| Static Power   |     0.097 |          |           |                 |
+| Total          |     0.215 |          |           |                 |
++----------------+-----------+----------+-----------+-----------------+
+
+
+1.2 Power Supply Summary
+------------------------
+
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+| Source    | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A)  | Margin (A) |
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+| Vccint    |       1.000 |     0.016 |       0.001 |      0.015 |       NA    | Unspecified | NA         |
+| Vccaux    |       1.800 |     0.082 |       0.064 |      0.018 |       NA    | Unspecified | NA         |
+| Vcco33    |       3.300 |     0.004 |       0.000 |      0.004 |       NA    | Unspecified | NA         |
+| Vcco25    |       2.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco18    |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco15    |       1.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco135   |       1.350 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco12    |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccaux_io |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccbram   |       1.000 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| MGTAVcc   |       1.000 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| MGTAVtt   |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccadc    |       1.800 |     0.020 |       0.000 |      0.020 |       NA    | Unspecified | NA         |
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+
+
+1.3 Confidence Level
+--------------------
+
++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+| User Input Data             | Confidence | Details                                               | Action                                                                                                     |
++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+| Design implementation state | High       | Design is routed                                      |                                                                                                            |
+| Clock nodes activity        | High       | User specified more than 95% of clocks                |                                                                                                            |
+| I/O nodes activity          | Medium     | More than 5% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view   |
+| Internal nodes activity     | Medium     | User specified less than 25% of internal nodes        | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views |
+| Device models               | High       | Device models are Production                          |                                                                                                            |
+|                             |            |                                                       |                                                                                                            |
+| Overall confidence level    | Medium     |                                                       |                                                                                                            |
++-----------------------------+------------+-------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+
+
+2. Settings
+-----------
+
+2.1 Environment
+---------------
+
++-----------------------+--------------------------+
+| Ambient Temp (C)      | 25.0                     |
+| ThetaJA (C/W)         | 4.6                      |
+| Airflow (LFM)         | 250                      |
+| Heat Sink             | medium (Medium Profile)  |
+| ThetaSA (C/W)         | 4.6                      |
+| Board Selection       | medium (10"x10")         |
+| # of Board Layers     | 12to15 (12 to 15 Layers) |
+| Board Temperature (C) | 25.0                     |
++-----------------------+--------------------------+
+
+
+2.2 Clock Constraints
+---------------------
+
++----------------------+----------------------------------+-----------------+
+| Clock                | Domain                           | Constraint (ns) |
++----------------------+----------------------------------+-----------------+
+| clk                  | clk                              |            10.0 |
+| clk_out1_clk_wiz_0   | pixelClk/inst/clk_out1_clk_wiz_0 |            40.0 |
+| clk_out1_clk_wiz_0_1 | pixelClk/inst/clk_out1_clk_wiz_0 |            40.0 |
+| clkfbout_clk_wiz_0   | pixelClk/inst/clkfbout_clk_wiz_0 |            10.0 |
+| clkfbout_clk_wiz_0_1 | pixelClk/inst/clkfbout_clk_wiz_0 |            10.0 |
+| sys_clk_pin          | clk                              |            10.0 |
++----------------------+----------------------------------+-----------------+
+
+
+3. Detailed Reports
+-------------------
+
+3.1 By Hierarchy
+----------------
+
++------------+-----------+
+| Name       | Power (W) |
++------------+-----------+
+| TopModule  |     0.117 |
+|   pixelClk |     0.116 |
+|     inst   |     0.116 |
++------------+-----------+
+
+
diff --git a/game.runs/impl_1/TopModule_power_routed.rpx b/game.runs/impl_1/TopModule_power_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..94aba08621aceb22e66d320b6dc29befe938255a
GIT binary patch
literal 172372
zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^He1NVz{McM8IoV%o1apelPa~9lY>Ek
zL4rYnP2)bRDFXuog9U>ti1Gyyq5uEa*GD;Uv4tfkXXcfNGB7mQ%UTsz*{E8)oNT2F
zQeq0?+JYn;I6N2v7-B(!sUV^hMAU)^s0oRs6{2Zr&+KK5&rPvW-MPKl7GeU3OPmS$
z#>S$?#=#D<`9jUss&S^9Eg&X<xI~&@WGc$Qpx_`2a+fNIfS3Sc5ov;<1;iI1Eo7Pi
za>D_8a@^p^#U7TNoNs7sDth+J8nTRlL}$LCk?5?MGs!Z+nTs<lIXSVkB0e*p?683N
zB&jGd7m}<b)tfDmf)m6g6d{gW?7r?Hj$z5k5F^Nl5ttDrC1i&NBs>#Sk|9CCb$zi7
zl0QIP0{%#1$WrEFE6Oh|Nlk%xWc8|5cB*fZGi|iE0*dleN|Q@6^Ye-pFmmy6u{r1D
zCubLf^DG0GFc)`lPG)kdf=_;WW-?fil}n6^$0syIA+Z=Ly5c>v1fv2Q7e5!9vtv+D
zq=~?*Y6(UKZZ07%j-b@^%;J*NB7qC{*d-Vh*g=Z?OEOZ6KsGQc+ye=)2WO_|CFX!c
z7!(+}c(_=6eVu&;*d!Pf#JG64m_7CV1uij4Feu1_b9)nGVsJrfa%Nhkf=g;~W_q4N
zKz?~@k%CicN_uLELTPbkUb>D#acW6?enDzcVo7FRdVF$zUP>m&lf??UDPT?U1t3lF
zNf1poWr;bZsS25S3gL+*CB=41TUfY4xdbYb%@Yj`3`&xV(~XTxbPa{LlJj$OQ;U)_
z6LX~4ODYR8lM{24<hZzu3=EBQ4a{{74TX?GREnj{P|rZuLo~<9)b4|iqXmZphfp^o
zFDM;}GBBKQlwHEL!b!E@>Ul?9DW*vdrfF&094vk@I*I3|rev1pDropZ=zya9w9K4T
zO<!Z<$qoSwQRZ9{hDIg%hNcP{hDHh{`3i=n3O<RIsYS(_LIN<oh6YL%h6YNSvLKrj
zI5ap6I1)HAI14x{I2sr^Iv6=7Fmgy~b1{YsF@{Mo6}TxeI%+ZdXXKiK3R`fJa$R~Q
zBiI+j4FwTO{9Me*IoU!WLY9F+!BNSYODH)fJ3ha(#4sMjD9@~lHxN=N$gD`san8xs
z&&(?>(FaMOssL$rQnKX|!IX+OBvw1b?zE)*(h|IOqp1Md?Fh9SO$w{sXlfwZ#kshP
zD~rL_7G&lj0z_-`W-hLsd|V7d3{s~VxrCDQb8<3^GxPIYQcF_7nM??an34boix3Yu
zRR}PE3vDF<4rUAyCLtc)6Lq&a1Y~6y7+yFk32?9p@$i;D=j9X-mStd=0TN*q;^B=*
z<L4AmlVxC#a8eTBU>D-yZ7bZ*icrHK#KU{Cm(K!Xm!py($9<3@gb;(000$$gWm3-=
zxp;FDi_%m5lZs0clXFsqP`M=dVb{VpCIYIm3=AS5_kmnv(=UtUntLGEfU<}H6DTko
zpsqpI26b}pA0wzfkdr}v&s{LbOu$B#fuR6oHn$KD?`9J<Qvr5a28IV9gFqp6?Pj}~
zfSfD?!v|1^fh;Ro*R3WXD$BsI!cl4uGZzOe{R+WZQg<1-SU{;+h!-XaPTW|%4T>nR
z`{9uZ^5nmwOO}Wr$Kgq2ZBS2!b-lBN=!1C@tOy=9m<dH*h=+HNd7Fg*qbxYv3UG)C
z@$iODduxjj5g|eZQx_<Rk!=^`z~T=~&w|qzsy{&K3v3cRj4(qD(;t|AMawu+FBrLa
zic0hHQj7ePvQm>vgiv_U=sJ8sSsf7+AVa6DyksK4EX%;);GiVP!6d}XTXW#0xxhY9
z2--O-32=atQhd)2GXWJ@1_l8qB|#20Azoe`>1*Z!n?Z_<os|SR7=?IwmAK!U3mgIo
zIe~=0US|#Y15c-d9IQgTyyrNXEd+jpR8@ma2L;(`iF&9aP?p3JuAr3qYju{1fRZc&
z1BVkhOBUxQ=Hwu<BnlVmS=3Mlc@|X!6z&!`)J+jZ2FNu;Wl3ah(9~*}WCHaCEVa&=
zv(QYyPL_e80+uDKnAA)KI6>hE%7CCO>3gRKElbMPFM(&tXN+7tW$B5Yc_pbuX^F|H
zLMS|BZ-N4}!5&(A>d34!hQ+A>2gsYj0xlK;zOoDqvtSWeksfFvuoR?C6%-GkSiW5L
z$W(v@lyg7@1}L2-rn^`O1j;fnECOi*$LJDQ6=-H=P=dth&*?E10;fSHd4o&>g`v54
z0W>V|#Ay7?W>WzLP(cR@9?UF<nNdNGtc~C`7qF0JU`PPz0;P<5?-rN})XOq3`~x`>
zl*YDfIcy>D0^}1Z7ifBY*}C3BU^%laL&GAFBSD!5oEK11ez@Z@3xO}7@?{q&1tU@-
z7o_qN-~f5f@wkc=q*wxZ4jd@|e!Yj6RFKTjZok|@;4hObL&H9heV`0|H1>d{fTAn|
z0}IIIpyW8;;Gc;An=Avv0SBQqF&y$j%VW4WJoWt*LMjVVxp+XV;F83=l*FPGE<Tr{
z%(7I4;F6-$y!4U`E-uf!g3=O&fXuvNE^hzQ5;%v;DKjOr2qMAn>9649@9ycW5bPS{
z;u_4w;pwm7;_BlV$;IR86zZnn;~%aN5FP|GEhM!lmrDR@nrCi7YD!{Waw-=WxMi&1
zmzbN%#SLL=_<B@natTA)uL?nlC8-J;z9mKa#hP54E{P?HU?DC+s8OzYiAg!B3L2`K
zTmm3F6kIZk5h7rhpol=V`Q#_2C}<S8X>xHvy2%O};ShFWX$6?g3-2v~xq_e`oPvLz
zZgNIufdW`a#I>R%wJ0w!M*(DUW?mwwEzBhfR;ZxhpOywy3sNj}N`s5ZBZ!N`C(PN`
zKiJrqi__3Z&j1X#7!A0X49&olUp^PJe_9$BlV3g;i=V%rD;HmoOHf!mhzTaRG%(wD
z3YbFih6-GqMy4<uI1FGc=3ti~E-pg@xBx<m5*Ea*a1(^qGvW0vqv3G!tpq1d$ckM?
zL0*FqJaA*ho|BpqZD<-h8e-6pA~M8GMnepi>WB<6<IxZ!F~p2ULyW`_GaL;u5<|=&
zR%qR5T?sDx36^7Qpt{m>G{A^XV&DL?7!5Ge0?d3gz(@-)v(W$}Ex=4i1B|o)GZ_sq
z(gMtQG{8s;Fr(1`BQ3xTM+1zQ0JAhK;<f^}!wh1<tz4KUl4u2a4F*%NQOr51DMH;m
z_(#`FXgs=RY-(&|V8TW5SfVKxe8dpOGB<~gd%|UeHVtt9$i&Ff(3A?kHG=ukzyQWF
zGDi0;o-~55e{}ZFMRO~zvh+l!oYK^2<JbWYG-C?`6Juk_lZYWKsE`89kV=6zpK}*d
zpcxH<Kr^#6wxmKz!xm@;R0^~yi?<*Jn&H3)nvt=wg|R73Qko&PvS;_E6r@0-xF)!`
zV~vFsJ2bZoO&H+Xz|_Lj(%6Xd2(ZM+>V`&^=&4p{^8nWd7Uo74CR9jn#u&aeFtI@Q
zEt$2!(X3DlEAF!NME9c9)I3miFwmi9WNv6;Xi0e*!BVmrno=p$5_h$jBZZn#?0}~=
z6H`+YGYiT?4O`2DTA?Pk`yO(r84i4?nVT3}T2dj@u;ox{H9dB{G)2y#6xRsno%t=T
zIAA$msBM6&1Y=_}b8{*bl4h8Nq&Y^NEVOZes{|7x69W?i+GJA<-;!A+Y=}8;ZpB%a
zo*0yx0;&)OJj^UC&5dXjW*A*aGQ*6i`aL4djA92oof(;1m>N-`p+(C|wAr`=5oU%1
zA7+N8CKiTNs0^@#nE|z$YiqK^5n)DgRiM0KiG>v#B&Q3l9pIwTz{t?plnNCAe8dDX
z3}8U5y0~6+xv3SaM{#9d^1z3esj;O6jlzpsRY{Ohu$dKW820eu)-^ITF*mU=HZ!r{
zf-Lg`EpJ3#*T@kR5&~Jv2w62qjTMdX(L&4y15%2?mWr^8L0kqm6;B1ifn5%<!jC(t
zB+t2^G$^$=wM1wcdVZu^cp2*%8krgxK&xE1&xi~!Y{egP$WbS}_L#SsTCpUR<b?`N
zCNZFxBU6jH&{LK`a!$4a(xxH>q?NCRpbcj5Rj{n!wZ}vT2HfLjCIoa4A1usxk`ZX%
zi4|yrm;?h($OxA~us~ac859^4m=qYn5X6RICIu$242VWx1_dUehEq%&OhUg-GGSkX
z&8Dj0k(r*s#pafnmtR`K#RJ*P2<1V;kqf-F5xm%3D4vVc$VkuJz{JqloQu=cK+nL)
zz`)p;i^<4@i^<R!+io{5W<PyL38e9E1ttw9)AFSU%&i2GH!bJ~MjONm#c^?%=$V-t
zo0%JNahjOxS(+PInwxMj8JTf085$CA{;v0{%rMM1jTMUJ;xN-QGBq$VwB+J6vD7oM
zFf}nU<YF?k;9|5O-uP<=Ct@0J7%LRQ#R1Qo;NXES3O523pIEj6Vh^15>4j!ioS>`|
z4_fpN3KSzfLrV(_Q&TQZ6H`4?b2C$OBQ7Q*Q_=#Z;j%QQtIfcHVytIiVQge-2o4kj
zOG|STV@RNw6CWt-*Tpc6Hv-40p`ICN3c;9*)5I9WG%+zHJ<WV^Jz|Oxr<Soo`Jgmo
zVQ6k(Yye4<h(I?Y-u3TJO*FMqLEajrUyxrMpAX(86<--&0@~bHViaux4lhGJQ!^uD
zP$n?3)H5<SHnFhaVlp)6Vk9BFbPIYh{bLOEkGZLlxv2??e~h^pN$`*1(I%q&V+OU*
z(!j#d4Anv-k}TYkQ$&=7rcet_EX*tnj8J@NLXw3Qm3c&2XdWw60Lqu<#+JrL#wZq&
z=EGh0Gfb^i2`3Rl1E?2`4Gk;}P{Wk8NNl!FBFc-fRAXddXn+=`q<N9GJccL>En<aA
zxHydT%uUTK4NSQ>4UP2-OpGjy%()m%L5S$Gu2w13)JhYjB7n|?#QQsix<wnr#)Gz%
z#%IPG$LGZx2xV|_80s0A85<ZGadBE$=ouRrn;05`idRMwss|3%AX6(@l$eB#w?V8m
zj1@`;r5O`r6LWJ=sc4{QVPtA*X$i{Vq+~<pe}1M`GAK4B=jY@X#Y2Ql;tfE>yMdlL
zsL}%!?-qJy1{NmfmRw9mpd#3S_!7)~mb)oN`DhXg3NiydOA`xIP<diusb^shs<cTB
zvXE(Z_=C(KRwxbBgfg=*FgG{n;<Pl<Gc+|eFgF4RnF;a!P+M(jY9)=HB!W^?AW;L!
zT!wn)M#d(V7AV=-go}{`dn)@iiAw8+;M{DiXK7+;Xkm(CA!(WGu&Ojs7DDPYV{khK
zEjN=^e9Vp)C(1&jSfLzHYPU2oH8Hi|;<Pl;GcYtXH8lr?Cn@>LH&oQrN)aXMlJiSJ
z`%>dGqRnIDGvkfGxfoJ*7#LU@TX1n28|fLF8d(@yfD;M{Rh->F5mPHoNJfI}X4a3-
z%u7oxDoRa>k2lrNjE_%FEGnvuk2j3Zi#HO=12q(k%uLPAEJ4jcQ$q_21Coo5wMzV^
zRw^i71P?cW6DMj}1+H}rEsPC~jVMSpRr37A)jCGddd}3s)X2n=0vpx-@epUDVXROM
zD9algn;Tk!!xY>tHQ-`02K5I>h)TiOXC_t#C{c;6^#zU3%y>gkj2eKN^9Fh*<|d{_
zhM;=E!qUjd64YBT;$ku+!S>RZ_e?NKP782w8tIvu8k(9@5}fU`?wJtPY=G3_MtYWp
zW(LNT6f{3)-!viImNf;nW%I$cxUmVS7l+nkAt3-QIIk0Bp((UBGBpCV8@M=)4fHHP
zvrCZSB@!xd;~nQstYlGgA!-F~5G#}mN}A>driP}VzNCeog{6VHu{pTQL`pTmz3jY+
zl_H^}X$WdB80eW;T9|>_3uY#ICWhvQ=H{eUvsQD?npnx8dknM^3|zo~rhD>1N!`%c
zz{nW2X=z4M!)vPT2_mA*EZP*>(lanHGq*70;xshTGc^Sr=L2f1lhP7u=ss*hTuaXc
zTt|RYrlFysp@liPS88c#X=ZFdYIusRKR|>xjiFh=P|w83z|hhVrSLQb)q+GfXn+6P
zM}&oD(1<kBv#>NVGeT{~kk+brS-Y7C3r(RG8tNHY7#W(HQQ*Uj4;zWF&<q}=1_q|a
z7N|)T+)W@lNKb^XBg#TZSJp_+#KH_TA4z_3mfW|N2n$W4&A`0`13eRC69WS?E>1I3
zJxdF4^8z$lPC{|^zG5xjTyF@jiwyNF4U8=<DCxp3HD66!U1S1o^n$|Dz}%3MaTS@$
zRYZlQ5wtB~WNK*!8jCg4GXO1^u^_!I(Xx06X>ADuaCwsosxC}S3@i=IKy6_Q6H7BQ
zl3RyiN9LMXNuyL2kl8y(>1_lKRYN^<Qwvbt02+ZLuUJdboJ&Ng8iP_OxEwVwGcqut
zq)Bme=^P>~w1k#2M&JnwbJR>vTCwKuOPqy9usmpBY++!)#c64%XJTw>1nPEzV~^-k
zhD~q^-aH74J4+K2BO_2x-BORdxO+Zf0ue!J461p-ac5*|Xa=fzLBs824Q)1;5@Vrh
zv^lhOW^7~$&htj*dIp9T29_qIR=Q<7d+@i;AYE!uZEJ2|MoH&r;<O$j!qO5NmIiv3
zhNkA`s0Ao#brHjZZXzr+1BE5Hon~odU}8y0#w%FbNrZ)_(U#CE!r0W%60No+E#rym
zcM?}cm_l=&kqM~Z#l>l9tY=_gY++{1#c0OGNWx^$!pZG;a~@=5$w<%8#Mr{zf{WA0
zT+h<T%#!rJd(?*(6Dw(yL%{T*T}to}fgxxv!BEe{#K;V-$46Ql(6XtCh&VHgHi{K0
z0`<R44b6;<EkUCb1_q`k#w2$dT4S0_tTfO&4e${&WB3@V8MvkcCrm>NLqoLDaMA{s
zyfYez@T4WQ3Nq9)H!%kf_mEwTrDo?6WuXZ)CzzXBSQ=2$vW{lWB_b!7MjJwkGb23%
zGeZMQ)TT9QdGF$x93s4E8f_6PR0`?^f~q`AV=hi313fbfL(rrhi4D)n=o}L(Ez}$V
z8K2IFo?~eO%OIduk}+tA*GSLM*w`F2L2G2LXKrp_Y(#ReZ1=`Y6Dw(y^D@ygBDghX
zY+z_&VF1b@Mizz!=HU9Al;OyWS5xt|#vsvVsAp&nD!0&kL?jeozYix75p8DCFbhqM
zOiWEtYa!Co$$}lkSZE2g&`8hF%+l0|l5Vu~nFJzyXbfupm4V{Rz{u3X!U8-mWNcz$
z2wgu!LXYUxgIE(QZImJnWk4`7xui5PC)xrurfdo>)e1oEcXJCPOVBh2QXxZH{jR<@
zl88KS2Fvq?dZw13Vupedie2*~i3(bCaNof|&%)Hq*bKE;BdvCdat$EDLNiby0|`@0
zGh?(73eqg}m9r!+Obud%s=&pXnW>o>JbxIQlU%--9JVmA(nslrp`;$@WInWL%Z#@K
zr=UDgzYn^y3VHAVT<#Fvk66-UVPd6>Vs}z$NlAWQd}%>^aYklZNqkXiI%u&AICL!y
zjZ6{sFld5_k+iZv)zgHC&^3xSG=tWQ#zuygpdl_3ayu~GZp7GV91WTWF95}-g^{r#
zsG35`m8AJ`s+J)Uel$hcXk=k*2r7Tb_G8I!JtAy0MwA1V=EjzWW)zeIoM&{2$d<;S
zMq@sxs57=SGz9fSkpdMwJ56*#?M~Gp!a_4pN(EbJVQ67#iP~8stsHRE)FR44$o!|F
zo+)VIDMoXOgi1uLQHcl(O+nQpIGq}sSr~xUSRn-|Y3Wo&SC)uWV;XH}6f0B)ibM+o
zBNIa-E>3e(Jp)rSQ&US&$BC4_lbNLy(e)%`1qC=Ru_PYSCeLpe2@@5xklA`;Ju?e)
zGec7@PIE&&GYb;~BSY}?0SR$=r9sGq;JhoOB>-s}n^_ovh8Bzr^ejxwj15SxLo$j4
zO{}y~+o*V(#ucFI!^GIg)XWUrA~!NIH88d$)t|TGI83Z`QCs9lbyo#+AsVQt!&nq!
zYHGm6X=DN#mjW-vA+6%FddhBswkQTZgAQAM1MWJSm>XDv8U$n)uA7<|iAX%gpcV+Y
zJz$Qx)Pppy?VQU%M0>yx-X4IgQUH6_*uu~fv@VWp&px#LZ%lYH))+K^1J0TjCKhHC
zjTkM?`9p+-mLLluEf5nU6N;8jYJK`?On8FZG};g{!3`RPGc+<ron#}eoZZ{?ohUC_
zfZNQ5dIpB3#%OgVX%^-seIv?3$XY`KJqtr4BSX|iI%yUbe|<+pm|B900nqA3&;l|e
zV{jYGNYB{B6toJE#CGJfRnLjA(J0!`JQlQ)#z4>9z}&#dgp1PzG_`JKWDNEoDc$q=
zY|o6*TFOWz9el}cyrB?yG{Dfz$k4(F)SfgovoN(Jxj>)Y^3)j5+zh0q0@W54#%89F
zMNlS27M6zKDw%}E5L_<zjL~W;@CF<36cB8M5Tt|wFPO8mu(SY;27pT#0}IfqE7D50
z?!rsx9!mo+yNXXuNlyi}ZosQ_49v}qjKJH+jV%q#K#Rx0t07E@UzsP!c+8ljRXPw~
zf{QiKOuh+9o`<YoCt;Z7^@}~mR!S&i0$^{Z7R8r=`&5>(@dlvgD!5oPwlp!fKw7s0
zULHZp!VejR?L=e<GjL-LY@@lQA^Jo&I8PAWBA+#5BM~-Qf;%0Rpys2gftd+t(Y~>P
zo&l(yG6XGEAZ1a;-`yLGt@Kbjt5~xjbR8Y21!)8+Z_Er0jF4xg%)kvD60(WqrNu;e
z)->7>GM;OoXJKh<1{(ec=MzgaV`EaMrT)jwCBjByM1&eznwx-z&0zfjGw=#U5;9E7
z#a<$8Gy~U+NuUS?5C0o-aavmHnHiazS(uUP$N7_bjjhB{@(7~vfyA7tg^`ho0ivf$
zayZUE*-C`3jKRLD0{hCy#M}bfb2I`SIL5_fZqCJIL_+gFbXlUYl`e|Euq0G7XbUdh
z2viIdgX}gjwlpvVZ+Wu>4JLzoIiyrlzjs6#<6E|bm|TGrcHol0*uvP%06u^PS{p)Q
zWz6}>mxv%X1>ZK14)UgvrICq=8EEL$#N5=_08-eI625zUjL{nZu(CA+TDC$`kFlkt
zF=%-=Bo|tOCWlEY<n}mw6X7*Wa4xI{dCkny+!EA2MO=Xa3QQ9Gw(yz<Y1I~F0a^*j
zazir{17kB#qr=?9)Y!~`ixJ#KC%Q<V@yf**y%&j;a4X^=1KHppHj9ln08OxfiaJws
zaMQ|C&(gx!6144rv?jp`V;6i)0weH1tAU<{xuvC<0cbHabm1<E(?>HFJCPQ$kPbRH
z#Tr|h8JR<iA#+nRQ<7I1R0TO0qiwA~Y4#XEJ!xoQW@Z2`0gMcdjf^e1m<$a_S}NHn
zWn^rnk2(^75{HoC<Y-IKWQ0+CJZPrO0Fqk_EiEk!;S(?5+(OzctH3ft;&O{Ibo&Kp
z0TXEQ8k9fHP0h`~TMtPo@P2&I#g}v}ptJtQmd4<91`vD9NT0Mm*{Y5=`XG~K26~|U
z2ioidvWToDpjDpgMD*Q^K{W=rJ#KDnU=CWk1hUWqwBUx+I@nxD0bd9j#|lAfCJRer
zaJn=#GBGnExvHPYElgz11j%(^&zV}98iT50kmt<J$>?lIW-;OOoJp)u1-RTaH30AK
z12tqUjV&xdEAkCMty7{)&ZC>(8d>QO9teZxI?(1YNE6D)*btNfEG_jc42;bTEJ=+%
zmy(x8XiX@@4i8ASHn6lXw?wRNGc*D(c_Cr%QJUr>Bf>)_X5hweA}Bnu4A_!ZBREMt
zG_n#yZRy7w#AoJ#(tQEQ3L_&6OVHYNP|^TpG?D}Ep2IyNJY@`Sv_KN0ff;yE7-XZl
znK|jR#Ec*B8liRRk*fg6hHua=bu%LaaL?D&#Mr<R)bs>xohG5PvhChYBa9s)kQrG+
zJ!3OdGf*!K<PA{3kvuXH#Cp?+m?1(#SQ&2$TBZ(Z!JC_bCb~$hw>SJchA-S83#kqC
z42;c<K!f%smU<QzW)|R0iJ*-=B=p(;2p=^f+-HZZZ#K{~HZ!vXO^3ijlH?@V+`gN*
zBxnK)N+T0XSnXnNYH2`nbt$C23tv#0!|Va=p8)NDx74!)Z<-~wRJwC(Cq8>1%l{1Y
zj6j>wq3g8`4b4FfR<M_dE_UNDuOT7?O~KR2;DH@uOG5+Dwn$K%S(uw!lH8p%3t5ex
z`jIj*xMger9oPZ)2aFBOK}WQKF5NRVFtxA%mxH9VaJKL5HzGWYg_sR6gf!^DzBMp1
zGdG2t9bsx_X$m@<!Vt2ji0BYzRj(l;OPE1huSUiu=4KY)Cc25a0W>~ID7kj9ml5Gv
zBWTAtGu{w1#sh7=f|jl#Z9oN8bLJ$qoW)uah)7Ik;2HrEyoMHLNH!W6a4{KyZd4>;
z8#MQSOCo|7vRTqV&(OfYzz~`*EiElAEJz*3s&O?X!i$#RnjGAtG%^P5tpzWaH8L_X
zH8v%+B<OiyY=mz_0<mQtG9m%C)zAoBPjDKW>zP=9XSqqNGCJ~fjc{+aHAdQO3ravn
zLg4X917l-jL-1L^#-=9bX6E3bbP{Thx)ebp!s~btIn^Azjt4yEWNcz#X<!Myn$yJ6
z#L$rBszmQD4@q`I))0YPQU<05hDg0W(i2sv=to1s%|lCYz9|K@-3%=(z;h#@$sc2L
zQv+}+CSiz##rD0Sl{RYY5cBjC$Z|W#=m<2s8k>T)aDxs(F|jbW0JW+}EDaUA-xv~Z
zAV8X026_etmL{O>NYEAuxLX0~&=Xz!nls-a!b0O%ND4MGv@kR=1{c4kMwTWdH|=cH
z78;V0f-S%)7(Cx)U}$Op>W3N|>X};@TUdfmmmy_v|M>nILn|qiNQEq5fCw3ZR=a~5
z#|Gx0a}+EsL5EP7fifv+1A5}piH2zNU5Ne?Y`zPUXrTTwGBGjY;xw|*GqkicwjkMG
z=2e0C{ACRFmw|~nbh-f6cr^qa(nCV7DE{a{L{c$<_U8=@jZHvJ17py(88c93AhC!w
zePV2Ar2&Z?=y?Xn@nwvtqrr&;k^>D)%s~gRz@{ibl_iN8qKj9H2wy_>0fUl@p{cPY
zxcV|TGBg8~ZQuqN(fLnzuZAIU)farX0w~{`Sb!$;Of2*)4b4p~LEZ!vG$gbOc6g}~
z;Z2KJp=?lrYG7z+WMTnY(PL;}3_hE}6jXT;?Mt-)K|>rTVU$4z3L!ZHTsa#U8iV%@
z7#rxBTAG2UoWY}^MB64O`pE!am?9=AK$SE26deOSP_{R>0D0EJ)WXD+<ngDalBW%<
z<WL7_Fy;)3K;|15nS&Pbav10tT9})VKGMaw>!1Nq`&%IOKO{`eO^l2Txj4;D^ejz4
zYtTt;W~pr7Lu8mj(kXa7pMimyF=)on(pb;b!W=a5#ArfNx-q}Az<}6w?~oJ}2M%G-
z^g5_a1$Ej@4a~uV%%t>*&UVZ(uo6a%OlN=4*iatSd?QQn2#SHJxjE>xJkm0~wx65<
z!8!ucT+IR7XJBY<3_hFD$jB5lY)<N!y|5Cu0nYpf-ZcQR4U*YGS=<;jd1hv2Y)bmX
za@c+r+?IjICn4DmJnU;=0BQ?!fm`C{hUTD^kfep>qQ*b^L{$?IA41~KzyMTKa~S9u
z85<dzgX%#LCZYJ0UU*%fa68QsyfFz>UK<;LhMA2l^~_973_<6Dk><&nqI>kw=glCM
zA#7t3tU3fww-`Z7Z381qOLIs9G6olEqz!&BN9@$ck!ms^r8Xo?Atj7~8E73V__QP=
zO9RsVc=FN;eSD=hq9X?xz=tLuQzIkDvKC7-W6%aS5?dLvvnJ^iRhU9LG>`(^0JL2Y
z60Sy|>%vI1(=MZtI6EO81$Su-42;apK`Uu3^gvUuq%YuoXx5;Qe{UOF5`xAmdV`8Y
zzlN|i=%aO%Aqf}Ku!GqNKf?$bzy_8k;Dl>rU}|V-3huv=GRmVbOI!d$`WH~28XJQ~
zcP&BfRTFaqlDD1Ce9)$cFa07~9p>N$YceDOp_N1=25*6AzMhpN>Ov6kJVt!H0cc5U
zCe#wpQaAV}9?0S(5?cOq*i7}T<RCEyZHl`&Lr*d@G*8Mb0iA9Fw+hq+hBd%Rwu)($
zI037SV};;WA+`#^R+W(8t3&SG1gtVbSY>DlJ>nNMLI&;;lG2`d@AOR<e*l^ySp_;s
z6&iq`K}OOF?XW*@2v}u_WR(fVs$3ERP;2E&0#+G<kB0?SfCdH@(Ct9xrl8|!KnG)z
zFhe+T=6zi&A(RvdX^+8@f+1*Zfb2k1zjKp-Pt2gFL4cwkbhr&@eBTnXJe9O<Kdt-D
z>Y|<11?wiHfk*cZk)p?xl0?UvaDsrRU`Jelru9KPwLzYOF0diZQ=h~R;P;dfBKQnU
zL93)e6F-nSPSR{@7Tky5CghBSbjAzm8A<Km9s<E<f#fOZ*oq}|i3Dk$`mk*`eoq-A
z*<=D8pNGzyk!I81PaE*tWEm@z1+6m-jS*}1NDscXJC^EN$)n_0v^oQ{ArfI1be0a3
zzep}#+4>3EWr!4lunjEG6(6L9;33~R_(RYHk$(+91ACxM1zpianoW0HXX#qWq15eY
zC4~W!r%YhE3bM+HG^?id7UB04a#9DKrU~{Gcn*ccg4{infK5mRt$_)=$^cJAljbM0
zZAtk3WR5+eKx?;1v#Hr6h(JUkr#@JkfX)tsPn9KlXp3Db2*0Ncz=zjDYFrb@T1FEi
z3uEvA8YwN7ilR+AI6Am#;A5!cq4U7d-id*MDR}V~s46iddGL(+e6u#O<2;Z)Cv>C&
z)GY!}M;Kcen~*$rXwAT-jiZAL^(3U%2OWd~`3+n)8krbbf{vp$CTTFMF6oyhe%m13
z5%7vJkX@hyyg(Vv(!$)-5OgC0Df=~cEQ?gP(#5k(6KSa}c&CUVbgdeS&E}?{Lpv=<
zn&$4`Bc+aa&n6a|VZ$<@Yh2*{6a%oINthtrdx%q=@D?i2FdlU90OVKj!XXP2BhXQT
zj20v<%8L|bR=3hX9VW!G6c*g9GlI>Ffb4`W^tFTsF9}Uyy~qF5h_w_;oWguc+R}yg
z<R@w*#VJ<rLeepK-kazW@1xdZH7gA~=@_!y7#zliu|nnG3<?cnXnz1SSYk<1#7?_@
zM9oSErSXCkua(Hl)Ga`ZanOBhYN%&yVrUE+L?F$#CmatE9l7Rc85ZGN(B&|slofVt
zYf191A#~3pIG7O)H&8f}=G`Yr%hZU-uz2hv?QAuRJ*~vphb1}<%uK->4@jH8_@>lC
zbmFx{i%t_uJp)S%BMa~`7Ni`4AYxcUT3$7yR<>bSSVmGhGRB>bNSj~Os*WHgUX9U$
z7%7{QmX1pO!buBa^t=jQv0-5bx~qe<2B7x_J2jH3b@a51l&nZg%lui^L>EnXQWR;o
z+H`1{la!(iFk=`g=aMjl{PUR+Y2j>%S-&GywItN<`)8>T9nR<p8kDS{EgA5hH==9V
z)?dn`C1}{T4Wuf-$k5c(!UVi2lmzcC=aC}1h&00z#uo5gL_$MRtyP3*OO4Qzl!2b1
zp`kITTs9-AQSs_OKWRw{J&a9^^~^yR9fEg`kWwSauHjT8YK|1r-9hPqm>TFIMJx$n
z?Agvpns?D-*Ayk7Nyxj;6RxTf+hjDxUH6ezFuNV!sY*(d5x!0eoP)rf5OX6_3()8T
zX~{}u{(4nn`vb83NMJi5oe-F%qz!rS{a&X^Qh&gNT2=j=<qK4aXfm2YkCcM>)Y3rD
z47{ro)MY1S*<gIx991ILf|`MLJEE*>0ND&a4#d>d%+eg(g(fA>3T>W2bhKLFPQ;cZ
zb=}u?Hj<QxP0<QuQv*E{BV!9tzlXF$JT;|}=qziF=37f+J#%AIOVAJrc&3=>N^jrG
zGF2-bf?Z3<I$y}J6LeV<ByP<N^ejQki%DKzyxXt<JxyVo6bDV@#zWQsfNyUB*$NsH
zGB?vRwKO*bjmeYd;WeBEs#Z#<9)>QXgxUpOK4Jhl(FSH6qF+hk>Mbjo6k@Wf3HVMG
z$dDz-dxl1O;98F4)x~oA!c~b|YXI58f?CfR>X}#?S(<^%K~f5cY}P>1ib6|j6-~08
z{^-dFWt0LkG7=3gnjpy+#m6QVdPqeR3H7T=t1oFjw!mycAr%uOv?(II+*C>G{g@-B
zv%o`(=4N`97M3QUy_KY89r@>us#fx-Lw%@O2ejoDWmwUa+!WPmu1<8(kKXM-YBZ5H
zmbv(+9ML5(da)0_Iv9MC31~Kmg!nv=C{46aP0<n&lBJ||WQ#UQkd}P0c-I2j?I0}?
zX>Md9I*ifVho%O4=Ejz07Dk{7os{y)UE{wBv8`eBjB072XKW5SzJ*jvJ->ce!P`EB
zmQ9ABRejKF5Fz{3!L<(f^l?}bLE2Kuvy$&sti)04xB^h^mIu0p0yf_aE(}bKK{u3v
zE{`U0)m0<MSrvSR0b~g@YGDi7Gz{sgk~Xw;^W1S2l8QX^Ha=2`Kw3U|$g-a_n@!Og
zW=IVO()v=_H}|QK(w8zrD;mhoD-U<?AkE9@8OGF9&)mqw05l9jTC$ySZYv2H2C~*2
z*Q6O~Lu?NN=Bp6Vp)!jV0`E5l=T8GJP76~#b7M0ymfa;>o~L4EfR;fKyX(-7bcG)v
zgKJ`kv|8@#Ha(J37VLCKn6HtNG-;t6AEQJ}D4RnsYJ}TotY>6tVFYTGl9rwhS3gq5
z*VH$I9n6Cgu9lX1Mn)E9mL%UmDdv4%nV7B=((->)i_P>b3`~tkKjE#_>8LU>=b|7r
zf|2`h9Pn-jBY3Ai(S1jO7c+>l7c?yiT8ax=>xANAq=W=sBTKZ!yAzw0NhurAODGcy
zJ<!d~ppDj~jSb}G1S{jM($S~&4R8<nfH&k2?PclxzRE<DP!`ZL0-$+^!$8m2+|m-X
zDg?ZsjA-jxANeQ~UqBhdG7?JLA1RbcvwV8SJ|$vD^Ux<dz(>!T8-Ye&NSp3vjgL|y
zsf}TPHtvIDGily^>pV-5*v6?rtPuF{A4L2@S)c>(Na(&6_+MkU5>L+0$;m9v%+GU4
zElEu-$;{8w4+O0YDMVU8z-4FvFCUl;!6O4ir=racd)Td1u-F0@iciif0q<EyE*Oxl
zB+*~ZbLOyHX~T_2_zNxswh>$eLoSTQXE6!uz@v5FvJqji5om}MVli^lm;xVHO}oTq
zr33dKE+0cW83b%5t$HQaW<w(UOq$JYh5L!}Gg4^djAqhouHarlOf(~T8AnY^+J=VK
zXH$ssuqi00Aq``k$%`}(EBuHc-e$-+Z3>Rk1C*6X$WaUo3=CEhSQ2(1_=t0ywve_U
z5uYuFu|oK4A)&a%XNwVCY%#`T3u#?qe10*(V+(2R9elQ!#tJ3lN@HZ$g1s6v#A69*
z^*h)r*ex-_V+qxKVvNTUGNK;4PfYMwLPn0nZV7>iCt=VPPn?+1!zbo=ETLMQSm3dQ
zYDpMvCI_iANm>;Lju9f-G2ki=GRfS`h^rJJt&Rg*ZH}d;feV2eji7EUsOE{!$u9t1
z*jA8}nVcAJDAYtvSD3-A#L<ugyTT}T5IDjT!x3qq^Ad~=%}qhuJB*C=EQ~A-Nk5AZ
z92+=p0)@<3CWG$9wKO#~w*alXHPSONH#Rc@4_%P5y8~>G1nL+ZbT$h#ja39rTZWbv
zre@%i8O$s#OhDZqGLn`$swI$VZuCP7pmrL9#!d}E8<`Bv^(+mI%t^oF6znm)cEWCO
z1=&fJ_9@s(!m9{Ci5E5!1Rp8@-E0Kf;bCrO1e(n!BYaU0NJ8CV2aQqaoId0%HUk6D
z0bZOSODzmcNk5(v>|0fomGM|D1qE*j=%9EbQ&V$eQ^-YJhQ^klvslRRrY4Gw@tJvP
zsYOMpDe>{hN9{p!2zWQYrKO<>=)4>7Dfy;k9H$QUCsDRS`juc?jSP%H2gq}RY&A4A
zCjC+#;%$Y@=NE!P*wn(<6tr%_$XL$=bSNe`cu6h|)QAXSXrvnH8C#lLf`ivY&%oRW
zbdfcQGidldYKnAzA|z4^!Ns15r4jftcOyL$6Y#0z<k*VSqe!-bJPJ8b%*Y6oWI2s3
z^b8G64b4a%7zcY)1tn}DWgtWdcG4)ag`i8GIgLRJ6+m~%lX_4S0SjRVZa^(G)-wSe
znQQ_s=FN=^jLpbPJ-B^{T;4+V(P3PFLQX6yLc$Mv8U@<ESi@M*NFHRa*Vqtr_8jQ2
zElX2lG7DJ+6wBb(0D@+f;~`6CA$A!UnHYhO5Hm0|0uRH21C!_>LvYmLvdb_Yb}=vH
zz6c{TV`EeBiEPkijbzv*i``!^iy%1wJje>3kO#9sXU%~Rcqh$Us8;|ZEmMNs`2mVC
zSQQ1CoktA4nt(Q2l5jc`I3Nkz2;UuNY+wMndceTk)YKRpkR+F%1h0*Poy!WXj>|wL
z8pvK_6E03eOFd&_Q%lhOm|)vU3tNIGmBOqBW%^1`L)F5<*Z_9UH(6)2gF~30mtmzF
z)XQM2LD!FfZXyM>K<HsLq<RLQziVb;VQ2(e^=zzXZenRp`pJ7F`WjM4mw_^=siC1M
z=n5ptd`&Q-VF3<`=ypio%+$;Ra^|q1sgV)rCL}Tvm@P^<fs}a>w-RQ;TVw_pm3J#6
z7l)~yu_@%@B4Z0ZOEVJ-6Oy;2gG1knOs9ZC1e^#=%#4gcXR(2n#aNmen}d7m)X(kk
zySE_i7qHc!JNQ6n$AIR3K{sNOd<Zi*1PJCjxYdxP5ANic8yQ%F4)!)R)-yCRH8&&A
zYJ%wxW;H0ZktfoSw{(*+`hwbIgQS4?y!?{*oW#78)D&>n3S=d?6K-T-YH4Q3#R+N-
zTUr``uH+&k$xGu}>It$3)(N)+pU(sCgj<-97o-wc?EzT=?Sz{dfzH!1G|@9PGqNDF
zf>1}b1kwP7b;6O$45*zJhM*&mjScjS%*@C*QxBX>@!E;p3M~TlVayE;L3h(|f;#>N
z=Ahf+$Y~VfwG+7^3ckzOz|_Fh$P83E8yZ>|lQ~$UisyV5q_vHZrj&&t=!iLRThzqZ
zgyd5)z~PF|LKDyw7&zBUFiJ2=FhHOJlLC_hqXMG>g93xl`io2)j6y3fGI0q9XQt;R
z<|qUumZU0Z_?8su7i)5{sVaD6re|=mxh3Z1mzHqx1m|QXrz${stj;<4$=O_7KKbdH
z$qFHr1*t;uT%1NmdgcZuhOkr1j0_Bnjk%bNNVpn>QG>~}eCYvmj5}ovVuj*B?IbgE
zV>5FjE>073Jxg-~OVB+NMrK@~ZSF+1ltAY1dcVpH!+gk<IA(fArUpiakb5jlEKETo
z2TY(-T`h>a3>IYkwSyBejW>)H3IV4tNE02c6eMy!5M+A$^g=T$&ZLsO(0EU$P&ZJZ
z80i^WT3DExa&elN>Y19GftImC?vN$H+=k22n65ShAKGTDXJBD$1ii?|z|ztj+z7V-
zZSN#H%-OGtVH$4)j#EQDGXoQ2&<Rr}#vrDNi7Dx6=8NkQQ;ayZ1mALPqGw@fZUDL{
z5meiPdoHA$A_)rgcc&(r626DX2z2&gET{}KH8U~>WdhLg|K`Rf7N8?#!Kb+s9bUQx
zy_o)iToZ1hXKrd_4mvsw$v?zTlY#tWc(jQq|3L0LGSRa%urLH2m4##>@pU)I!Yw&P
zL|JGGjWH7oGw>~GNEQ;m$P#2>MP(jQ7DDbN2W=a(G)6u`60(tt$hmEhg}d%&m=eC3
z!U!~V0y>7@M9<jJz|z13xi<}3cSwSb&DKdoc@dUsj0_Axx1XaGJtSDjS{_4`g%;pl
zTt<4}W9dw}I1P>U3`~qHK<5CPf_4uOoe64{LQV0VqYA23^NLI2GvgsMVHu#jXJ7{D
z&{|mN85<azfX-DQzR?GYNe<Q^Q?wgj%hD6wi&9hbz&DkH?hQ`|mE|VJCZKr<P7?z?
z3nNog&}F3Nppu1X|1tmbGex_o6Vf1qv};Y`4M4>^=n8vt@XhNc7J6m|7AD~9#z+_k
z0eQ-NmOEy7Vgfxh&eFuf6jYvASn64rgDP!OMjAn;hfK4>A7lp5+rG>!49r27<XRf(
z8JZd!fVy17?*{<cq_*19)JhtqrB#-m7?hd<i5kdV^`O}!V-rxr6}9{z@+<<7J(c~M
zM5T4eWt_%(mY|iirYO0Yv=Z{Lsx(m+Lh3YQa61L{LMRf8kJ<6!L|JGAK1L4gLlaXA
zF7T<|hK8o5;CLgpw+sqW-%wFg9EYA|M4N-!tB_HW640=exq$&_E`ih7NYB{R2z<{3
zX?e@;pNJ{i4p5}IBBTNScu*_Z2(-`DM9;_!+#%*L(=#=+urL6Z8>Dz~trEW}!PER9
zWmN&F1TnNQHZ(S(Ak|dK^AlI=K(6%yUA|yy1Uj`5DPxe9PSyVL5ND%dtWXVT^4Qqe
z+|bezd@>(+FE1C9F{nR4LcJpx`^<#!wREt~EByTMSdi@|<|d{_hM;=E!qUjd(u9l2
z2z28r3AUHMyk~;Zvb2Z=-FIrFXKHF_3c6F3?BHylb<c#TW&@-aH`22-G&2BAqLOXn
z&)GLk2)AWTL2cQ5a85Kf0rld@Z#GzPUMI>zQ*do$2pZi0PhuiAe=~t5Yj7-Ua&uFV
zV3pv6K?P7rGlTPj30l31baA3Vd_44`7gHlsGfM+5PGbW-3o~<bQ<A3iKo%MAIB$Y>
zf-`DU!2r66$K1fw&=k~bwa~M$G%z;?UD0Dm^pP<jtGJh)H?cyU>cTSmYA6Jr5;C#0
zFazCKYi6QnVrXs%I=B&BmJ!`Dv6^$%#7YLensv%4O@-96kkn|XXJ~9-1e&!#N{z&y
zHU#q4RNE6oBo4D^$Sq4odZ61vEeyFhL8D-%Mh2jSL0b9M(0$m1xHctZ0WmlafG&}-
zfZtYbW=zs~1R!sUtv^77H;tj0&QK3@jjg32O2t6@o&%7DzyIwc!a}oXNJJXxSy-Bw
z8DX3NPeL*IvUW2O7MemWG}JS)Ffs(KDMCs)r1>!8!$u-3G=m4Jfq|(pc*y{KGLg}o
zq~6Gh@O4C42<bE%>6uuVfsVH)zu-*nTT6t6rqO25o99i8O+cG4In7M<EG@td84^ZL
zL5b#l#ag_%9&#J8p`N9IG3ba?@_n|{d^K^ko(Xt702G!6=Ai2hkx~n3*<Pk{6;WYn
z1Z}k#nOd5enSfd?2Bs#U8-hq~wX`f=LRzZ@GCc&o3DCsEz|sIb9&KS_X-3*Y3{XUd
z9hqx_cKtVUFUbfTs)l;zrWT;O0lWl^<Ox`iJt>-Vi3n9=PznW?qXuS121qCIgH8!0
zEgEkwokN6$me5kh2sFb5zABRJbmQ+!oP|cPJZNBS0a}4&X{cvnY-$AR;F4DJvI$PX
zn+IWWXK7+$WCR-WwbZjDEAF08m_S5O8iQ(HaNHSz);ytBNu<SHb15+vnu5+|$N)9n
zjg2h9dEN+g4UYwhvv;7>S+=tWf9nj=c?Z?D<_4gJ2xO;{iPL(B2un+7SQ_YA8k(A$
zBd$~c<pa{{B8CUuL|AAB3QO<=m!%Qt%4(GM1Zk01u(Xp13r#^64HbZTTgIk_mT0vt
zX%>p=cM?}cm_l<NXoVbTA&aH4o`Hd}g_$uIBk?D>f|AR^$?bS^9%Ky5NYBv3*aCFN
zhmpCSrIDE>>7xWuA6iVTaLg)$1|tkX1H^`UCMHH^Xnjl4T1b{nO+>_*S+r5CP!Xu7
zXKH9>WNZnVWHT@@H31zzNLta=8q;J#)CFpgLs7s96LftV+PFJuQyJbF4Mcd-5?Tcr
z>Y1CEg9nz#&g-e!xkOoL0v;j&SF)gqbMhNY(X6>d<OI`bLr8IEq-S7e2);FyY%g9s
zlS70TO`|Qqml_)CfvP-9V=hi313fbfL(udfi4D)n=o}OLH`2kT?7{2DjD^6<I}DAD
z%|TPaM&^3v<_5+_B=^d8Z_G5Y!m-B!k`ckJF=GQm&_Y}eBRwMvLj%%wB7h2(i&s<e
zwZ<UPW~gUq4l1`$5(@F>1A*-MeK?7TXfp%NUx4$Tsga4PDQYc5S{c1y2Qd~}LM=4X
zGc>a_1ziG5*3vhS51r2>5aB~(Q1h=0RH7LenOay_fM=jUH{F8HdLyk!d-WjJgxK3i
zAlrVy3s=o8j4VMDDo8nywEA6rZzK_U-VB!K4fRYdO)Wq}S!4(8uKAHf1+6)_?_i*3
zVQOY<hB{zCTJ03&8bE}FW}rd_5~h}B#%LoHq*>@IXGvU`8h}p^G1N0QGc_}V=MT_D
z%_J6UCWkFd2;Xs!7`TQkAkPEO3}CJkAuZ)D>9H_DJ1Hfpw4@|IFTS)OzBnT@4YYYL
z9lq4s($L5hQ4bqhfKRg{_G~#&)>QR0AtH2*q7BWU^`fznp(SXDi|iE3?M94^#^4!u
zaGTV^$k-56O(Eq<(#pH3T82dU(G+2$k%h4#sQe+@k0rnLh_KNZQ4Uy|8(SJe@5&;p
zTgZ7vmxyd>3~DrjSF#yf8XAK7p-6!WzNUicq2}&X9U?3=1Eo~3g%*YumX@e<W~7w^
zZkk#|SqPbFHPkaTHZuX;Sc&9A@JeB#eJIwbM1+N=plTAFPL0hh3_z>7P%R{3ELKHV
zmWWhi8f|C<J%851z{teVh>O$QRL{WF%+%Bpd^9$W85ci&M~oR4GfOF=>q*G`Cpa##
zBpwrzW<_oo2@@5xkaYpZdS({pW`?F*oaTmlW)>!(TdGMojssN8U1<<9AvnVeX$h2q
z`@v=wMxdbuBLh7P6EkB_PoK1MJfld^1pj^CXieh^Q1xMAY-DN%x+B^^&&b5o0JK<`
zv^0Awj>81+5nm{$c0!^Qw*1D_)Bv){)6x>W=!Y~9TRmkrL0f(U-)03{=mT!PnwT3{
zf*J&57p|L{7>P(c#-J8R6(}yvjW8CKkmj|Wa~X(e55TtQWI_)^1$)-m!q5`50*`FZ
zKD7LAOnBPY7&L$b&YBh`7G|J5$z&Hoi*x=EVWB0+LU8-e(!|JwqD7iopMDw>o=P{3
zHiXQ1fkxpBjf^Q7(cIhhohUC_fZNQ5dIpB3#%OgVX$_FPq;Eu72wBx=pl1QvTY}n1
zC(Xj*ukVNmQ%hKj2eeep$QazlGSV|PF$JwR1QoC()LqY3Jtx9Oqi92O=$V4%2IdAv
zpc_v?Q|o3%#$XSUvS?>M+cRUdmNJ%^b)$GgA@FE`p_!4Pg%PMcFg3F<C2eCjs0Nwc
z^3)j5+zh0q0@W54;5C1iCg8=BmWJRenS_Aka=B-WR#Sx)SLP*yC-CDlA?qd~E3VQ&
z!|j$9mKLDV0B{Kdxz3uj(yhDj61vBbR$YKvH{kU^2Il6VE9gOIGFlp#8JTi1fmcJA
z62HJ+knxx?N$Y_iz62L*pqYFVlspevDNjOM=k<#{#yIXnEd%X8iU!}IX#no#80dj^
zdRbT^Ms>i;BZ$3`50qYH6t)wQA<V#yIdDO0ZfS@<(GAWMM7LLG&DcnUjh5g}2Y5G|
zsezdZXnTOMft~@Vo&v9IA*J*9clQQkD}uY(U>#-fYF{JJ0xSbPLkmj_BU4cNHMKMX
z-Hpm*Xbu|q$L3jfH#Y^J&=Ab^Lh*Oj8sk`*SO$vCOz;{(1Bi`gh6YBU6)3QZ5qxGV
zDW0{ww3vwCHH|iej3686Sy&pIfhHWlIn&b2n4~jaKuPF-+*~4TG)5#LLrZfL&_ErM
zA4wa(YPr};gpFq4x)^fUJ7~hekc-pOQqRoD+>Er-e?fknKdIN)N*ray5~8?+#GI*x
zk&y{#(=T+&l;m)nf3lSbUm1gaRRv0u1{en%FqxZkF&UAtFDG<aqOp}OiodYbPO#mU
z@kXG2GWbv#6JtvQL-0k+mZ0HlaKDO_YVr4uC}VsJrHo@yD-m$n1G*ppw7D56;gDAL
zaDMV7B8W}Fhoyp-x*1s-nV6V?2767+O^rdjn!$HJW6S@{*m|y^dwh)1x*M<~Br>3-
zIVANMTUr`}maao`p(SWDDQRBY<Lpg@*DS%guo{$l%q$_>q(NuMAhs=$kaiYc^B}FF
zge-XjA7Eo>W@2D$25RP*o0uA#8E`Rz2L*@@*BP%|jM4k8NC_8q)&n?*&0^yXKvO!P
zqR!MD+?cb}v$QY<U$aVDBjkj!3%*8(5qN0VK+nS55_CKd2YA09=!^*xM|Ni{b|Nig
zAwvS-6l-j0W@HX6hRjJlkO)-NRRuX1<6hnhZi|CUA4AXq3*ZvK$k5ox*piFM(14^B
zZ;et$##Z{M$9AE_A#@M`e2y^uc>V%V+BLMav@nFPm;~n*(&lvqmKhS4Ta2MwOF&De
zK-1-*{Aq4#ZU!FjAf>?j@j(|~(y@T9GcdL^2Cr;@*keZeH2KL^b-d9BnGZD31LZ%^
zf(DR9WDVt3d8!l9TQmmM7~md&xiR=8CGc`E3s8YW>NX&AAq9LPXbe3n+{D<z5^~6j
zsga2pX=iVM(*HzmVIpfLNUj5W&eYP>7<AYJC^XH@EkN5>NGn++vzYLC4tCdyp{W6Q
z=OU;fV`*$*0orW<zNM4slJn^1w?@R=V*t%{paTLRO(-K{Lr?~=wA8aOFb3_RC$Ug-
zDS2sx)`aqa_ZT6Spn;`@xg}!LB53(F@wfYd;x0||krClR8Z&U?7ktD!mLX!&;>}6w
zp^=psWJewJj40?OK(H>Fk&%TZXtg{jX@D{sNyj&UJay0E9ub~01~*zDiP69eJPZx8
z(cH|O^m%E<k9UpG1`&|^+K|oc26_fYW<~}^pz_$%#Mr<R)bupv0_~<CIx%j$chd-C
z_X}hW7&1W$>i2=X0SY*h7hnXj-ZUa+P|^@q#+!l`#)EH2wFDhjM)HP@4Zn`z3pdEp
zZ38_6V{;?WGy&)mWeYP4@P<p!rX><a3H}HlH6lDp09jFOpl57mW(k^rfrTW=NwB$n
zH*rbO1QwJ=CYG?;#oW}=faK~@NPQQ+pfrcs1KP1+42?4Ib{i5)r8~EF;<LvRI?iHb
zWNr-V+<|%?hKA;#1}oT0M5pxl%WH@TK~wMqHh3t>*wWCz0=fd%!rYv+12jR!hFQpJ
z^wf`(iNP&ngV=ZjQ2h+<4;UMm8-TnB-WX+SLE14TAPcwe?KdJkaE6$FFoY~g2m98*
z$jsanaxR9cnWZV{+zms>E+(Qwm{q-oh%8|SZK4|)o0ywffL7oefsV2yc|-mV_A(+o
z3ma3)j5mZ#Vu4$)pvAICn`=SU9BJbpVl4?oBqlR(jQ|N=Lklw`8x0J&n2bQ@>k)a>
z9Vm9W|639fypWBc26~1D1_q#GpdclVrG*7(=Q=6FST(MuM0n8>T$6))lt#t|hM+>#
z(pb;P$jB6Q?GR}-dCvo5BYYzgh~oet1HNEe4UNpeOE8Sh^-L_l^Xep)KOK3xMz}W;
zBOf{oN<c<J;1N*+V`F2;S!>3oCgx`5;K6$mYLB`UK_kK|hY&f{JRZ~x1o!%kO)M-8
zEV(!hjr2?`O$-f5u1fUo@{nXVWYrQR-<TR0BK7)6PgJ3z9}NjN4=us@rWDk!F|@D%
z&&GhJn?M&ZgHtgHLnJJ=?+uB#)7t{HJsmPS0?n?*rl7;PKnJ{-Sb&Zd1&uqCbPADT
z_ZvgP4FpJ2%RtY-z|sV?0Sek80e34P9eSdRUvuU=L|AAXD-;6?SOWt~Lo@Kex`BzY
zkpbwq2}@i9>&)0T;R^g;ZfGTl((ZNzRb}A(VPt3lI(!;D{$gZlLUO~=Ms1-XDfz<!
zoIk+xcLs*0;4MMMhI-}}#uk>~b9qQ9_>S+dF|?9G@fh-{9Y&zl`=F+`fjQ^|3QJ2p
zb2D=@P!T}daG|(#q9NM+9ile|8+wH#NvOY!Ou#43Tj&{DS{hrB>@V}GKz#l(hWg9E
z#2h*qVF7I#8-k8EA|cNefAk<CA;NFHH8eH>H9U+#+knhKS&hU3-1LdDp%v=k=*aN}
zJAe*0$pgvX1}5ePD93p)8IqXYx_GsS@FiqlGbp(jni^Yjae{8JH!=imI3&@Rx_dPY
ziK`spyDvbciirhia?->?&(hG`#1hov05$4JXm#xHQX|5f7O_Iv;8MlV(8$CBv_i?y
zz!-e02Wd;()dB<!@z2yjas;^QH!w5??}IQl&@;6(vj9z@gHIYIy2O+d{bYbIOc7Hc
zpsF8wr~oM2n}d&jwlK9YF(v6}KTtDnspM$`D>?LI8cXv^Qj6l@GZK)~8I8<Ai;_Wy
z2wIq%kUoyaxa*(+QTufutqVw)nwuDbj(ayZ(X%uGt*Iw<j8J9!9wNgOl1{;AmKqqC
z8H45{EkOsEn1iOZ7>U0g1{A2~cNQ2ByN(}{g5sdb)ezJzHv$a_m>Pg?EFi5@dA4JY
zft4_7WIFqUszJz&y@rNHmf-Oj15<Nz&^d#owTQL-<O~Sb5s=0(<iK?ULvv#Tkk5>a
zOhJS4q|OKkD{&j(%zxlU62vx0W(Q?)W6;!`nVGRE>C@I>`&n>X1|I!{WIOP5r-1>e
zr42s3-o)I{+z1?yqzwNrYW$;5R5bzdAte3`3_wLShk>4vv5}!Us2&7i5{gghh1c~7
zw*oD}8?8X)wXp$c5ZlO7&&<@s5Oks%*q=m~Xfs9k=%dfdK`KMo8L+VG5WLaK2wG|z
z7+G4HgOZJbfibv9BP|y&N9@$ck!ms^>nk8(3MpX>%s}gM!RJgFSsIY;$CH;<=;JH3
z5#2<{^Z+#Zm>L;Dmg!iU8G|<Lky!uA&YGl8RACC~E<p-#1JL$INVpmq8GtJ%Qj)A)
zMk8@{LOcrYFc}yanVW-F23zQXCU!|*ko?fBK_CBKJ+veQjaBpp6^Y>+!q%XV)(wXw
zTu4J4W+(jgCujg0Sek$nu91PMp(V+iT6XBm5*NUb{snl#!oa}51axu)D7PAdh9p7b
z?Tk43{@6NBCx!p(S&5;n_<*fQNE3nvrZMRBU`|U=li0)@bjvS^#lV9$J$zXN(XcTG
zcbs7N45AixB*tKYXuh76B#OTv6EUE>kSt?`G9ekk0JJ&~zFQWux{8F(#T+(MJu5j#
zqJU2Kpx#OZIuHhK6{rsn8ZidVc9Lur(<*TSRv9Dv3ciyJbVv(mRV)d<I^@nxz$znz
zRfd-4@b!kEp-a*V>-SFIbnyqEDUwy7Q*NOFNV-*Ff8G$V3Mp9_nqVwsCLsW|R=y-)
zl_B`7V^FR&FaT}$0S%0rn}Sa8VI*yT(Zreeb*+R@QXr(s2TOH^=BP8Mq{WZ=otp%F
zVg^1)4eS#`&?N&PpIAax^pfV2)_rGn(T+ERmqg&{2}7im06qX8Y$@ziE)t@LHQ@vS
zPnjb;1@6>=JOy1LM4G2Qi5<Z2DI+ACOhF6FE%hv+leDDS)GW9UzfH&)3F%lI(le6U
zzdZzk&jQI)(BT_P=o$~wJoRDQZv37yMzYBSb>fdSoBn><fZry|SRv?TO9qCZ<HN}=
zht}>`s%s^Wl4H^83_}BCyFm9XlAF@m`U%=)h!ldbJwMPTE2M?sA>TRpL(l|~e+@um
zhoDRaU6MwcO?O;p=~~I5)a_^`g#nVMK=(RAtO767BF(C4y+!yvg`CtuN1cLg0#5>w
zSdhDi60ixWpfxaoZn}lerIY3-vu#QE{bY_kqCkt!NVBQgB#1ynA*ViAnt)DkgOB_r
zy06Ku6olVX2H=y0AsNZU05a2SWMNElH>jd$lMaqPbXqj1w-*nc$cA=+3=B-ct0KXT
zK9a}hn9nzB6FZay>DEFALqI(*uqTZzj7`9clu7C9Su=2H<LE;}JqhVpLdSVPegn6s
zjZBOzL6bMc-#!f*FRM%XrHS7*)E*CL2`%EzV<uBG@C^>wk_ygE7*;whnrJJH!1G1u
z9s>Cc?`mXheJU5>L+VyaC?!17LRQ$_Rq;kb72rUF*a2P$X=wr4Rl;aN(zfIs%OcgS
zbnz^lO-wE+P0Rtep}@O^4B-PjAghtwfM?Y;Hh&(zpsY^pbSCJ88v`sJHa7*G7i&S%
zO5y%JQtEiO3uEyxYy=IIcHsRz11?Zd<LE78Tfe{e5T`of-Fu)BSLiqoIDkP>Yhhvp
zI>i!X9|;G;M~X75TWO$<=prShig?JHXmHdTK^MG&tOOkj2&#!J;lWFSrFxJ5sS#@_
z{3=7Rt>A@MFrSjPhNV6Ei5f|9iq*T2>;ql^fvq^ex+mkK)?+m*4LsQgDU1zch02lg
z3vxoTBq`5MyM9E?N(Xllfs}$RK&!IQeQRo{XKZ3<3>u6f&9^5U4-p-?=CP<57TV+m
zEf)e^bU{LrvSV9Il6MWE+ik(A3ef-tjUSTc-6u)Q)QHHic<dwXSU-zBt;E=eB{~hv
zOu;))NSkW<rqn`o;<ZGJP7_N#14|1d3-AdlxW){zm4YIMHKgTLGiqfUhJ|G$r6Xh9
z>4>zcV6Ey1V&c^pEr^k_DQW4b)GwU0AV$xt;H5hjW}tjZT0`1<gPj^ltqJtBjFhZM
zOUwLO)<hRgcv2K;S2uNNnUj>F3@~FDDd&=~WB%teBhtdz60?3ss%l9njQ7t{Av&DV
z6ErAUL0dB5z2!vLvaP?ANlVbM{X0lifRUl8sf7vXCOA^Iv@hq8BD#n)!xF|8@LWVf
zLs6|&glJ2R(36ybo}r<kF{oT7ZM(~>|NNvSDfBQlG1fDOT$@7TiU-*>oN7c(XG6M;
zDE%H&13jdOB_WJG+ZjpoE_&>OuHr=mGzoe4dBRmyVw;S{xa&UB3TC(CJ5@<(GQyX%
zfpZYJX>M+0Y5^L>AuU;{%wMlcY<~c@F%4`dWZNmsQqqQw_<pZbC8<APLanNP&hiDS
zL^K&qp{JR_d}?W+X9hV&k;JR9;>+f!648q`11$<hS%LzxnTylJLeJFH%+eg(g(jsH
zC$xD6(a~ywI}uxw)OBCm*+^0%HbpCtO%3!+jEpTn{T|X1@zj(?qO+_ynr}hNB#lii
zO$|X_C1vsMzL#aHRyqW`meELSnV>7sAaQGEpl1nMXAaqpLc$E!Zo>lfG=**bLcBqI
zW;|rMMlN!<*4#|b)Y2TZ7!5RtO+vxAhO<D`N(t4&@yUrrMV0YTyTB`b3_us4L55;M
zBNd2#C5hFJl}rjTS=9u5MI^#L@RlZU2$Q&|KyF{SDsjs~AbXim>p4R`6H6mYGjKUb
zN-D}`4J557w4_$iB-`nao{W%2pI`%-(cq#9GWv|-W7MLFgk+@B>PwoBEiju<NW}yR
zZHfplH&v2)Kjw(3I`Gh<xtX4&g{28-lP!1w5YY`}`R9(RR`RGreW+OnwCM(ASkaW+
z6xC_2PIS?a-t9nYG?6w;z4)gb(Iqf?v2S9jXJTP&3Oa6$w0`@6L}{XZYKoSKkSryw
zBU`jdg0$p|#k&^JZU<?JNOL0-(P50<J~TDZGdH$0voIpHmUGwmuR?5V7(Js}TId;@
zgU<0G)l$!|-&OFo4<Vzrpz}%MVP{)H4lV!{{E#6<SP?<ma<;RQ?^UeCQR_I!(lXEm
zD6lmG;KIPvSkDA>ITm=$4Jq?)jT~oH@D&D-)#|8)t)(7PnjxXcyLs-o3Q0vCdK(|9
zL?A7nJY?BVn$4zY4Kt*M18IG!?3?>kNa;(Np%o2e=aq-McaY{~^bBKas%LIwVgMQj
zAuZX?IJcFA3<KGgfNRo>v>~>K0rORe=unx(3V}DCgY%~W7pH}(p1H9Z8Os+FF3(f3
zGC<3q`Ni@1Nm;4MCGoIHGkDPeZIa@e*deW!`?^h!q?83aSQO@Kq$EvRD96Vr5fjSh
z&^tom_8IFLSy~u@TBW3=r^D5cl<_t7%|I=FP?H5ETrDm2jEpSIEJ;2~L(KcUGBI5#
zq@5b57Mtl=7?>K7e$-#9(@|w&4#+`j1S9w15bG2`!vZ99;RIgHAjV$M)GugBGic2i
ziieRB5_qjK(GAhviOtHSl#S>ml!=8N=z4O{j(gI^2J&)(mGM^TNRy)Abqof$hkQso
z{!4nluQCxOlm+y#2x#5`9V%;XX$e|gL)vEB)<-_d#1~M;u#AM#_D2e3(k!2zu}_KE
z(LD6Y4inI+$L2<$(HGLDyIJF-lt^l07@&>&AlXcsci%eCQY5x<Y7i>~+f)H+z9D5@
z((Ekozs7DQo}8bPlUbaZpXZWVlA2tSnV+X02wE9Zh&0l|WoQ5&mS8djj|>o<Pd7X4
zVYgDjVhda-J~^)he0TwJ!GLTfXkP#c-SXx+bJ(r4;l?BU1s4L_2rhyl>wWN9OhQ>0
zt^1aZ2#bwCL!=Okk(<U8__%7?B{nM^xc6}R*buVr54?K?r_H2Quf*DHNQ9qBv$?Hs
zKT&>03T>RxOq$IV+$)HQW+X4;sA<9dEuu40>$53DdDs*b)R2ZTl_FW;M+EUUL&j;5
z`>@0%Y);TA)V{vXzL-Z*GcYhPSP3MR<T)3V2Bj9Kmc)BHg}Mo);3%O$#RUnu7Hql%
zmdq0fYI@_gg|x+^_-rwZ#cK-*MISy}jOb#EF&<k;>vrSwiwPcENNZE!v&A%4C>d88
zBf}Q#RjnZ&OGvBF!Ct{`i4h)4sOA%6JeH6V_1Jx4g2xgvawK+32t+&yqgHt0#Ec$3
zF~?&G)#Ahgk0n$~!f3NasI6X7i+dv4O5kb~GLhZPh^rJJt>FMp4CYvB8n_UsVG8Oh
zgKD1mocsdNeS`%$naPRqhC)r$bcGq*N*s+vuq%vW2Z19jF&qKD=+@ZK+!VAG$H-XE
z!pPE)^n)M4v4P{FTgbd<GU(c8OH)&G3(!Ekk)DaUv6&Ic7bJk~kw6{igU*<N#+{15
zY0J>k!qg0WP=}eNg$byOMMlz6N3{epm5zRf1=LPM(D<w&Xw#XYxt^t=kvZvC_<}u#
z*G||iY#=+S(gp@wNqD6KDDlEZo8ZF{po_aen+?p(j6j=7$p~N6^RiHPLqcN|I!FsS
z_|L!qbe<b%TZN^Cfhp-{p@My@in971tEHgeEdkw1U}S1)Zfpv<XU@>r5_B{V8Q#=H
zu`xa~FD<pGC^aQM9{F5HNDcv?ykKc*XaYL^2z(`jshKIsd)2`HB+6DuuNiEsk%1BD
zwgOI&t%ioiq~AG3yseNm1BIXvHnlJ|1+4`!GS)KzoxlnXUQ(vaz_zLp5yH?&HPSP-
zG`9o?uZf<4xe@4|b`qC^fUU$Cyr%l_n*^bWw-8+HnOGWGfVSit>6w^-kH9C#R-7J1
zvK8b}$oX<cMxZ3iX>6fqXlQC^M)Kf0*rO^aVGAh(AwsZYZILYm-C@pY3|b6fWCB{r
z3XV^ryW|8cgq^<vwa{441av;P3AmUyH!?6b1KUP&>cQ<p<nk7>MG)hz9dcq(5fXmT
zlS9z<FdN2#MhhYH$;O7DqX<D~uUVQJlUc|rpjd{SHZ$WP%W@%h85o%uflrh(Ff;-W
z^@0PFw5Y>nmtj22F39C1MrOvwrr-nmpi3vouuB%ZzhD+YasYV99)3;<cn6aa&I3lV
z?Rf#GNM#hOkd{KhE|>wu7_5o{4Iv|K5Mwd{ZHy)1ur4BPgzvyJHZXwPb75d^YHAD)
zNRo2^!CTQ_hX6xMv@%eM2C~=Kgp1SAQqS1f)Dm?4E7*3@l8iR4u!Su%gINvA^p&7<
z-YhJP4Pb}LlXW2gID`p$8CJSMy$rS*bk_~&qFqq4haOf#s%P+Fwq_O<hDM;3)y8_}
zCYI);AH+zauOW4G87PyQ8XB5{?%Sfw*90RP7T}<WZin>EOwBAH2V5JP8X1AE-6A7_
z*%C@%Mo29(1B}YMm640XRL|HHa!Z!6g`TCEiG>MyO)@JoodOCGa3U}<Gcp1l%?Da~
zV`*w^4j%BPer|_f^ag2^f~^K!F$p^72Q<G7y6~0c6TgW|e{icINgv$FF*h=>1f3#p
zYOH5yWNL0kp49}?AIxe{Xd_S7Ar<DJk#JH+k5QX!kQ5M~mtPW}lbDy1ngZ@xfvf~~
z!i_9UEzJzMI6=)}OG^XL{cmI>d1+kBNkR6&I^mY!vy{M{a0?Uif>Z*lJs?Y<op3WF
z(Aj5(CVHl3MiyjN5bCIwKpLR1PB?Oz0kzY@5OgB8v4NhEnHd>JEP|6MUOSOnp+%rR
zjJcs9=prOeP{-fE+{}Qy5e>X{A~!^lr)dzQc1#wa<1j3-UvP`<6bG<JB~VV&L7EW)
zox^RYXJBezYGekg0}Kr<jL94lQbn-?wY3YLK!sE_kOrHDA?VOSaEsT(*o5SBQ^20W
zXQ2sbG7ns~NH9t;N-#j60+Rxh0;2+>0)qmB&_z}bW}!2zT*ASb>3NAc3PFh_sR|mt
zB}Mwhnp|wE3Lcs18C+~`iFx^@C0sngIho0+3Q!)ab54G8HW!yqetKrILP%vnsu1|T
zdQ<QgA@Fqx;C*(WBe0D?*EL{mE1}00vj&st49*KCxUb_gh>wR}982+4wIIugy{eY-
zGyFle;X1<~wkCu8gDyd)<2~pSW(BCtj+B*1Jy`~156w=N0U1v0$uc<8^gd<|5uu&T
zT-@LZ;rt?n03RPNZg6T<(D3!B(&XX}$Ve<sRS3?=Oe^8ybIB~rOi5L6&Ica}&L!Ym
znp2WlkW&d4;e+TkP=Ki9g7OW~_(o`aV>G@A8s8L+ZwBLY1>~2f7Ad&om*;VDg(W9v
z=9MUDglmG?iKP`Fw$NNgE*6mgxDXTMhFmOu`FW|J^TRnTL9GW+OfwmqaWNX8BSic|
zSVm^xGqVj1P0dX#Bse9QB{*?G1u+d~)6i*eZLOpWGAmMZoO81EL3f|)=jJBo#wVtf
zfp1cP6g9X=1qKBs1qKC1Xh8%jj35|PG%*Ry5aD1Dnk>S_?^cvrSelxbTnUXgHmB0G
zwA3OlaY%$fcnYq0iAg!B3L2`KT)a>=q%`B=a!SpC7gxcVC8@<++>p{sAH?Hg0hd}A
znNb1}bbtyMx2}<)iMfe|v6+bl7gz++9O5w419!6xz=A>*C^}i3Lfzc4X$3cHP_?25
zu>ykzlWE3;SW7Dv)L>1{$xchkFD;2rDou+|&dH81&#a0!5GqEo8SFwVZYI{^y3NfN
zRth*QMp&AQVkxoSWpmHTPfE-|Pf!Z18qB8uI1XD_iD6m{@-5aN3NAljNrX#B<J5H6
z#p!8gF7SXoI0J(7AC|nvY-nV`#RNK{+DOmb$k@cd1gugh2U#z!l*<e{0G<hQ`L(&3
zfrU9Fn`2FgFRzALVoAC2`K2X>LPf~7Q6Y1hV@dL0n~+Kb6gx@qA%W~^ZY7D4JwblN
znL{hq)?v$`=+0flgi@H`3MB9n0Mw#WD2ynb#Fl;FW;AnhPPR}HRn0YGpJQ$%j}dn9
znR$kYSi_pMz&gP$8cp(u_64XK0w+8W15yc&CVA8*$}mXs;C?D{UlrC=1T_{JgqAXM
zhzTuV9(K+7sf;LP50x77G;PM?ZNe{M=3o(;&rGFp1^6+u2H>l9zzqPBPAp~7U@|5B
zBu}cXLk3w7u4V*~Mx8L%Aw&9N;9eUk16**^aSm|d>?-$lvT(2pb#$^ox<aVgiVM=q
z@=MH3#T$=88C=Xhp&_V^F;+*=Mdw_cpz%;k69WSaaEr*u)ZECz6511BmEZ*T0kUNL
z%+T&!f((2@#*bmcftm3Z_-z6mn__5UVZp^|W~66mXlZC<hGr92raf^snFyuf_7gao
zQ16*RvxnJJ2Hl>r^u(allz4xqP`7BrWgw<PiMZ|IG&0gNH!v}T-3Vc1U|?*FX44s6
z2{RlQ3S>Y}Y8Hwn-U<T)Ga~~uD<(f<Fhje<9=cvBGu}ifjugX9OboFZE`>5^iZC2B
z(3e1p`34499WR4oK73U`X1sBHyrEDw9vciz^i0gn!7JU2O!O=*EzJ##(0t%#{@Bz?
z9pAV;astjHY?-MsWDS<3rMZPEnq~Is_f7HNHicvvDEN|a+s9#|XJiJRVd5~;Gc~lZ
zFhGx-h8s6ctrSoK5A(uIV<9|I#9^#wX<}+(YQY7*eag_#)YKf!OPi)$H^rHP%|RpB
zMtE&70Nn;^YzDeE+(OUHz{12Fy?lwOKZ4r^$QZd$CZ2Gz0Nqw$X=VXldShm6W@v<-
z2BwwnF||@d2`ESj2AjG;#EOYf4t}eQ%#6*A!B&|XnHZX&d&b>shbh`cLpZGhB>?=9
zV_;!yWNHXLH_gD((%b~Scv-r88}6WkY>vVkIfkIgFk?^w2D;bR+}OkdG`a{HTZ9$<
zai`bewgFU7#v9_b!A#G{)WFCPa*w)+g{g@VdMei2x(v4skbPD{>3HJAK+nY3!V<FV
z#MH>d45L=zU(;=Bg*p#YmY(R8Q<{od+~Z4UkX@3<C+VYQm4L4urdH}G$qZ?IPGvk~
z?=bYFExa|Dk)ElcnUS$2WNC}3i7|SvR9xF(idH9Muffdl)ybf1{7ft?K?_(cjr9x+
zj4jO2Q`j8-cD#WHnl#HRE{V^KHx!D&6H6v~pqqzHK-0;l&>>p1&@1>FZ)zn8X;VN~
zqajrVrb6jlY|f5BL6Ig{8!{ZGdZs2OhL)h~YYg<v3=Pc<(G%K%m`GDxNBo0kz9IFo
zkr19bfWt)3(9#&Zb`rES72ME8^Ty+QL8gR{(t|A|0tFj=?ZaOmnCO|A8=ILMadDcM
z>sgu`Sel!l`A=q!H}32T-dBOYKrqrXw6w4=1=R<pdZy-Prsn9S^^zmjxNQI*abPG^
zfIl&q=oy)q8Jk#uOHNBmOG_j4bnP_X%G62=CAFdCRmjmjuqpj`1AGlB4n(MdR-_mh
znwX)tY?J0$nOfmo3XvIaER>8V^BC)yS(uv{nsRZP8|s-^m>6IbqDn?)gqs4Uc$2oV
zo~eNW_|jQiN&DV>Es~P9sZcy#PZ6@OpjgWkZJrsaL@)w14)GN^ggped0B4bdzvwj5
zGdDG}1YMbJXsl;oVq{^2UPd3b(IB$uG{zfHpn3=OI#RSO`J_Y{cZLD)gg3(5ssW9}
z8<>GE1BEoAF}r}5VikyO)qu`i5z57%J&pBDjf~7d_Zvf&@nL4q>RfqKD|I5XCjrly
zSQ?msE}%0u(=#+QFf>7Lv{%Z@66-l5P?HT`q6Xb-Zf0Zvo~<=CF*dM7PZWt4gmK3e
zxH(O*a5L63HZV4~1g*cc1oe3^LvP|0eo_iIL%f9>q6G&o+(306TKe1cf!EXu`(`U}
zAI<=8;RXsaV?%S$Rq&R276!&<2Iy^Sc~c(3g&U#f2cdfV*)uLY%?}fyd@d$8H}D_{
zC<F`)xG-l$!BZ$)xP}ElgMF}8^*senoT&iX6d}~!G1fByt!M*PaRzz@hK9z5=t-^c
z3_Jez4zzEDuMcXZXJKY;463b-a5UR^m$I6oT_p!Eq`~K{g3D?A?P^OC3sca=gBF&0
z7UrN~6tn~u*2Z9Jg}QdJEIrY^C^a<?(u7BDh2n2cS(q7_f^G}N<((ZYe@sYezM0_d
z{TS+*8JHM@uIe)}1~E-A3ZD&(zfEv<ufb)vA>Q7Pk)E*$_@ZCX)T^<Xv5_fy@pdWs
zn+aN10n#Idl&*-buQ}dgz*rB~8HE%BmKNwuDn+YrCOC@$aLI|kwnjA{bF2t#KI(P4
z@RXJrZ-jS@z(~)?$jsEt9GZcROij_-wRgBbn-DohV2-!%W}s(mU<lfJ#9^doWMODv
zj@}PhcmAD;73w}8<h~oI%M0sK;p_Jr>RFl@m|I$MahieldzzVJ46GRTy(TW^jq!~^
zauBWn1lXRLSYcm)3u@Zp8&LsAj0tG#1|&xsTB1ixfc0Y&B1crrLDe(9{0|y;HZ-&_
zhxH50jL`=q<su&tk^f=+0z%2oP|wr=bbuguyvV@F!q60bd?oqVJz|rcF@a=fVq#!v
z0A3(#VPa`!hMw$-`fuY)cA&8wShB<4!Z9&7F*Py-Ej9)X9bm*)mF8{SB^vmYaYKU5
zUDON3(Q1RLZ#QsR0KFKHkOk-qQ_(EQ-HOwKvS?_Tj4zcL>RFl_TY|>CAVsCIIeIE9
zHNQeqDzgwO#M_fHH!(B=*$2r(m_;YM;T01rg1d}hgA(9s+e8Roy8yIZ)!4uiG!%}b
zU9eK;iV5C!fe9$H;wu_Jna9M`(1;7PhttBs*xUqtq`>~sIbw?j&<S>Uhr*2X3=GW7
zEes)3Vx~q0hUm6EntR3s-#8+o5n_Tjft%==SeRKD7$I#1L`#4@Cr_g<utuus3_$^h
zKXPD$IHpE=21b?!7(=4JY)+Y2$)k)^!Yu&DJ~+6t@%Q44^o)%xEI>ngCMJ587Dnb6
zot+~66DC%ud)$%sH=*n}$D6<n^~_Bz49($}yklml&3}&*k-%ZaHrR{igj?7~#zy9#
zlN&(2RM5l%dXf58`nU<+5k+Wmhp+Vu>U<j-7@I*_CWa<Pmgr+gWiCgFts>0uj_?@i
z8Jby|BD&p}wVzbML6XWPW4!hm=vkOsg7)!4nh==9($@6{Oz<7^i8=_E8E<Gxppgff
zUI5LP7@O&tn3@|JqSv-tEe{YIl=#PiAwda>HC#pf2G#>41*JLO9AK<xY-wT$ny156
z#CLq#OGFMpDdLTVvhdU@prXUn0yJH3Xsl;!Vq$259-7l`>@gvBz5_IVk8>3QhmoGS
zp|OP#Bomlfnwpzhq7{kk+B=DB%bF4BE}5BFK*mli^o%Sl&5h7KbtH5<aTXcjwa7rv
z%)-DNRAgBi=^2_D8(<7`&uia?ZV_@f0MQ;Z##<8;P8oB5ZpB{{gQwZ?HE5vCW_XQ-
zS^geBx0R&SZicsp1eN#3#-P*=$z7Nwha%%fJT;^#sD{L|a)SeD62cO6SB3>fueo;S
zdJ`)-)H8RWD<8nM2e?0r&kDqx0I2rBwlZNS!y3F+fa_p<JwPKpBLmPeG~nKhxsip5
zDSA7T^V(|kG={zJZ;CgKA%e@)1a$Y22}Z8zj9iVsK>-dge2rK`Jxdc4BO}nf5O`D*
zvvSJZu#$)p4yBGa5vsx8hQYF%8m-`Iy|&E6$_z4A0-ct`I#HAfKS>9%ZyRrsZm4Hs
zZeR)WFeH&<W-9i@3rO{_sZa)f4;$*4o0}Vf2aiqk%q%QTFs4cGbj~(GyEz<dqm57w
zwlp&|fVbE%b8yrBS$J|VcorcFzgJB3EKN;OcMhY)ooUc46DtwawLg$dEJTdis7HgL
znSCYzWHz<}f>0Eg=vktlzk_Brv+OK9QGhsJ2XAZ0P|v^&ayW&B1!%?qGp4`)oMwV|
zSQSwR8R9J;zyr`mmf%?r15<NzjCNP`<tZivS1K9dwZl-)%*fmlbZQZ<7SNt;Q}EYw
z;EogiGRWA-$iM(}G7@Og%>Xk?o==-XN|pqjHDfMBpdV$ZXKrX{U}Vh2X#(m?gNE|a
z(u2W?$wc;}4Dl8@;Pr}@pm}6mVJN?3G7<hmX+;|0UH1WAVFW%^3x4Ann&+~-CYqqF
z`$z+C%#Ke@Nl!JvJGp15XJ}w#2wjn9Zed`FF+}k3(gb3M2*9ld{K><}!q^bhB8F7y
zm{pX=ls+PY4_-xql7|uAM!2CK=)gb=P}%@BC=AUE(R+ve-+R#;;n=EpaHGYLNV`l;
zL0x-e3-lQ!QtZOtJT}xbG&D8?4a6E5>lqn<j_pHB7PHKIiEFwT;vKw3o3=1C#u$#D
z;Ms$F@Y;w#EoW+EW?}|!7h~3P)-ByQYdLU~;2+sD)HAg-wE!J%h^viYZPH0fiUVD?
zh<}j4P|w)R)C|;##+ArD71~H@>zm>&lOXLrW6&X-ILh4PZ(B(9q6OY@3F!E&8MxhS
z2|hasE%9xSXd%gq_*ab@=$V?D8i9`aGc?pQHMBG|L7(Gj&uApJlmb^`1eQM;>X}#=
znt_fxF|p7yH?g#|K<^mr@N6(4Vfm90fvE>WGb2L_BhZw9shNeTCAw`YtyTD@9uNbk
z_*Wu;>k`;%RZB|?bBv*~{~eVkIHw@NAxB_kiV0{Hq#<ZJ3VxCpTGCkRTWLagWeT*0
z6pF{+gf-MNH8nT10IiONUEPajU50lBdhG}wsm+YHB#^aW!=|{h^2uKrq-HH+0#<^n
zNHf^jHf9UzvPmi_R)VTXLW2e15pFz%aGq!?{z4cu6pVXt%0SQ1%*4Rh43xahO-zl=
z(35wILXwG<Dr#2%`%n%jAK_iaiscwdv;@&q6JdgOjv|)&p1|0Yk)@FdWE-`Kxv8-M
zdYht(H5|RYiDSjI34zpLY+-0=h9@<+EC?etHCPCx;2E_9)ghLKW~ShSmraa~4A568
zC^?6r_pRW^WrI%W23=MIUd@cZB?zvU;Y+YETY?XTgGuois9wfD;tZ*m&5Uv9tl1?&
zBvo333J+r=LrY7@<^!yiq)~bhDP9ESWI}}pIJtn9aN`&PYL@XP)k?fuppXUvK@BVu
zV*`u{`el3FP4KPU#lP+ZlpI0p#lXoC#6T}QB7)q}o9`&YOUQc$4Dt4bjP*>6jZ8tA
z&B#E{$i&pZ*b=SiSY7XEVx@^X9D-yS+NFeq;tD)^1zLWFBd*T;u_7g|KskWWyuG=x
ziGjHRbf(PE9AlMr@gYl`1wH5j)_4QF&3OYoOLKD*@acZActZ<8+Znhm05|9HFR?bz
zGd4Ciw6x^HH6dnaV@cYCm?5F1wuX8JMi!Q!7KSOfg<)ulK8czCMW2WU4fH@lyzOcO
zJp*%dBO~xIkg=tKnGyO@v~m@F(!7Vi`(>bKW@-#N+a9#`&A<>#g77uh!kHkz@nu3_
z@WQ~%*c8;igN&CLnxoeyDK|9mG|%wQ=^28zKY$M$2aWrHN(Z#$wys`-h%5wcYl5!m
z18pb4w|K`;&)C!wbSNO=97!}QHNUFi46)33@B%9Woeu*&OH)fjP&ouDQjIM!7r;%M
zt4u`a1DfJMQ#troi5P&E!9k`DL7u}{Y?IipL|o?zoFa^b^6@k;K-md&Q3QAkC}?vg
z#*|BtgOZ7r4$9IBNc#+ZuOY-XkoWLeXrO0lU}$LqD)bEXEDVe=8#Lj1(j-_2?gZg$
z+8F3rSQ?vHn8Mo`X6T(&nKx2Iq)L=QE>pZU7s!tWMrM{=oJNLvW}pS&=w;NoCP@;a
zkYF`pX=#dYa<S4v9A_Sg2Q_0rr96?V!679*#s)~_#o+u5;<(ncWq=oh8^#i-wk!<I
z%*@~;D43&AEc3+hd&rE?7&Vrg3eXCUJE5ZJeFmgyBgC8qD8b{KYX(nSn3{qTJa|Vp
z=DwOWb;3lnb77@oX1p2RCE5mhmWHP0pe?<i;tEs<qc_paU4%@maGdZC&cud<5}c{I
zxjASD3aA#rR!!}`BZxbGKn){fyi2o@R+(GsSr}THTcYP5+dYCf8?m4SO=t`sR1M;b
zlJ^|~c&b4{tAvbAEG!Ky!6%ZMfF>l-+pTLd1W1Z-OQBf&!!Aa87#9qorRJm%0TU~6
z)Gj?_<`9&Alkgi4N>awgpsish26_hImJpipQ&#cgk8mQqfN?xCnjKGK_)M(CQCs^6
zFW}39pen%B(ge@s?Z0{4M8pWJ1_!MH$G21hHT{|!T9}|uJUHLrGQqVP1Dt+Av4X$h
z1KWsUYNlswX=;kG-~((0j*}?Bg}x!7*;Wf9BO?=V!@$_w)C6Oc=;~BXV%MIT;+@h!
z41<D8EJHI(^j7ILQ+C`_8u$w<G{ZsVAzHcu8;&EOGUE+FyE5^|grR|{fuRMgeSuk9
zJ(<CZKXaJj9drVvUSl(Jq@F2y@O(ecLTUrXkU+=B$k@c(%mUo61Kk{iUWKgL%|Kem
z2Y-<X+V5d(0y<h8oC2^!QsDN##-waxGQxMv3g`rEQv>jdWlKvvGfQI&3-q<oZS(&c
z6LF(AWc9oeq3zoSdgex!pwk^8-4r7ej0uzfkA4~xp1*(<K=JtJ6_Ac70gYuCV~+3|
zDSS1?Hwc7(!M6curH=`0u{^d006)(cV_Z9(Ax#XtYa<XIz?B|q4c{B%UGQxJ%C`g-
zDj4XQgZH*W7b+MTVeB|cHhpVM+=6e=uo<C|aAVNfK3tq826`4orlywY%>rf4H^x?|
z*Wo~VT9CzBu#Ii;212oTdvrz?MuwnO-nhc<XXHy`D=CPf&=p`vQ!s|0AsBp<2L{H*
z#*m9cj7?3<&Csj1jLat_<y3Q_JYsjEBArWse3}Dt--G4yLt|Xq55av8(^$OyVFNug
zOUP{`ptdcjAVM$tb}2qICTWc~p}b^aX$rb=22wPDY(%S{E@s@potHq31q-|tIcT!h
z+z_+|2sBx1YGz=GzCyU?`*mX?cM5{q40uyHs3bQ5pI-&KumOBiBbs-P?7wDgrHtAV
zg(P#d0R^E<{H;>(G9%QxA<(Q6Gq`GOrHtBQ#BLS-3}t8zI!Xq21#j@_3cd_wLU6md
zfjOw|v$O;qEoFw0qx!C1F($a!%!E)PFan+Kz{P270NMd<jxjBuGV=<0B0$}ejJ%B#
ze{h+Y7@2{#zvC)@iW2Y!mob6j&BVyS5HyX0%lMxH7xBdgp&?ic@I64dBRk*q0{-l1
zPM~TtF*Gm--vw(5+UAZq=r2`z&KT!LGf;j9FFnS$U&=tw#K6SB)Cl*)!ga3G`0XHK
zSk2VJ$Oz;QNIV#046F54o-ii7M-M)%W)u%Pa1sAV9@t8xNlNsF@Uy!8q*`f$cN3j~
zo{6P}nYkesr<sYKiJ`fnIeK+x(YDVR-zGZbC8q?=cr-FMHV2<KYG`3@g0aP|+h&h3
z+O~J>bMl}H6<_@gn)(NwkcDdv#rHS6h^W9}@dg^9C(z6St<p3AT?NW%Y@}ywYGh%I
zUIV4h-ifD~g*?_~NGQ6DO$<OcX_=YoSz3U`v(c)AJJ#FrMi+7^hi`X3s6`KIXW`Cb
z-}|;2qb&nPZe<ZDBrFWg3_wG=;0vS8F_${8)!&Lg1A|Ygz@M9lvV-_dw&0?Pz&Z_3
zMQ0Aa2-CvU!o(DPoyM|<Ta2w#Q7dfhiQI%h|JBkGw37sPDSp{#3lWJN*53jhJc{Sg
zSq@NvYit3U`LZ<BGch(b!q^<Oyks-pbO$=y5Vl(#|4^2Zsim2j38-goU}|E9alYaD
zf15~ao*EDsd@wdMvjE+i1})|>8V+B@H<4QAf@(;-tw;ksQ*#3g@QsY1iEAUwb6htm
zZNy&-TN0RJFfcGMvjC?=BV!{&bMz7^x@H5eegt&Oyb<AWvjpw+h845uy}g}YYe)?@
z{72n^LdwJ(G)fDJD$IeXhD)n)dkT8g9lou-271Oumga__sSI;H14GdAbhP4iW#wx8
ztw`i_j&D>A<QYSAV{mn7WMpcIaVo=SV?2HVZ&L>K$nn*Kh87m!HJ+doXN=7;<~{d+
zUuH~rT_oi2OG1a{7#bTGf!1K+ikNMQ%Z%~Q$QTQy;_35)LJQP$#9iKP+_S(KZ4(q^
z008;6L{MFhzsfK*FtY$9YFr*s`#hJ3L=7ue!6QM&1Zs36V*^9b4i8IXJtHF{Q)Bcs
z7}6|r@s_NJ#khpd^|dfHGX|Zyi_3F+Z_g$WO9ny}M2}^F@7n~Oy^hlHM;^=A`fnO~
z3QQ_3Dap@^FD-~K2HhnF8qok@&=twVkD?&95SUo%!H?lZw%O;`6kG)bWCqtL7VjJ+
z=+Y`vW6<6vTw%GK0b~Ot38Ifdfs=(Hq4L!TwA&eJH6MEUI{o4#B9bDk85<Ake&FA_
zLO7G99GHk6VMxo-V0SJ@gW8z!__wZ5%`WKiqWFtiQ%iF*&;kk2kQcVm+>NjMa8|a^
z1M%=3`)r_RVQ67#iDyCcxr4p9a~7ywX^4OEo`IeT=uBbw8V}5>Z}#k7B2oiN!DNK*
ztb7i{5H+}hH#fpKEM$3Rw=u3cKF}OE_`DeW#Vsg}gO<uba*;7c+pBv=8xg)jN#pp}
zo*U?y8k!n|8eX{4!ixMxoM{1^aq+MEHPACRHZ;Ijh4d=alGHLMR8D}-nJ|R!fW%B0
zRR^kx2t#<v0H;QwT)dUBk)eg5i7}{&Ze(g?X@Wk2?DwLI2p=NWd*I(vWuRwl30lsN
zyY80~D<{>5_!o_VPJ}Zz03}TD9!OIQ3-t9F))R_|=!GD>XlOuSz7Ev7LB5Oyt=-8z
zKgXE(mB9E9bT-g4w=^?0!ZV?pRgy)ZxFXclFt;$W1RW3w$=H~QvbZIUfDML(*Mu0F
z7+Zj*jg8FpERD=8F|J#<H$Bl9*MbUSj5jtm2OX?!WUgm!ZeWaYkH`G>1Y=xFPVmp!
zgDzGvGOz&kGjNQNZmNvJT?&D+D4`RGO-vw%ncynsHq8pbZvkikg4iW<h~p+qkd6pP
zZgADthTv~-nZ*+5Zkk$}nt>*HaHXij`hKJo0^kaYKo{D`z}y17mKbzemW7cq`npQp
zx4t;TFf$%p{o!wym>5`^ATMM?A1+FL=7ZA$aD&c*z|4yU=)zS~$Xy{OMiv;$%zknE
zpwGO7fvy>f2VJWJzIQerbYfx-p@}Nc*bsQS9CV6~A?CFgJJ;G8TdARrdtsfZ0xb)|
zcgB$cXi2RJsCqRw)iW?PGsQS}=;}gSV`9%ZG8Q82Gcya&UA~+~26`4IX2uxz;gs;&
z7+a|kG1~|78U8s9Qv)*-&^BH(OFc_N(7DQJb-?!s3;aW(;H5nH8(f6!$Y-@6A{W8x
zHE3gj;QDeiW6()4T%0C`dPauECKw0N)+Or^u{Z?Y%rhp?a5aIPcgbmDsb_3%WQNhI
zoV-&9XTud-wu0h{K+c6)VQHafXkcz`fIhqyn5|=Mg=5VXIMfKW>I{v{z@q}-1KzQm
zq{uc^gNTTN2N|gMhySPv13j3PpqvHT3xe(^GOWaZh#2T17)t{~L(pC;OVA0t=ml)R
zJvF>TABedpP@|mK+6rm)BGMVp$hFlxPHFtLl_jAC-bSE<>ybKy=p}o4m=yk!9W=>B
zyoXH9j9}ZWjbN7oA$w@UFERWcGL9uslNf;dpN3qVp!H-%=4KY?9-4Pagm6tlC<U4r
zSb`6f!d1r`?G_;-(W7)_@b7m8HN;E}@Xh6S8wrzQC8${eT0??wT$VsnUoKOKm_Zis
z5HO*JzOki=IcO^xxC?KIImmoxGe3c5IR1N7Knvx-XA5(h8tNH=k{<eub?jDdoD1>5
zWi5ebALyn-LqptszikJ&NQobamG~#1j4cg8*H(k74@(e0pAi>IV>h<aM%gfgWfTmS
z3NzzP@gCwrcqHuX**`|a4%8DGMgp~HK?%alLeJdP(hy@zXQSnJBNEm$7=t%n<6A8Q
z>b)BpA`VT%><Xs)KQqF!T942UP(wpQLr|*;*3m&9COw>S-3aGOO;EK+s3&D)U<v7E
zLc40{76h-lh~EMe!mDRN=S`YgLQ}bkxgq)-fWXmnMkK7BrF5KkQ~n`hQXFWmi{f!!
zBJBcI_3?&6_4s=tparky2B1a#CKh@YmS#rA=<A+SBMuo^S)z=~W68WmNIRhrrvrjk
z+!Ac-nj4rJf>tJh9bjONaS`02D+h^)N<<4=sDS7h7X!%2n4q(#K+B>HEWmd|BDVuR
z`|meGTY80bbQgH0#W0p68^Mb^p$7n17+ZqZ{36@9P;M<D8;xQqEFk4gR}qt3p%cKw
z&m$oXfg|07iR`=W{!@(buEsSXEi}!I4Z!=(K=ri+sGT6e3BJ%Cb`6b#Z7-qFG{!%3
zWuONtFhOU4<7#A`{M&`gPi2rB_wXNU0NPY;VhA3W!?8>HTTq*kl_p9aL>&w;hHiU;
z?q9}tq$=1p1JHq6pb7vKWay*O6K^&dq21mAu?^w?gk6M|85@}6_ts|j8Y8sR86g(I
z*8ReIW1w|__;yGe=oy%p8H2`CjLr2-Oh89DqYVWlPb)UUd&LIASKvcw@pono4Gqmf
zAqY(o80YA}<toNs!-00A64B!2G_lY#F*UTnnBrc?QD8*OW)#p=H~tHT!B<IxQUUm=
zFbfkS%v*1WwG3M0;JM8h)iQG<QwxmJo><GEH#-q&nT4LIshK6lnjT^;gLWMVWGfRh
zV^F&aR}xfPn`4BwToaPo(X$o)wIc?4hL+|gh$HSX`zTF~8AdqQj({3)hM?_9#P*d9
zKnL_0TY&D%v;>_Vf>}o{QAs0I#+t+uSd5O8e@(FsQfgTw8{yrNfG8>m1)qVTg&Dr9
zDH`3PaTn*%799S`Z36>K6VRF{6H7e{3p32EWV4JSaN7XQMWi%hObyJyS7(AML31;6
z@G17lmG1H@&V(Y!lwbsb$_Vh{D=-7S&e)dkL`;hUoRKJPY;PvcE_ew+U}2%5xtX~c
zs6l27K1dK_WO9C#lM!({zKjVaasu^X?QUEAi5yW$SqKr_p>1erW@Z99cMsIGwY0>T
z%2+92iNBJv6v`!`B@G=z<}lQQ-<gD*26yC`<4W_81w<CH1Qzf@(jB;(0-cPAamvqX
zR|6v}ZPbYcq@D`m>?hET?%>@F_(wI6?6a`2FvB=SoLKuHMFwbuoJjjX9Wiqw1N2dp
zRYdv^Qs)zIpQ(YKk)f%n1^QSrvGze06oKp`rOYxh1?}2_#HP8KA$Zj?a(a0_QJ+wm
zWfn`^5&_Uqn}L}rq^pH|^ZU)UnnqR{gi{RkLM;4kLIX1+BLl>^8%CROyRQcR?1um5
z7s5^4ud7vvEj>U3EKJ1R^$A+ZZe#+!KpkAYm|7ZuMhK9jYPy38p{Oz^tyc%S<_$E)
z46)JN4Bf_u3UY*Ov>??+12fRXGQ>tR@cFLDe&l&5MaV`=(1bkkp=xYtW&}Fv4QeC!
zwnk(deRU;`&`!a`7<n)t)k<UV@$yhB!EJA3D@D8|2wF+vXos<-p#f+iA;?M#b93-H
z4#-v-=!g>vR|7&*M+Rnw7N8MtP=D6Y&>Ul@#r3tK_;ViqgS-iMnB(?};Oj6Wnx+;6
zRyKpS0fG)uHV0jxfTb5(RU>3X+}1MuH+zFFBsMfP23<&OtY>ayh`DLR<MD4pd^Uk9
z8OZJw{I?N7iY;ij2y4s!Xx?{2JfjVWz$3J4+Q87z$i#w+!&uMIz!-B!S=#KkhB!u^
zp!+unT3~E$2wI|rE1lhX{Mr!jz&WAGC(yXLC8#9|X|aLpMCAI)deaL-D_qAkfjf2t
zZ7?=B0xg|~_DnENH;7hvf;*6)tCR^;gP^cC=Hf8YGcz+bHAbJ^`mFdEw*}yOme3ub
z2B6-RIcNsl$N+RLFxo)Rdf$77XuWLg`^79M97C;YyI@GfBop+)b3z?P6LZk`AFgN-
zmpOtv)S&$w{0mSG4b038!0QH#42_L2&P`?TIbcXk+Zh}~;N8YV4!l_EVI5Lh6}-%l
znDHw}+nM-gp0SCcF=oS=NXwv6MO-W0)KJgF(#X;bec}o1Gi}s`TiALb;E)9OLWmAY
zOG|T8jNQg7iS!&a^Ac^DiG{H###|7wmO&>D2qbzVGjq@so{6QNDd<8x^!~?}z!|s`
zJ*3k?WWoS%YOye~K%a;_HFF|SsSs)zf#E+R14B@E8&o@hyN+lDQ%pw(dclM|{D-LL
z2%RKpU}Rtbx-P}YM9<v9#2n)a6Pt(*Ln4Q|!S}%sh$TZ~Q}8tlmU>1e7UmY{>HXNE
zHr%lU%~1q~?m+=(2-!AmV2rtF^xU*IV*LYNK^lj5CBA`?nGtC8&%^?Bg|UGNx_|uE
zHW}hO2MPaO^`L8_j7>nP+Spvr(!$ut5Z!#;cNN4ncL<%w1x{m-lMk>CPh3*VCaOq>
zR3D)3JCOw+Xv2o38OHfo7m2hFJOl_X`0#HmH82Dvbnvy}Mg~Tf7_EBsfJ{TYBf^Nm
zGJ>rl6H8NYn!wTSI%tqdpxtF4R7Yr@-N4k$0&+-_p{bD(#)SlT%90GppJq28)Uhxy
zGXQlgEKMM{C8LimeYHs-vbhgB7?zlsD^t))F=Gpi$~gU|FHy-7QgnclC81<uVqge4
z8ri@IbVD;*vHLN`$q?@xBO;j)x+B8?R8WDA@WnAptDbIyFKZ(#BDBcUzz|-B7#Nyi
zmbp^7R>WCEFyR}RSb!EcfeJ%Ia})5W2XcqwQmYy6!X1*42@QE08kmAEFEcULGcyI<
zWQG<!lkS-k8$F-{1BoAA!8U1+Jn&$DLmQ{pz{@%eVhQCbLt_I=Gw}IIrj}-yTLrfF
zYvavRpotzx7n<O_fsuhR=v*BmQ$1sIQ!|Viin;xA_=`g1#sz^^j3MN-6kM$si+_^D
zB|XrI4tUE6Xgv&_LN`F4P%;UTFtoyVe>-^XKK`8#1_oxvpovmTV?9#~a|4VK{F>_`
zhQ#iCFd=k~34wr9*dStv)-T20{4*z*ql_&<BWj>^?$}m39P|*vpBND3kQsq(0r0kx
zrJk9&rLhru3q40c&=B8#R&Xs(V7S1@#2h}iY-VDHzJ%UMSOE8!3S==Qfdp+}X>4i=
zZbo2T7{YDNNnC<9CX@gW7GWLd`tpJqpGAl)O6d3l0|R4Y&=`&-=)?^ZbBr1`rtymb
zzM(rrxkEUqL7HHOMtbI!<^~uCQ2qPx*#K`74B;t5p?rc3Bx3^uWAG4#fw`%vG5QA5
znw0kjgjaOJmjN0OIh$w-Uf*Sm(Ko!J_S%4$5fM;mf{p~H)V|9^+6U=c6W1;RwP|q`
z<jaz;5gT{rLTLo!&dA6TG<{-hp$A%AjFF8mDQq`Do6Nvc`VnQ131}Cnv6-Hwg^>wH
ztQ|MrjL#lu(MPC+H82C;3T14rXNs*!dGYjOVuKD;vlCc;U|?uz0Xm!2*j&%d5_A<R
zS_`SieVzgS(=idgB50L`A?R31V*@=SGc!w!cElrri3a#j$0WfjGb7NjfuV_>shN=l
z#(<-FK_daHpk)WaQrE;Bv^Eo0GSBVIF(9hiH6w6;hk=2KIq1B2kVV)QD0x<-84x+@
z0>1g1P_2dETN_i-4Dd{vK%*%hbTdRI{^1aix1eXHU~5e*09mAlvULNJabZg|5mu41
zqYQLu0Om{ta{HWJE6o7cbPc$DZb*2=68;!7xu1$Z8za0%a7d0oC_XxwN^If)53UnR
z9H3*C!A%;h<x{UuG`_?E%~;@l$^;h?85lv@XP{=f5tb3*(m#;~IJa$r+Gj=t^Oc!7
z_+A_nJu@Q{V@uFfKXMn;Q8kj-paVBIi4Hmwb3=0@^hSouZWnw(he#1dggS=?_zzwy
z+hT2iwx|q}uh18R8WLPPZeV0?0UACv(K9i%Fvl3sjO)@TE&#!2wUE}yHo!83kDQ6+
z>s9e*VzXG1)|f#%Bpe2MrY6P);JsML)&=n@;j#|0#>||kG6NKtIEHviuL<EVGZ2}J
zaD`$DzAza)=LgzBhgR=9KjFY1Z!oLC@kV$}B@wo%V=3n$=@HE?0_SjpM!`)DK-U2o
z8|s-`7+Zj23OQGQpT=T<=U{t;bp)$_QzIkDHZV&wW6T!KwY^N})j#&xU`v9t!6xP=
zpzE|v^$d+HEG^N8^_^b*&_|mM#+IMKaYf2OA)uhdT&|3q=*=Xb>Eo>^jR+@tBV$nG
z9dvXLw)XD2kcaw2cJn|dED~&l8kv9xvn=!sEiH{Prmx;SyrqvPX~2r$cmskJi;)@V
zlqVxo&{g4<;Pq9=NpO1CF@3xZHbf!>@7W|Y)I@}BMCLD0iBB*P4GlqOdKiHkQl<tN
zmo_R@9oENxd<epK1XDif7!>fOKW2u8CT8eW=HG<FM5la0he#O^sLM_n9?-{o^B^L1
z#6ypvBW3fN0hYN=<gE6%Y&rg{W=?1;nSp_+Dd?0e&~i9)Q!^9v8R=(z3vk(x2H8qR
zVg-$5CjzpM+D~NQvabx>$~FeA$|io)-2lsk0<wMF4?pVREv&$+z)7+XW8n<4eNpLe
z@Y`oXvi~s7(?zy#@zEFf?K6!fbb=0Od%Lj#XiU_|QqRoP#Lx(RvhL2~8+!Op&_QGa
zVr(-qHwR6iS?GbTe#aPyzYu>|4}VdDWE;ULWMdN((9$hKLo)-6!JBEZJN1aq-QbQA
z!R0LY>^nQnKo4&XfbbZ&vy@J76wJWD5VS22l)Ej>3^C3>6K>_!vr>e#sGvujx;f{U
z=9Q!t#T%L@WtKp8aSCM-V-aX2BG@8RBaD-ZO-|j`MH?Q#W)Wx<ktn-BQ^#Ptj4h4P
zN9ZpaO(M=N!jq8(pc4c^*NK`KSs0^FHf-9^qiZFH)<T7Z9kggPG$5@rfqC9Ha#p^r
z-=T}QsInwn*c%vvZXN)IoVkU$A$r&L;>>njHb8wua1}3TF{Byf6a+(4ON@JbHW^my
zqD=*0O$VUs3kfU(g4zW3i=hR^2~oC@r3Cz9O5ofQ(0UdNs9%gg2>`7>^hYL9*Gdm1
z{*l(z!H&{}9U=lg?2XXrIW(}6&?!}ftaM!!O{y;m9mfH((#RZq_NcLiF~<0S;8j-w
zF=t6|tOJtHL1RP)mWC$iYkvD5$P$?f39i5f`315G(a6LIyqN&GNxw5$hJaTr@E%eP
zs(K9!U<Iq0i5bS++J>K8xNQKhq#|^D637SmlKCTc22v9M!40nl1_q#&iJ-h|W@2E1
zeiGFRp`SW9O1iXYu%`%5Ng5b{)-QunfRTZL0eT9MX?v+dTscJOmPSzUnLy9(H8L^6
z*ch)<_*BPA1ErL}TH=8_;>6TQAa8*K&K$GQE17T)cff&mNW_~Eu|gHp83qTOi7`gj
zdGC0SIPZXtX(DvQEI90-XPuZCn__l8-rUCR9q?)&qEZ^d21E38us`l50UHQkXklOg
z-mGh>XK7}NdG3$$ysfxx0H<YB!lfD525^)ZVU}i}AI~H*EgKRM`JloEY?HB(DSG=L
z>{AnQkq<gUji{&r4Pb!+&e$B|?%u5n8VLj(QCSmSfn#1OXsO&tymyGQ%ox)0F*3ni
z^S_m|fjG-R8Hboe2P$;H<$$pnM!WtMa~1Be17{p!R!zdn0Yf9qy}y$`RqBv(f*LU;
z8_Yh?y*KEqug-QA;Pw%?WHTqCCI#652{RLn0aL9KJT`#CjHo04Ju%YA#MlhITFa}*
zCq4-flUG4?C?v01V6^3p&tws287QxkI)(#jUV%c=63Y=);a}8o#ua!B$B@)94g>JI
zMv#5j4yao8TO7ZAMx@&Zt7Wkr;gs|DyEd-o3D|!mk5M725OZ_P<4hvCPvN(Z<S`>e
zn-bgU9G70N#&4e~>EQ`Vs-XBY$Fj3NTxk}5`^?C&4_dRBW7!^l*k}TN`^?F(54uaz
z+!S+jc-f^c{PvMN#%N$*0&UcogRV?PJ7Ub>M>~G|EI}6xlQO1hU;ryQ%}vd~6Boz>
z6H6-Fv~dlDfb)Z)0Yw&KYzybw+)BVgGD;Yj53y|u*WKQNKST{EJpfNYVXYe4bRCw#
z1jvXxrT6l9cC5x@S4Dhca!F}oPBe6r8KG$=P$Qm;)6ziC%*Yh;XwWIf^YPdP8zh0)
zMR>}XdUg>yfE;8K=(0p}Gd)vFb3=^kb&qhr8orgr;EimF1XenMEaBobHP$n-v@pWh
zxG9y>h1Ux3sx1OL*+4cJaB*5%=oy<ETNtCaNW6?%)QG>6gy5+JP}@w5^~^y@0lf_;
zb-qFkZ4emSlyqi15#u}HTggE4!(cnnM&^w6m8%iAmW9yS$Y9eAz;R>(x~vtwM^qhH
zu7+=Oo*BWpOppy+oMr}kmY@s%(1T24Y9V^|!4_oT!2?qv0vioMRvB<{nuC_ATAG-k
zkDKRbX5saVDG|L#P?oVY)3Y!zHNrSw{BX628Zld}!R3w-k)E-%&@(l$G{d-ik#U_q
zPS1dPSj051!JaYJGdDK1#5mh&Pq(fbF(>svI}XGZqM)`sIFiuPvuB4kdMZGw9N+~u
zxElnTtH-;|1#AHqr>Uu)xsizh#vx1gR~bks5=?~hi7MkPO!dr-%?vO$8Ro>lRkhL~
zeBhBG*l)zmuz`yPOG`Z?BMUQ(2?)QM8LGs@8+bQ7s9QutSaKNX8Jk;LVhm*WexFW)
z=fJ0Y5?5nbTIiXWnV4Fl7w4azO;yEJDS;C`Xnu|0j3_Al;OAnZr9=*)sU&y_y#6th
zm;}OMsb_9xYG#DKim5d^M-{DEgtPo3wpnCppl4)kVr)){UB)Ebq6rzq2NfpB`5U<b
z?d}$f?n|WnT?uWFft%6>u|kz3oPh_<?2tX#@FVJwZPsUx#h)D@Z9%ZrhIF>NB(W$x
zwFG8$1sT4^72fI_!|;YTlFgKaH}r4}<nWH{P*cTQ2U01#$*~&A*M_lFP2bzTsNxN8
zBu^Vr*XFw{ig;~C^0N`y$=kpZdXxupObcZ^QXw{`&FE}3lCR0M+SE`Fet;peufu*n
zRKZ)lB1N?&dA=swY9wEiX*FnNH0(TTWM4m)yH9jnlb_7s=N6G<HIlEXoVVQF?y8{G
z&A4hUGOG?#Lp}KUNywf~Y`Q^oc$<@*%E+@CDZI_8Tb8MByoNWtk!+@V`Mngc%}ANr
zn7V$x%d!x!%}9PWCNH9K<>}0TM55Cey{)E>uVF_BBj>D>cJV})-xQQ&WLb^mYcj1y
z-dc_9YYpZ&qJ2$fT$61zlCR0P8h)HIvaeaT`V;MIa+?WACpnX3HIlE*s9U0J`=W}s
zL_sRcOsH$~T^2>WHY54jgzT~meuV{caHsEkrc7*Gl-^cT!PiK4X(0PLrIvVKTaX#x
z<XVm7Ycj1yKJF3O*G~Vg5gpfLHiOBw8p+q>T8(sw7qYK;Zmdxzw%<W+i;!Hak$g?%
z7U8xpOO=UiftymdESs}@0bZMt{A@~Q*0R)tpZJL!)0qnkiB8}2wwfxwhM%2??CbeP
zNkpe_@=I{?tVZ%RnO5U!9P$2-C)(F!#x>biBl((qtIds}=T;)e^~`PNMEjcD$^+@h
zOp>fd^0ftZOO$P2RPmN5NbNEzw+QDfSHNpClAoz;^R_Qbm540Asa$j1Wm$;VW+Xq8
zS6w1cAR(u)zyvQPB32wgM*R(_p2YBa8YziUIdR`*QN(LAQgD-*qml3BLk@2h$5cgP
zoBrg^bs${{NRrh^z9!#l3rpzDM95Z)T`p4~wmC>|t5JPT)WQJd%!ItX=&gwyyOl<A
zeojtiab|v=OKM4Ka!F=>o<3YCJ~^)>+7Nt18!<aS3=Fuq3=LotmS}UoVB6H;M&q;%
ze47<<YeguwjFjW}DYgu9vL2m$2HqM%<YEroKHHrjMSKb&a)A#4+X$x+aB?Ja0S?8M
zfmajJ%QDCr(UhhTaHJ6qM)1-iic`oUw=HbMlp)aT8i-nNh&$4VwGEop=wusp^4ga#
zn~4fZ@KPp<<BeF`s8d27ELzQGML0=P$7^8Ah^^L$*_4B~S_9ig*lXYgVTMBGq%T83
zuDy{PDQ$)OSqX<JxFt;N@^WOWkQ)jN3=9m!WO8uHY%Ekox+hVyJhC^5vm5M9&_027
zMygwGN~p~Ovpha0zW}u0s30dZIdR}Z!7z5<>^2%eyNw6XZj%ADo4oNO0y&Ogi3UmZ
zWDU+z#cHEip*HI0brYiUx=}1@RwrXgB~`+Jycu1pSWVtgEmf=@!1PYuJT_H)P2M;f
zRjej&Oo=L1TMl3@w?xb3VO(tfB^jwj#a!IFMusNlCKkqKCKg<*j>VOE$)H2PpsS_P
zTTu)W3<|6o%%<R$j3DY}1$RFep$H<)MGa6;`wXASVb0FvEcHfqJVrB26V>rZ%k^NF
zkwa<)gIFQz1Xe+2MQV<7PPTq#UU7*&H3JLPWKdv*5*ZE1A%qbL0w{-}fgBu8q_G5I
z7u8^pdx`4Yaw4x`2f3D2f)kM#HBnp(*%^U;4mx;m80fYOB6hOiw@rs=+d%tFsJUPt
zob%LCtVc<^NF(=Do--i9X0uqKV$%CAC_#>qVU<w)4B^D*<(I_gB<7{0rhsxQm2xWT
z1)-pXPpx7N)nri0r%p~qIa3MbU~1)56oWzTC2k87j$w013PLe6skEddKQF$tAig*w
zGY!<^Oo#65mS6xwMhQj*1_dTCW-@AE<YEwFkYZ3OE=n!PFDi*I$S+SVQqWDy%t=)U
z$uIEDPbtkwg^0!%<(HPErsx$Flql#HBw<Jwm*(as7FEKO6(lL>78O)rD1)0)p$l?9
E05Y?toB#j-

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_power_summary_routed.pb b/game.runs/impl_1/TopModule_power_summary_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..9a0611f65f99f4fe173ece59b7a205caaa296bfb
GIT binary patch
literal 728
zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n
zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9
z$S+bTOHD4xFUm<RE{0pBx0LP6p=i6KJV#>>SWe|-U|?W)D)jHyd)uwT3=A<&t&)z%
zRjjT`GB7mQFO_e%UvBYL9;D`|>Xt2sEvBl0*iW@V<W?OJ*=htLuY$>?7O%RF+kUlR
zU@&nyY6)UbwF0r9T7lGTwFZ$#?Lg#Idl3251w?Lj1(B_8Ao41hZ1o1S!Q|3F5c?~b
zJQ@ySPmKVPPh&%;y|vvM$G~vRsWk;8aurN2%>uE%g2|%=AokQk5c#wWL~bnyk*yUV
z@+z48S_xtwtpbs)wFOttJ1%Wz^bpN)I@-x-_rb^UX+Put|Mm5)(-@_gCOIsf!w6D%
zXb~f0_=6RU3=QiU84m4cWb;i;$t=x%bdZt7BQrf?(;-Fym(=3S^gM;kVuhmo(vsAa
zrV|jkOD7oBLW@(26p9N{lQYvYQ&SXj^NUgyN-`4j6f8|u74p**l5_Htvx^s<gPHs3
z9HXf(ToFV~W?n&QNwGp=QK~|2W^r+5Ub;dllCjC)gmCBz%!o->8THVt1*ZzIwMH-_
zOHzyS5_1&t@>5caAKijDc++i08JE<u%;Z#s-29Z(9EhC(MfoYE$)Lp6@Q{%K03mkl
Ai~s-t

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_route_status.pb b/game.runs/impl_1/TopModule_route_status.pb
new file mode 100644
index 0000000000000000000000000000000000000000..c2fbede3a9328c2bbd087a968836fc50761b5337
GIT binary patch
literal 44
zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgJcWy0(k}i84(RX

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_route_status.rpt b/game.runs/impl_1/TopModule_route_status.rpt
new file mode 100644
index 0000000..7279030
--- /dev/null
+++ b/game.runs/impl_1/TopModule_route_status.rpt
@@ -0,0 +1,11 @@
+Design Route Status
+                                               :      # nets :
+   ------------------------------------------- : ----------- :
+   # of logical nets.......................... :         737 :
+       # of nets not needing routing.......... :         349 :
+           # of internally routed nets........ :         349 :
+       # of routable nets..................... :         388 :
+           # of fully routed nets............. :         388 :
+       # of nets with routing errors.......... :           0 :
+   ------------------------------------------- : ----------- :
+
diff --git a/game.runs/impl_1/TopModule_routed.dcp b/game.runs/impl_1/TopModule_routed.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b50fc9994d57b340822d433b9794eb5cba3763ed
GIT binary patch
literal 408338
zcmWIWW@Zs#U|`^22=0gp3-X&fO@xVo!HAuKft`VYAtkv$uOc^R>eSf&Sq>s?>tC;*
zQE)X*hkKoT>EgVGgI?VZKLWmYF))|zIw2sT^Y3@k(%h&OlKLJT?<?mQpF3lj_%BF4
zxS*?BXmZZM&OHbA>aZ6-6>!hDJSfR}`~IySX6ZQ-lMZs;Df*D7S3Qfb_VDc!t9W}J
zNa*iaellcEt;o*f-TOY3-2E?kWz{*p6QX{C8HX889$0nYK#zuiyMu&nfBN~yf;Sw*
zd0HQS=-QYgT-9~kXxk4F1tvf7x$;flCtq~#c-d}dqLCpT?ta-pghgs<{QS1X?b}qQ
zKUsTkPcFx*OFy(6V%QR;yhT-KX@+}VD!J>%b)94LqO?U5dX9ZvFSB-2TbR^^7Lnuo
z_pdLIV*WlSepOhmuetrtzSk%3>=*r^y4LC6l{tEjdiy*crC817XtJ1B>Y2Ub{t=mg
zQ*N6=y{E_RmdKGkw{&LkzK+i(7Ml-#3-}m%@>+F8VZf5~eQturD-Z8D{r2>-l*4?7
z`8@*^$|h}|rnA-g;c4dy$8%ctUfg<Ja&~y@tyQo40uQBazqX!lO6`g~Q`fJ&nTySg
zlW*DnUGQVd)N;q!D+8+>EV<qvy0Pr}w}dWh!-B2f7e4Wd74mvz9odzq75~q<`_gvn
z%(h+Ga^cryzCYtli9A)sT)ng0(&^dEcdyqhVVoUpEV4WMcx1?ipSd?C?{(<8X>Yyk
z;djM9YzOPLIUlFJO*o%ayMJTE=i~PEho2^VU`9<i@*fX4zu;wHNcLi2;ALQ72+1$-
z%}*)KN!2S#Nqid}S$zANs99?Cw)HBvcWz6T?h{s-y!3LPN6sCa%%I#UqS*^wHT`xa
zDm?0P;bGEX$m&$@ug$lveBm8$^)~zWt>tCAd}hD&S^k&zulUR0^LrmK2`PA-nxy0Z
zJE6O|_?_i*>)W>Cdt$EC`0CzVw`-?GxY66cwvW;-GwphGn=gNoZBo(xzecU=a&DfR
zwbtDC(9(-*Ll0N&@~~N)w(|3`u-aY8@&f$#ou<n^ZkWOSEVyQAmR;!g-t(c2zkk{7
zdL<iGw>M=*N^Ca6bFEndtTCr<^v(Zy#DMp;!sm?}m%l7?6H?LCO)W9d+8loUY|ryB
zW8c#m8KKwDoykA9cIDKmU!Pu8EzQkKELP^VsbF2wS@AIA(l)Wvih<b*UWZO6cSqg0
z5qCf8R!F${Gco5!a*Y;}`m&LCt~t#&c*=2Tn%rt`>FlRF&u%y`w}{j8z~{p)g4Ye+
zMy=no^XSo2-)f@8?lElMRFOJkNk`<;sh8Sz?XcaxYs0RMA2d>Kxhoj7i}$N-`1G>s
zM!JHxQ2XhwX2~Z}=GGsCZcIHc&o^0gBlop--zJgCZXO#Cs(oEz@2p|ZekkCdaZBrB
zg*$O~xfEmACyV?km@&g*@}pNBSIY}4YLB06j^W)cKm9_B&V%<dt|1aCxd}mK70lg}
zh1ce4`HHoBbN{?+EERW5b>FjV9p`<7x#xGVKC8=$&fBrY;`XAoOvxsD@8y(4e|ItK
zws+AKw3k?OVD+xH$IXp(3$zU){?0g+rZ(;15u1M*8(I#k9ox<u75a%I)bviPXxamI
z4b_K_5;B_wrpfDWuihFWac?y{6VG--8~z(9fBp$1woSGykoqz|>gsCAt}S0J-@bh)
zJ7M92_vx?7np0%LKlfxUV`EJHzx3|1r>QsO)^^P_d6A(xW9kzpJMA;;r2J2Qn>2yB
zK66?AflcSWt*fdlZcj4HEc868EEc}Q<G#D5<a73J$p?Q{-7UEBiqrMLPDT$$ZH29~
z^QKlbTvhsgAo<3+hw_^a*fQ9sZZ!J!%IadtqfJ`_#7<eHCPvs5>Yfc*eZ<7pKz54u
zBa2gtd12l^*%K=G#NFa|GZ#mn-8y^PxohcxTHUP|d*|QR%WXVaX%Knn(Tl9_SyGq3
z=Wa0P50tA+ylUp#u{n3^v*{suKc)%XTzO00X>W$)(ocuk7j`V!H<y*g@zKfFLZS2b
zKGv+wnzYScWY$;Z_3wC|<WzDl<*In6q2aVSMqB4-;hBu)2{%3|EeP0PbEGd|^{ZnK
zolPc+E_i+{``yccq>UQJG8ejdRxjK1&p9}$MN{!n(?3gn1J{#%nO)CcuhMung-@)|
zhq3SWhwnC5Uq(!j4rVxV@RF&yMtT<G-Gj#jFKklypq69hC1*CbkR{?Qo5^>r9L`wf
zCx*QZ?5o(`?g$oXZM-R=e?XmmUt;+eZTAcOEQ^KP9?f8ivOM|dgs<`u39Wt4s_%qP
zesOKm%C|SxJrkaLHn`x!y_%SZb;g}~x?!iZo+wSbute$E=c7vh*#4hc^7y3N>SIQI
zZ&!Xhm%ilHYW6IHogAw^vi9G1o*WdYap30@yZ<~<Z@BJ8m2K6^xpLv*!_86r68l+u
zT4Ut;c=A7;Z+e^D%z0*tSk#=Py5k=tKWojJ(z-|GM8&>-$ypoUt~#jfY8n#yN2yWy
z^pe~rlbsP~4qt4je`acO`OO2a8MoIiQ8wsY8J;%n)SItY8D@I=H2SdqnSWYT@%R3l
z?V3;i9bWf4G?YV0;k3ZEgMv4Bl@yvPb}BTUeBY}gH?5{Ah-n?q^K)B!Bzpz-H17BA
z6>MqhIej?Xb7Ny);+d_*L1L~eEp8}RGwvxnB%X2T`>~pUL&fhGyp;X*Ec2dS#Ky9>
zj_>Ey)iC<9i>}&yJ@iL#3FDHAA0KnF`B)FFOB9s*m*IQ4{=<XnX>V80b6$09o#Pkz
z51YTZO5DGh`!mwLxOSfXif5*Q0)JM8PgYG0d-9Rj<f+iIH9mK=_9-l3W%OU{&Fwe2
z?~FuJ#o}z!$CmjKyDQjYFY<~jNL91UZ)U#U^KflM=ya2gr`c+;>_KJ+Q*|}hFL=4u
z%IDFp<L0)D9#1*+H;L&k&*Kp3Bkg`~9$Ir$uX|~0_~)0}$BzA3*L$?)%@F-)TGIM+
z7gNf&2X?#OUVYfOmp`^cZ^mcGV}6&_dw9&Q@IG2M)ocZ?@rz4+fy~DebldaR3C~{{
z*=+Da_3WnEbq>tM3%45HQg)Kr^-FJqx%BO6-dSzzGabHcddp-z;lUnGu{D|OjT~wZ
zbH7v_W=Q^<HTOmFuDHy1ITkFlR=l+{EZKi=<HA*yDR;kl`(BROF5cV`bnC`@o%#-e
zGlFlI?Cf0@^=`euhnK0Ayc5NhF7_T-dO>{0>9tR+kJ~zkU*lQd74IA_TP>e;^5aXf
zi(d>DefFrl&-(R;+OBO!%Y^GD&$xB4>1ngbJATbCtGs0jBCKyn$tdyJ9zML^YoYhm
zHon9B2krfj8h_ku-!$#HsWk6d+b8LzhcB1;FvV26O<nPOR(4?P=J}P|j;iO@xE(gU
zdLnb<jOn|UyY79(an~}mv{cvkXi>bK+hx8Z4Oyvta<42ky0}6)A~!SrWSXf|wwLo{
zMcw^RAC^q<_I5QC($mz{GJH78?RjlP>BMU*5Bybb&6~Q?S8sok<i3S7k3E;-5fT%5
zd$sk`w=jis+e)S_+kWAz=;_aQ)24pfuy(TDvkSTg6XQ3mm1;b4O|igq!Ddws-#4?@
z=z0Xy$d<gdJE#yd<x{4jKl6VUt&RTAeIGQ&%%A9@x?beToJotd4^En96>wzzCG&44
z-Wu~#FW<Z9Gw<M{vn`6%o*)0%wW`Ta|M_+8QAQc{hHkTy2Y0v>%Ea86AH>1fu=c0|
zm%e(3{qhST42x16>@6QZ<0~-wzFlP3vClOpx8#cd5|B;vi+k<)qw9AzS1hZ_(^FnE
z+apivPd&e4sq>%He~nx7KG$5>5S1%Y_OnRV?2DY=)0PjN$9we!FLG~r{o<aF%aj#r
z)_t>rOZ=1;O=omC^0u=hZcgl%F9k07YD!j{u86<v{%`1Ve9h|;n<*U{c?UfYP3cSi
z<Ka?r=ak=3*UpZ<$m=gFGz2fMj3~HM=j~#$EA~?1?a~sE=G)8o3o4WrxxBq(m|@R3
zUscJ9u~z;4QWuxwYu|*#OzC(rqjYXxdz+$E=((GY^E)zlEYsO*l@@(SiL8kHpsLh$
z*kOB*aofV{pF2C+j=$h}<n40he2tdC)8{Qe>Rt3L7a3<3J*i$YVR3P$s^rC)8;fM_
zyTv`wpMLnzk{|2Ov2j#4>P^_OKIlc2z>Ig_dj;18A6#amZuaf!OQnyd%Ac;jd?{Jj
zvo1T-x8cQ$(BefME|oei|GgGk2biv2;<kU8dQEFw%0unavx@g5x7RitkNo>ZJ$8T8
z&l^|gwQP<kId$Q5!{&<yHFbv>S>E0jac^)ruXCPV^Y@+!|7=^=ZQigVws(fR`TPU!
za#ODSs6BkCa(dE{>4}~N_8oEEn_~B`3w!mUJwJoJNAmLRgAS{2oRyg6!``(zC-#S?
zZFNklcGdiQZWa%&e(P9$)x*Kl(CNTE{{?pMZsfA%2_MWgev=u#BjmvPMd8y-DsJdM
ziam1ix$bRt>j$MJ%dfkBv-3ZCdtKklyAO81aP#o<C>6W!UVdob>+K9D62E_)eBW(-
z@X^0)-&Xb;zu(|{UR-EN%gzImg)xHZd)nW%3aT2lZRAa>VZO_oWY3{sz+x$IenNNp
zi-yxrABVPF+~1bhe&PG^hjLY>O*<zSIrKbuBcN!e^YxML^p*DlUVVAp8+~`<E``gt
z-Y#{o;a<DYewFO)1x>qFTl+7Jh%ozln<-KxT*tOT<PTq!@}rd;vwdzV*>xv2*NJmQ
zA9tyc;VOUrarOna>Smcj_6=WDy;@QY&aHdCRAtWHEm~?1dAys~9aXw`d8<On-?owk
zPRBW0x9;|txHEqF1)sw=LuIGGaJ#xaRx15LKz7c$(Ayt-?)N6#UbshY>g~j*7ewy{
zb}n7LVd@!=O&Y1z@2Yrx8P9$;eZarM)pgM#iLZK9ORMcqZpbPLUov}QOvO&UhOR<!
z2mXtu%j;gOTxh+mw%kIW)y}S6_+3trTGh|*cRu`He0TPC{i&aqSUlhBt)EmqVP1Rw
zUFYqI$C_%sGHl(I_u8daVgkd<>(?)C;a0t}Gj;ZpQ>$NeJ<N^nnIF_%C35L*mRXZ<
z{A;hg6KC%hXuVt%(6Ew!BJ<+f<CYiv9(!+1|9mI@!I7<=q3(M3ziIK#-~9Q)8Kuoi
ze=}>NzpR<iX2`T`!L$?Krnnzn#^%>=u6$Yfuj$u@kA-R;2Tn!?dUi+lIhRXtZCz?;
zqEqzWvj5&IRlT?G*rxwGZ2VNVB$>BLDD(2IUhfBW)0`%sUM>B(c=esxFO;$u9;lsM
zbouL^(`w=Onnao(Fmq?m=hU&;<*+-&i2dzUP8oa8h1{#9U!P7tv1I--hx3Pf&#pC2
zxh%h1-#ue3%SzelnI<dUPi%Rv-@SWdYGIt-ro}<u1HU)?`Yy6-yNA!)3D*<eueVYC
zy_bDAqnhSRrrP;-O`gXCS1SMN|NYdp#CFB830mt)=Vg~JdY7Xh`tp6oA(P4fG9EYl
zeWTJ>bV9=*i$yuOL~ue=qxLkB*sFpPv7!?WaG9}h)$l#ec~g8LOZJXyJTgbMFJH9j
z>9TvjMahdt|BdRQn0v|fEw`8#PQR6&y?(m#6uwUzmhL^FJSE}m-fsrdje6pm8;>8E
z5Wnam=gQap=L~{sCY5;Jc^{Oq>#NC*TzRckO1j-Q7R}=7>e{#6V%{t*o>ePXE?Blg
zE$YL<PtVgXTzU4mYnSJqWiPA$v!ZqhSHHDZkP2pGSk}eHz>m@;Oi3-vEAH9LK10U6
z=(+ZVVvmCV437I(FAcjFw|wQRJkRn=776Y$2@NwDIp@uu{Lr&{yV3Sr%dPi*e<!==
zd&~EG)dKe>ewfF=;ok5;VbAxzBd6DtO}#oX@^kI(H(merwX}^^8)+}93W&Y9C35r5
z<Fl7r{dx1;{&)NTuXRV&|9{%N|KF3ppZ5QGz5n+U{(JiWkJtaY9{=Y}{{MH=-+yMA
z{C@hsKhNK@KeONezuW)c&&lzBPOH~{cDJv37GC!>JWjK6<EQ8I|NK?||H1pp{*X^G
zE3a=-jSE_IFXQe$=DO!kCq4Jx`|8`*hI^mBUgO`A{PQ@c!P|(yqgx)&-y88MXXUM*
zZzoL>_cH#y%yeC5{QB*|Rqwx*P8I#(yS03#-I;E#*Sx*;(GNF0Fj)U`?E?eft&8n^
zoUGIMKfk|{c<Rcz{FR5Nq<-_wnq%9u{(I*AO`=h+(wZLsZJTuJ>&Li&x+>@2f9D-~
zdhlc1)#!sC;{tuBO}}=nPUqqqcGdMMX3}0;fA>3;wa<#$6TIQH$^WYp*8behx;y5x
ze0$E>``R}|XYH5YWcq($Uu5~;`!8l}j{U#g*E>#c{gv8F*WN#0%YXXx$2C&Zimo0v
zFO@fn{Zcafs(i@Sm8;jR%lfS7WqRpb`w!>4S!G9_tX9>3`8HwAKL>ud4PRgH`q{tw
zR>;-uS;38JmEQ7iEJb5m<t{!CwXSmB{&{!S^{xaL?=N8ni}bVI@AldUJq%qTdoRE+
zB;^mQSKQhaSvNPWTDZ!$;E2@S2s4d!vpKHJUtt@i);#%w(hZ(tze|rsFZ&Yq`MP&q
zz|wOSuA#A+k)^54ecP-Stz>jq`zkF|TGcFMo8xM8uUVm6SFT@^D|>Hk>BLp#Yl7QX
zaXDpvj`H+;eeLO5?Mmm&qwPP6S+kff_^~cy3h#ZqeAVJyZ|x7_r^0KW9orh$8-M%O
zsWSqPu7~*Fz81Re;_^j4bC+%_4b45Jt#x{9?7Fr!xxZg!)hsCgxnkR+#Rt|p$u8Qq
zE-pVzD<FLJ?5mFUled4#_!G8y(z0F5yr%MD*RF+yhAi@Oo!Pl?t<@{{r6&`9`!~G}
z+3@%HsmF`G_I{uLZ^06k-4a{=-n4(<IQhBvER_$Ne(4`xtv|&k_|yfL`U0E9_AK+R
z$_t%;$<KfOwdjHD_U~I?K4$$J*t+E3i-u)Y_q2Yju4-DvEv311dg#H+pSP6mOz_BF
z$b9cg_@<&i3-{?62P-wEWm#-)<NNZqN%D-_*7Qo%I^X(`#@B4CZaomqt!tQ{vH2IX
z$@S|sU)gm}i>%68b?e&gSAQ0yPriQT=-jQRF0A(4JSm)c^SXV@a-&Th_RfBi#&<aW
ziJ7I{1;(`J;;XJ+c-qru6m!mO(VHCQ+F5&~Wa4F&8~6DdF=j00`ODR@u%2<F5s!vK
zUnA?0(*`RVSlGlTXdGV7s$#e#p+X|cpoS-VQF`@PcC9$u`KOvUKY0CcmFEJJA1NB#
zc9y4_{TsP#SIK^8`}e~lqII+QSNYYaU38_Z7Omd=eciklOGQPua&9@7YqaHLZqbz|
zW~{fKnWY*`Zt~^dy3<#_^q|qAbBTNNV$a_H_UeUbsKBi?UJv}6{M+tdsteU={6FJn
z!VmwMynX-Y+!V+-k>l}a`kA)&{XE~E{doHz_<?`3e<y#lKfgTdd^;<a`JOd0HOoG{
zZ$5AGuUYEX9jCV4RX*BH6Q}5Fu5&A@n4Hj@*phU9WiN|b+I9)G)a@2(>DyVBrEFJO
zmbTr-=d{ToL!-@#23ngH4XrjmO!P@ReEh~4H=)Ny(waTzbCwt?W^CN{DA^}}(c}Ls
zgzA^F2d`cCYH_NN{Uv$LKM_A)KYhAw)(78J1@4yii`{;?2~XK06cttIug|gS{(4jW
z%&awYcdY088526Y?UF)lfOKj=`3><^*{AFSE+@7vJ7{27+8}l3%G(tOS6z|k(f!Kq
zuKic>L-5~+4^{s@eC#T^ecpCe{nTl<*sD(5zV`C<<Mme=Z(R$$vSsBFpIU~!Cm!W9
zZ46>BaE<+^|1>PRX3?{pa{(V#&3iUeRQ!MF+{<T{F<t*+b%SsJ%D&ap68_|Rp8WhO
zxbd~si(M@L*8WTQQU0s>@%b<92j;)-kNVRVRrX5z>#4a1?myiwS+`8-WS;tm?xuqu
z&a*Z@p3dt0;J=oH(ElI<n?L899zL!Y?NRx+HDSqzdhs5UfAechWd7^&S1|q0KbY|2
zK5O&i|Jo8v|CeXpoA6?V_<}W07Y3jBbkQ<J^`dIZ(hH_3OE0eVv{P&RKTln$@g1vu
z>DezDKRo}~?0@_4{pZ_u{}lJnJ!1cCd+?9tf6Ao(E8ouApmqL~ta3z0`s>?H=e!<l
z-f(h*N=}gu?`)>ihn5}rwBco<>y0lPjdIjBYUM23V3o6Mqne2F@i_t^>Up_0QX<67
zEbaJbGsU;~c79E~e7J4H%jD!6K1snhd=iUq_#}I4xHaxnT;ccR+J=)GbaRSw_-8X&
zA6j<g+=iEl;Wxf)w9Zlcn0mt}(f@`|a=wOJXQiTs@C|V@$$eb2Wqu!CcA#;CW}!h&
zm4%2|tqk96&l*{?U<)C$%N9~y7Z3jt_#*T}EyqZPd$!E+!^;kIZqO_=%BivtHLIP&
zH`{X#=WNY6yt6ZFq`NL2ZxZ+-Y$0wYd9K~Jk2^8=LDGt)Dq?MGM8sOxn25brahuM0
z>X2$}`s(#-59!w0|MpnAV!v=r(Vx5znjfkUE<f^~eZJg3g&$u&wjWG4THza_*Hgb(
zET`1kN5fd-;+3fI@0;W6g8r}n|Alkj^~lwF+Vd}8b?}|J%WS^+>c;e|XBV&AwJPRm
z(=R)roNdR9UzN?wUUF7_|B*lGSJo~O`N!>O^mos{2QytFAGrQiY~DSm>r-l|cuMvM
zw!7!URt4soZ*h(mjSQG%zGC(x`HXUTRjpYIEb=+>R@E<$WeUD)u+uC=RBTJ|(%WZ6
zrZoP&|D~_>@QdH;y>9&v`f9#nXVaU#vbSFL^HsRI#!owW$5_-+(mnXE;)hxPCj4mp
z_kp2wN=~M{$?ss%z1u>+>=NMCs+IjKpIL72@xFPMz3^Z4TdLx<PSQJH{CC<e-SscM
z>iD6=lA={vUHdPkd%Md|5qTT1+&*OGibeXz<+T^jd06`QVDp;gF)5cKJI%kgw{I_b
zD%17veCf2=o%`Zkg>B3)T>QVV?7Q(*55-^qSH%`x<PPm>lT%?i``0~mNvoWi$(0B8
zqI*j2G+wXQsCenlb?UXf_?|D$tp{G;7uQmpyY;_8j?o{DoT5KjH$;9EKIHhdu*J&B
z`60)yIXrzI3J-eQ&kBh9?`qF;LgUqh{lc3h>daFL|HPdT`cZ3Zu+#Wwquk>QGylA=
z($W6dXBYKO(w*U-%ke4I=PrJ@eCZZf+w&54uII~R-bc6YuQLq(v3Iq7sM(LW6_0+a
z*S?84`rW=H;%C!)@s2Ci|6?@1*F|c4FZm>z^Q!25eAJ2sT<61@G@hBCGznSp^WSnl
z<BCT@7Jo9;=1I-Xej%f~JGQO<EVI~>jGJ#aDY%}NusLyR)9utB_bU~emgww`ZTm00
z>W@{M_I|&Ir`B)w>uY8Y{vVeA;z7x$sC#-V)`V1k-zWFw&gJ<D7lbn=UFTANbaTNA
z?NDn?=j-=HW$Z7?GwokzuTtk+U-84Ybk}D8uBMF{D_8G6Gv!ynQF$ASOTk|HheYk_
zynAClaA+DY{TH_T$uf~@)%d8g%t^P?v?ia){jAV`CUpAU&$o?ENEd%iJ^yFh`mDNG
z(Ulhix9+nGd8f4YTIggg#a|{DKZ-2UzZ@9$FyCa|rKdF;4u+_%zkN|O<Nq76VAYy5
z@9Xbp>MqRser8%oYjxV`VAtvk9O10h8x%t}%H?>3u3(pr+cqU+>x+&vZbs33tFlaj
z`BoK`Y}pt7?DfTu=l29QeMsJ>@GsMHt71jO5-#(<AvuSPcd1+pcYVDe%IAre*D|eB
zF)yw3;&=6(pT2J9qM+&Pb{-0Q#vSc1y3J8J=IYjiZ2_sd8x)H}*Dmk6m~fc?)u9C?
zSHDIF>^gTUXGy5jI`_S@cBeu&6f-T#yIIV9iE;nhb3xA{6EAq{8+_(o6|&i`B26M9
zw`o_|FXNfJ%C_taY=3>L^ZcIZPi{|kCY8zcR6lz=>AG^ic(Yo%&E+*uuHG>|<}mY<
zPhh0Y%bD`i_Jn?UV|e?^jRz|(x=(Nn-dqwa9}>20?V7W5*PlEu^6F*fF2D5$XQYSt
ziP}uzDVz1PN2@TqNqusoZRq=nl{%d_Z*9$WUAJ+k){kXB-KR8upFj1<t5@g0#GDkn
z=KZCUm+SS?Ux^OiEYmg|W~zPp^z`dg-z!RzRa+!?=v?uPzx{3J;&a?gr>@*NJ$r@R
zv-uTl_qxAGUNimPrM60W=JWZC5i%k7w!T<!ZONa_7v3nm>U%Q3gYBMaR?d}Qe|r3+
zwM&&bLrb3WF7sMfQ@C>7hpUR+qFn11$iz!;YSG%jH7(?8=)q4Rm7yDILSseOEYVuW
z73Os{j8%KB*Xo*t7}2oGC8u`R#EObMi*^<ZFAX^;w5mrdA}BPF^>nan$iYvcyrCN=
zt!mMV&<u4HU9&}N!KcPfr=KDs&wo2j)0_UOFG$q8@^J9dpnD%e7fvysw94m{v}UNv
z)7~vw6F22ve{uWS#0f`38bekn%!m{++^mw6=6U4Iq>h=Yg2vuTY13TJ=yXCP9=Y)@
zIhVMDElAxkhI!@Y3d0<((AzCOou?BoAD+75<>RayK98bq_&lt-;q%x{!>w_);tId#
zYd4%Mu+AyE!#SI&{P40PdpEp%Sa;*gM}-`<j|Mr*K4|1D`)DDu_{d~|5Y=DhiIX4x
z-f;4xNe)-Z74O({Q(lOe*_QClw%gTmR%KV)S&?0>XH9n52X|a_?iUDAtvbKq<OS`V
zqAz^2nQ9L$bGg6a<-+(IUoP6`s2M8cEHg03S!Spqvbg`C;tH=X{)g2LPuOtM&?JY8
zhkNUkBOfMAJ=8H_>ai0EYjfvnUUX+&`y}71_=@k#sc*ys8$WzLz_+i5>&^apMU5l>
z1MjYHe!rCIqx_WMujl`*`ZIa{f14F2zB)f$pp?HV_{)N02eiGiBNwjX_{*Alx@+6i
z3dI{M)*jh>&@DFg!_+1FD%p8MUWYF6OlyA4eyYlu<!as5eJ|q9<Wy8>ZhrV!a`UVD
z(3hsWwqC#D_V;|<KhC<POP=iPulv^RZ?HId@^-IT)2~k3mUqE(*)G$U{jXYPbxk>U
zMJJ@vb>qB%&rK{oX9a``O!s;!T-l=77$V%k)wOzS!==_J5slbYR~s+cZuJS+wf-8n
zm;b8P=1aj@tP8(viSpvuTkEuz1!Rso+oHWvZ}Ln6zOwS}h>G=4xN^veX)i;dW1;D3
z&Da%38!j!4GS;{o?9IJ&wNd+($yo~*eVGz<UL$sWRpQ<^i=+N7T=z!(Si<v?WuKZq
zI6Nt>wN`K3w|R2I>S`O#mR+|eJFNO`Cn^%2$+eVw>c)UwA)1U!UoUC9R9ff!((3BM
zlDS_4cKJ1M#KzuK_n5oVr%i&RHZ^_;V{j%L=MFCm4~39dj!Y{j3214o`{e2+uC;Md
z$x0&~ukRtNTwm@xwNt}(<tBYE|CJ^^mo96`2dnxnm|lA)%%s4l-c;p>SHz!J>!<!P
z5IE)iIwUeUELeU^U_{Zh;}r%ym0#6W?Tac^K9tVsKDGIAj0NA(og6Zq#S<+AFDv#j
z`rege`kQQ{^6O|t#S7`j50h(rmU#Mfee_85>T#C})|G4Bxzp}gb7FVu4d>6Ld2_fA
z@39c?`&p>R#Qi8nMeJxvM{;^k`+-9e{GF<Xa&l=$Dt^q6d_3{U8i{_9wBr>^4Amb6
z#$Ud+!$atY&avjioRk~gHbOH5o*yzWJlC3BG^hK(77OuCTSM7L5mJv8H|QL5PIB#O
zZ=Y%?XXkdTLdDSPm~v~Np<HIq<_eLp#=VAeT85i=sxw3_M4uljusYY896YD{z$**!
z&aH;Bk8a36RxD6B=KLt3r@j5Kp`6{mo{t`nGJ4#(jwjh%viSL`-}~O#>J?g7cen^u
z{MplOY*X>?@1*Z<Y#R6JTgXbt?TUU-@uW<k;!)XzALnjzhHlyO;L)pd@1F=U&$)Bh
zLH)boiIbBh9zD^ldG#cdN3Ak(TD^ate_~&`%K5~Mw(mvOD^6X0d*I^9w+bJo*qmHA
z^X|=?o_)X1`EivLzSF;W_M~k|d6B&BoS4uJe)F8<)%_QL=iX_uf6uRG7iXs3pDXJX
z4Bi;AHp(tj*l4R`aP^p3aKeo&E~eSOj!9QnpY@76%kg#9qOjha9t|F8rLF_wg07E>
zoi83-)2+dit<-hkV~5g5U%La-mgdgTs&_Nx<(wx}W&Stt<Za1?t5SKrThslSjN`Ti
zw^i%^%{pmqHtWEa>9R8tcX1c@6el)L>^pJg>%U2JxonC8I*;?cdf3_g>&H3A$uHh?
zM2hP1t?CjhvUs&xt3K-LtL2<MMTu<}Mf6U;x1DP>(Q?fxkyYV0PfkC;#GV@AVK#TE
zmUCHRo2TBHjn`XQzga3hj(D<wDOn~YX<u`d#Ipr<ZPpn=$}%Rc8~IiR-I`t(@oAdl
z>gelNu7+>Ri~eu;Yu}f+le3R*So&|fR&89>_9wjt!KY{L`t&9II6LPOz7-eOO)uh4
z+_YlRs*wMdM-zk6Eq(>PTRC~5`h~}-LIz)!FdnzurP=t|WWo*yW`6OL8J1-eb{!}S
z3ZKfqvO2=~mEAt|uPVFt`MlIDQT}SOOZ;`}FP#{zJo_Kz*5+O8POmx7Xv*dAN<6#V
zkj%)-B6i6kiG$bXi(2);!pU!159kI>-{Jd8?%jl4{U@IkPM+cUO7o1;SCh2;fBZbT
zgY#GW`QK(?i{dz}&@_ehH_tYKHvjIv_~2FlFQtFzp0cj*V^YZhX4}S8lY|H_<~~&u
zfge3=Lfx4U5(Oo7k2?g3OE|m|`s;bu**@sIVbhh#5uUF!*C>27nFe;Hj!J2p=27N_
zUs?85H?r{DzufRxlb6Nn((ws9yx%`u$S%2dR<VA-t^>S5;ojOSt6z9+d%u`_rTWK)
z9QD@fCG(E`6<@S@iQE6&DYNWt<Ckzc>x10*Wt%{oo&LVaOFBMA=2tFXEL@wj_}$E@
zxf@<gRa)?F23O6uQ_`v&%@3YctKH%)eY`gF{xZA9&0>cN-n5>GwR9KS@o5pOVN73{
zVYh`|WS>gGO(&Ig5gqNT#U4FgsoJDc7VW{s9KXm@DtxiJ8*hECuHIe=&*m)c=&9k;
z*#5iDpLF7=$cfY!(L$c8Tjf$59oJo6uTtb^yo2X&_qWxn!^1M4F*A34<NjjAv*g?A
z9ML!7H@TWu{MCw{npoiZMC#XyO(C&+T22|X1X#8Stvayb#g3Yg$ZvtEOou(^CbFz7
z?olai3|yjjvGa<pP0zg-Om~kf2l+oL{4#B2(UmtkU2&0-hYHlJLW@)y)y<B4xN%AD
zcqX@?MXI8Zy6%y~oZKG^?>J4eNLSRX$ydy*5ufXtwKiz;i;LY4xkG+9uAHdr{`EeW
zglH;@an1Llca6NQ!Y|?;J>s#QGiUMg$=qLxiaP!0-Z>nTT;*nQ{QJ6l+%~0&oqg+$
z+1eDmeY<#b_Is6GI|{gBV=a2~`0m&>R_d+MVm-d%mHX1ubLS+sPA*<kQq&mgr?>Hz
z=)P6yF%hArdO90VwA$*FCCbm=Ss+v#W6`=)Z_Y6zu5@|n6+3N?wn`ogSUl@Er%!27
zTc+PR!@DP0(=2u#@lpS7aQdok-$p$hgLAE_$98XM=hS<Xyog)f@MLT7j5mied&&|_
zr>E~WILw>Hdj2tsnU&??JoV>4E}dlkX0h`~T-MYxg?+wyIvZ}bs&3n{p?$61o8;Nt
z>KpI22H$viDDzE?<(#`&GF2zGe?R)cd7ll>s~+3HW&6D}*REKT72H*;e>*~QUzeQ0
z>8xAVr$0KKF-@R}m*@Jtt?#1SV!zd%zOwK7{N7_zsx=~e|GIvB7E!%MDI)U2kxf$F
zYuDJ?8PD_83=0ekU2nY5@tCkN@0ZEuTl4fZZz(hIc=x7kVGxQ)SLB%=&2d~@OliYC
zCl#}Zj`rA+3o@rO6gJFZ6VlFjkf_RAqqE3SXm#d<LsC*pHcn&7irwwN+}8bM#*3|Q
zrnMT}*(T6uEv8g(-AUzLWJmk&k_)ShmN#mh$z1TNNNUBVIc#?8E-G}sHt~39!+U8*
zB+sd)okerlc-Xs-t1xiR*&xt1S?o~ZDJK@c=#C?j+#d_3@y&WHz{|pS+2K*PRE32T
zv%Guegd^rA9uFmWFGVET_?}=i4d18wJ)rohjHO!Dx-XTjYCO)mEy*)lIe4}UbP9?+
zN?h`>M%Uls*JXzV`n)Q?E*?0{AoWASqgjRRqC)FI6OYH2!w;R8U=pY?UXXTLLpn(!
z*YQ<O*+#{-<Elqj=oGI}H(Ro*g8NdvS+X;afA>1GzgJ>pSC(JwHDTb{+v8rtI>Eu6
zJ8?(8%>@mCKHX!Fk23{E&TsX|*(AU(-Ftjb<)Mp{-}O51OL>$mZ*0#q`EYS6+p1H`
z9l7RaPH<BfT4B7i@y!CO{?{iBFJ>R$;!cdnQ`FkKL7;J|n9{~0PBt}e>}w~fN^#Wq
zF?W`leAqCJO{;rh<Ef;K35T?#mTcT4F@?1_)sR=>nn=GVgOEjnBF}H#mgLo~9B(!Y
zgjPm&wA+?kkhy=s;eiIPikeh>`qRc;@t3N9{Fue&DYMLxt36}FF-M^lJ3p!NhHUlj
z>zt?kSf?d%N^3#S7J)`VF(tz*PBuQvnxEZpWp12nGU0|JGym<(1zCwwDj!98gJ!ri
zn;esluVPjB5$1n(nxxqggUd}<Zq7+{=Gn{{GR^XujC9hBbjMc_pL07B4{}djv}Mzt
zJ-L~=p?{Ba>TEG;dl`1j@a!p;Wl=Mah-iN{xO1weXWJ%~V|xVtH92m65P9^*3)b0x
z|80?yUA!`W+7|caNkPl@N3C^B=g#bRKlVMgi^bnrZI3|ZkASPs^tDdEE;g;d9_|q{
z{pj6A?x*Y1tqpIj{!_lKcyYMHL+jgy$F}<_F1chY{YXOeY{RGc=Xx6i8c&KT8J={q
z;n`er@;J+BJI|i&6GV<hdPpVr>MvqibiC?G_rv{_mx~_0zLQl_f7I{xVt148=W1?8
z_s%oP=#N|PB4;gipE*3aWAgUnGZtwpe|9Z2O^f!ZK2xds-QT=O<&SL9*1E=1cNQIP
zi@lW`7x;MQ`<bf^PdnX8X1tpAPy0odPRXZl5k6aEZhFZU?`z$1{LG6T&(lKgzqowz
z*RHDESLe=myjv2nb6(En%mW6xQVwD&2G^V<s-iiLU(9fvtSA%w@-es1!p0*hQWY~?
znB|2tCmdmsT4FGdEwiWlT07U4HF~+BSJ~Wq);~1%*q{E~Xr*zD?<<*h&)5e7E4^h@
zzRa4YTi77+N$M}#%!e2E7%)q;U2I6!;ALsM;P5E;F~5hA!`h(SrW4<$i^QvK6*Vp8
ztVvbm`Mv09QJ>0&qiqsqw*`)?onC11Evn;y9QVW@mz-?gY!i^r)=}x4D>mtn8MkM0
zw&%x=`jFYOAA67F$zOdXct1gLRhjBBJq6A?8x-4Kn;j`UTci<M7}cR=sq1oej@Y6u
zKkknkPdiQ8k*=tDXVV0eylF0Z+vG#1t$OlJOVv1LVM^$=z)c5Kox(IfxO%1Sa5yRR
zTr={)?H7jx{`~Fl`lG`uaqdDxa?O`c4>k^&#os4b_%ZXVXFPbU!aK>rmC4j=dFFwF
zJ~o-{nF<B%Y(nLk4<6d_*4Qj?6yjeT9`Wz}x@Ydfd;i>zJ=^_kOY8pKv$C9d=H_%f
z_A_xl```0gjJE(&v5rg9w$_Rnsfu=KQ656ZIx4L%#U>rwlA$)|=j1iF^`HE!4G(?&
zP4>%vz2A2K_4gTZ9iFyq*`bY=i#T$+G=!vQKg&BTxwN+S_bszkf2Gd{SsnHbdcMQ;
z71MUl*hl9!pY@*gtaRD#l9yLIj%-+%>GEjDPcN6C&1SDu3$^ESrY?=y|IW^vsjb@N
z!47}sMs|}4JF>p{{#V||op%4r{ztp>-PZo^y_dT=|5yCCyWLeQ7aIRQ_Nlfyg>4Em
zPk-itk1cFW_b)m;s@|L*Bl~U7P4jhp71w)at#-cK>GkSXhgVju(eGoQYpb(B>SgCE
zGrFrwag;1;beaCVr=rE`P2}c$C)p3vB)8UGKNJ3}QuvjE`QkXC?`QUP*4*DUi}5ML
zVa?5#Ee{knuraY`Diofu$^W&ya@~yD$*&sB&#YLw@6XwNo~09B2kLjSr`=E4m*0N2
zp~&H?pveOZC+5b*CKG-fdoz1E-|e$J7cbXO6q;%wH>>vZ6-PN&)z0H-_xIG?@0$s-
zqfn|~&Vt4Ro>Crf%zr<7efsE|j`infOKz>Zd$Byw^FdaLyXoAs`+ol1H`k2$6ywy8
ziw%!;ctak!F?SZH-JkSpmWi{^D(1L8FB$){`&6$w>bdS!vD%j<V?Oi!?MmYXG69^L
zycT+w9Au}l2~9t{Z|Rjp>)DFSt}yDmscy}gx!GtbUy<D_)r&XZL~GsOuASy!dEmnY
zHkrp~uBx-M9J}n0)U?xY+0{hrS&GZ9GM;x;?bA!Uf9cnwjw;U*)8Et1ea~Ga8Ng@Y
zvxxC{iWG;>!p0+4o*$B&w6FBOsgZ8&^LJu_7Q5U<8s!9CAFY{~Df;f#<#~%s+FmX>
zXV`p&_1L6cM|2+N6wb}8O`pTQ&UmfyvC0Qlm#t){viP~GUe!%zec0-gz0L4Q<pG0s
zHm%g<jR$O`JkFT^Ugm#Yd2{}+nz<&6uc^PuPG)`ht7mRUm8Xp1?=XvW?lTQs>bZ)%
z7Gf725)64&?2LaeGhWM{cE4m<>7Aaa^eFeU`(FBF`(N?CYjSp<sL@)-G>2B7)z-Wg
zRTmr<sPn2+&8)pV^P27Ee5=c|lHJxCuT2K)wbAmNWAHod#FnbrjG{(s?MxoL@MdnT
zGnw!r|C?`m6hFw+*GnX~p4;N*s@iz-8OIBs*BduleR5i6dVpuegiPKgjPB-A96ZY!
zk1Pipcnjp1%V$@VE-O`grD|aMO7-KFH_?ihrIOe}PHbtr)Q~K}%hGn)A*to2`P@ud
zv&GkDUQ02~nwwcG{Z(j&$k$0Pu3WLmQ@kvd2~zKNu_4)nm*v<6hoog7^>d9u>We_?
z=k`}|p6RGsdFUj__*cm)vXuuunEY>xWiF`kXAYfs$>CAwzuH!&3p1~=g4C}CYn`=1
zWrLQMXNft;&vIZtyPE_&W#eVpS2K@g)fyvEuy%udVtO9rlj)1%m`*Q>6S_a^^V$iS
zcg>Y`Q=-0SEI3sy#Zh9c-{`dL>ff6MZA<d=pZCo1ynk13f0C9=xKh`uETyhp#ZoiF
z9-g~)xI!#+$HuQJrG0Tr^e#-lqFN<wx&Ok<Yo?EFIOb;R8l7vtF!S2i71IwKS~2~I
z>*JhGr)5_!N<6Yjc;Ur7wd1nG0%P7QpHe}wq1>~3)`gkZYCztJ?W^L{>8$e9F-@DP
zkrHKnwt;KrwJNEC8b{{F^O*`8&%K$wPvrcJz}MM@9wCo@m}qgXG5x(v|M==>mD(}=
z*=HNL#Ggn>6|7m-7_}oqVdI52v(Ig*nicrkC%Y9C+iY%oS)RG=4N*#;x7}&k)<dAU
z)?Lnc+(?Q;XKCXRAy7Jcl?_Tqk!e?~F254~Dl|j#>m-ScZ)+!H)~12tPVBNn>VFe~
z2v6qD^=J0I^jSR}WU$(-K=$>e-Bl~O?O&-Ho&d$&t#pv`Z<iX9`FXFz_Oc1>2Bo^}
z9U$dlvq4Tu4GDT095P8F4P^RTBWA6XDCvv?8=KjfZf7cNJPUIBui2pV%>)V>-tH>S
z8o{rVB=SJYb4@|YqcaX{oXN&CJ40dPMUZkfu(`89R{V0^%d*S`<kfYPTYa+IBm)#L
zOSv=mZ8QmxG~!ip0~;IwGT7Gul=}Xzn0}yj#q?b|XFi9W*y1)5ly4@QJdki?4!wE7
zVbT1FnJ=Rcm?~esRNlZS*qR*RB3O}>5>@r{eqKsc>(%-d6BR|p{wpq7m$zieI<CN=
z@YB-^w=FrhrA(q(uXNegD;}$toZB+ZUA56P(DTu*Le*1F%S<mwJhBNm?9SZx&qP4N
zjk%K*lt4=l?>M&P+!nqWpb)AL5xOBhRV8m$t#(S(XK-S$kt#T|wDEw9l*bt}P^yjw
zY1(=nl&Y&2P1?2BMYZ#G+I_B>*K~{*I4v`s%qH_VQ^8;^o6zMm`(9?29e*=>UV8S~
z6<=L$%S~n3=BnB$cZ^GkyEu21WI*C3--VFEaZ%$D7f@P|$~<R2H#64=6hP-zOh0gJ
z#q_8ea6z=&Ep#UPDgzU(XEqNa9GF7`E;=M#{Oo39by+GMlzw<Y=_g8l>J?dQEzcfs
zz7zxJ%kvorHny;7IWKEGVg$A#8I%WJpIK45>}zF+&<)YMfk|s_f>P%lQ0iQ}pwa7X
zhJwL-Hlfwv^wT1_=-ievchx@q#gh*FTA{M>Iw-M4C4&;%wMz}jvb-Tle$1Wv^Z4&)
zel3yC`t!7JUheGP-5clSL@RY2xYnU$cw|Sic-pOB&oyIXzb`qrapINGPady?X7|dy
z^!&E+qO$GrGO3HNG`DFl;&{`iA=KO}C;IGqit?mGU!?qAX{}c(ZJfA7&+ycXiSwd<
z_sG4RS$d%(%zuruplgD=OW@Y|7Z)E|BbDnCG$SP0BR2Km5<P>yD_4V3zj*ks`0LjH
zr6wxVW%csQjUD|54t6LNo_G<MsOM{-r0KJ?bICcw&MTotuCIiGd*og=E$tVay)HaV
z()QBRQ(YZO1s0p8N7cr0%5Mx<?PIE>IYsNT$J}JAmE30(N;_w|^_R@Nbh*PfT=Tw*
zNMEX3e@X8q(?`l1kGu$6=rl9cB}gM6ZL-yInIQ2Q-mio{&pZE@b;q^l@_Q-w<3r~j
z(6Uak_*wHVo<a05i=LInVO93077rINh0BCITGd>&BkjGwaZPIxi`;gh^fwm{M_PaR
zxK>uJ@El*TMSgo`%!WhXIAgXuw@F|7c=`W|vf0f>IpqO;vV2+_E<380-E@AoBeR`p
z`<sAJow64X`(%IZ$Zq$%v-z;dzD<Wswuv2nBfa8E&Yj$L9^rYqQu}Ru9u$0h@l5mZ
zb-q)DM;uxEtX3Q`=X>>V#$M-D&$c)>?k&^Uc%IKTXQQ+H@3#h>%w;nUIa>QXo*=sF
zX2l&o$*Sn%{^yT5pTDVjIFbG7k6VtR^PVpda-YAsZOx}@Ympnt?OM;bJM;g3bK!V+
z*^DD~);<p#WS13w*m=kP2v0(8Lvi<-U3KpJ-9FsOysm$`LiamA@2c#m(5bKQC$G!a
zxZHo*&)!+MEm-VO;Uy;)zle?_AJdEemnr)+?f-6{=B(AcQL!(7-e!fZLN~rmvT#!V
zTkuxm?t;1#Wp~=HT+Pl7;BCv*@0@IM>HNX6i@dL%C${HwJYK-dIcJls_albA)9X^U
z-fxo-yCHBq^H;>Lz-!^#_FggaRE~Z3ZmVLOt=W>aeY`8b9%&1C=b{|DIBqkjA;07j
zqqNYDTxX%}8B4fNOPd`jxY}lM?t;4O^*P}=)t)iSCaz=CzbSCoRr=A61ZSq_xgL*X
zdP_LVr@foL?&-d*ihcRHyj*Lxzf~=qcF+5IY<q4;Vjpjz&eHy+-kTz~?Nu?G@OpLJ
z-mnOc!$sT=BT^KZKI^z7*-6HHxPBx#w<B>O@5)J2WI7(K?-9TKZPJe(w<?usHv|p`
zNe3m~m~f!T%E?wrJ7dZ9k5fyUt7PL-on=<%dR#qgrm}IGTa|2lx--xG+XBbM&6cQj
z@_MeR>D!g{B_T&;y}GT~Y@L?m&8-|c8wEPos$6`$;jB~0+6={2ep>`Wm7_Y2NKJYX
zeUpJZQ6gDUX0fhI!jx8z9+l30-Mt$G+8&A>GCbqN(iYWmL}1bj=^M+%4jJ5VvS5qs
zIN-oN@rA!o?R%+oMV`mHEy+!-96nnFIz3e`ZeM#+?2y4xCyQlK9S2OfC(iH_sy#j{
zQIW@3w<THBa{Je}S=&<OJF|U@mgK(XZ$3Y(YZd?3HTJXW`DE0zZ1ZPT2M5Q6AOE*2
zbIaUar(T`<RMmF%=L(-g8;?1$T#M>Bva4q8%75$M|6Zu2-N^hiK3!)S&*@M0E*=+H
zjy;Kg>6_5TsCVY#{Z`grmP)}h-TsL)cdtAk#2&gmlKtz38;-R;#TQhTS!Hll%b4_T
zd{XeF{`98x+{RxP7CfA8puW@KuuImO%~Q*mgk?h38l+r~Ts19SJnhBPLo&-(a2vF<
znguD(Ix6EY8?s>X;&35Z*(--*-A~(<S!D>_x5(gfmNDto{271f%*6}dpMF2D=e*o-
zF#F-Jr}giQPJhy$c07w|D(m~c;~W31=S~a!VD*OO+ytw`H~-9b=ZU?mn0dfp5}VB8
z3<ZN(Y(mYM4-$<e*RGoB5j(Yi$vUyRpzj7Y|1bL{-aXE9$-Z;ul6i-8g8Y-qHpVSG
ze@HPX{YHR|K$QOjZmAV>X0pk=&RCFVZZg5bk(vMa$qdV|NxKec1x>%<_DXKsq+R{G
zOXeL(3-V7CUb%du-T!0H_RRaMdgiIvp%1E#r<!WZqC29xy8G70ubh3L`OkXpw$irj
zpWACCtC((Q9@x;!CKH{huwf>f(Cmx{iK3Fa$8CeecR0Ti+U|Mxux`-z!pT=A?{Ivj
zDWmY!q)Y@fl%Atd+V&MPNWF~lu%lGLn<b40>ZCksT$%ZQpUAMZQ!i~SU9wKAFzEY+
zwkx$cDy5~bmA{JgO{$V||CBFu>h;a|Loxv-^+o$zDsBFsTf(Ht{mn8}F^li%{U@_j
zXG*{N`bWCDxaiw-gYqZ$rKYl{9@J0FJHLOjDR<NC_iJA?e$EfI%~#}k{wY7ScLIyt
zO@YJC-qo+t(iNH1bzRmz6?^n>QR|NvVz#M2!<UzBn;<eTBFg)5;g=&RadU6k{#QCY
zN9LF68Rd(tCZD-NYGi#?d(G!Oa`<v=YHhFPGqJbf;{R07Si1gZt&(hWHI9C4x>#b?
z|4uIE>52DFPt4Z%p?YR#%c+9DOOvKP)>^pgvyANY2chYlbJR-PPA@sPasCz7eM+UB
z3zxiG=xvhARU*&t@n1#GZF$YV-kkPD;b9=^^ZT&w2`qXy1P)hvSIex{b$P(eJ@H18
zqTIUZj{e<Zi`))61^u|@bZN(o`nOT@UPPAY{I?F>+M1uf`DsQelXqNkLYw4I{dCPa
z2SU`-XFPi%b9rt|LeFIHGbOF(4X?Gb9^1L$NQL+}gM+QLJ|z!T)OHqdO^%J2+QGNx
zKJWWOJey?~ullc&G-a}JNoi4Eo}b=@yC+qvb{u*><MAP;;@F6!dEC`A-XF3P+fg9I
z9c$6*syF9Y5?8uB_lljjF1Jcn1%d{kd5VhK4*H$@xN<T#PhnAMcR}m<i?>@@f9=@d
z5-<Mk!ui(PFXa!xgWt?C7QKypYo5I_5KohMClP8JzCZibBcA)#mdAtD#b3Oz+2^7j
z{zFDNCL&=8w|7ZdB0v8us}23_dUK8_i~Bv?$i4jIlFWC4anJf2w$6#3FZ{#izs0^8
zMl~N})@3%Wnsu*LvTVnORXVW|3Ddc~WeOAd{bm0?ig>huNm?c(X>oJajVBB2%&aqn
zqGe24*YeFeW@a54ygm6JKX<pL^YZ6womZ;A`~Gd>wa?r7v-A7*>FHcJ->Uk{n(6tA
zHw&0zWkMFMZmxRqY=PY_>kJ`V8I#s|e6!s2o1TfiU}3Y+IP8$5@!6!Y`$*=Q<Th`;
zGle%>S=a5@a3oaxTS4!RisBi`6Bb`*YL*Ro6wLnB;D}=_Pw54fJj*1%hkKfr6(02a
zD*wh><1p{<fLAAfU1*h*+i7q-SzN5*(IKJxmQ2rgyj;NaTqfkvw&toGj~A?}D7~Qa
z&N74RyR1ns`}w``pW-6lvfJlogx+7c(APKjuYb07^tAB$2^lNa{amq0EIWR))t3C*
zVU{{uEjQ$Fzkb6vQ*7E^v6|D<N)7n;PfD5jH%w5c{K8e0({HvP<5;n7S?!kdr{D6;
z6tmlI`Gjx(p?4E9A9i1FV)r_=aFuVZ^X#m!u$x+qhu5?E2l#AV=(n|V?GZkncwy@e
zXZUV+$XfIXSbsRfcl)etma*bn^YRlbX3FkUDNC?$dozJI(|jZIaq}a5Hz$+{S#!v!
zmI=(1Et9am61w@6nJ8zDS=otIGiCV%tqpRN%1(THsIZawI9pPCjy#`$HAjvLNJzW5
z{g#hM^ch*BthDyMNBCr3cgk*3e5+g*a8hfdv)K~c^?PGJ#oRoeD;yC#`)1ONiFY4G
z%(yEQG4<}Gh>3SUZ7|#YG&#0RyHh`F_Ov}qwn=%del0vBEO>*2TdPjrMMdufpA(ZL
zq&M00a7Wr5YhR<Hc4fg6(cGz5x8w)M%{;JcTibyRw?r($W;7j;37&8xflIDzW>dfK
zvI$3yZPWFt3lNaH^<uxA&6RZ?A~)i7e%%PtQQNreuC}UvMxbi=>08gXNiKV~-BRuO
zcGhK2wyQ3Cy4}|2eE#nl6Yu*arQNpI`1$tJw6#*Fv~OPtzqTs*x_QRtRm&D`*|TTU
zx^)i^hpj!89ko5QTPAPcx7n)i-RIoCe)XA+w%2R#tGxf}l=n!;nH=hVoT$8q<(=6f
z??<Py_XmDT-Y$N;w?e(~^+!LU>yPHz-03R+RA_xqv(x&cpJq&Nx!2=)bwL7UtF-lV
z4@;f$zP*ZPv5@-gmDTx%>sILgXV^RY|Ar@Plft4S!z26c&YmpGzOB7_om}ng)vT)|
zu7`##mcN^G*MD`?wZ-;(<M(o<H?W3=Brf2+`d}67)^&#$Jkz?Z<@WaWs)?(QB&=$-
zu`k#=OPKk%F|&EgE5-ACcLH|Zy>p;<!K0@K57_3pna!5mvF=M<)q`D;6Qp*`GYe^E
zTz}bvH-<m!K}Ft!UBR*<KO0{=@y<EH6O*3xz@_Yg)y22Z*w!gu6&2*U6AKpEm+$s&
zIr}?n?jYvxN7}LsW=UBH^4wXRcH}_koddQxZY2TF*xxalhNh-Hco%Ft<!0k<_wBQ#
zcPtMHPcbM+Uh!FRGqd?DsRBu!I~v?U%;uNcvJ9G}EF^jE6uj!1yt7eSZ0C|q%FFBv
zZy#G_e_--6d(EGLGq&>F*E+rRbl<c6p`WtLrcYb@MQiqfZ^w2RuF3v)Th+d^)ce6_
zx1WYJmo@DB)=NKC->^QgPN-e_>GOvHi~rQf<V=YdVwZY4{m?(RpG!BcHC*1CoSi)D
zG3S}gDe{Nkxcw|VlQm`jfirGDJ<nuJneU}9{q%Wq&f-6lX57-)FS5+!lz#8YUdvpj
zXsOF{JQ9Ky|B(nYd$vJnw)Ep?iNVQtYI1UVqJ^ZT9#1<oBdxc<`*HWPw6x4!p<7q3
z(qDb3t75s-mzujzuk6~gF3$dN=D*jf`?Jbdtqcf#uqQ&kZRtik8SgoExwpfoOn+#+
z+&}lI`_|NmJAbZw)n$CWxP7zS`u~0>*GJnrwcc3tEPt8_xAiM`f6g~Kjwj^i?ejZf
zXBBkMvt-c|5v$-Lso1DLJD%K~5EB?Dx<r8Ys_9etkgx;~m9r;`|5l$Xj_W^Qwv;zF
zJL`3G^xIuxViAE?+2(IIc>j)dMSFT{@3pXLVGp{+(uyW8pQ(~s%l$bdY+FuzxMYau
z!D+WV5`5S2AATkFtkma+ih=Gu&#S*a`pG<gJeNi7h_z==cljp+&3h-0KdD%*qIS%B
zse$!9&0fom>8;73InJ-v*_hw!OpMzjeEd&^dL!#cKOyeNb8U3G%0F$ixTo29{?Xi^
z2%+~=j$OL3S!sjOHpSA*v10F!Y_R#3A(Wk5Yva@T^`oKoHTBM!8|^gDbbR$puHxSO
zAtN&S)~-zpH|^Q8aL=|qo3?FRCiZ#O&6q3yjrEFW&orNrG=0}9v$d<V4b_7f#AKqU
zt@@h3joVl!FYTU)&zu8p>ghKgJdt@lH|7Di`t%=nPTH<1E|SllYtw1!H}8;&x_@#@
zc7<ixuCnmc*9+9sC7wNzah@CVAXt66#G5B_Y&&<UZ#dklx^4G{_FTO;$*Z~5Hy&*b
zzVYTz=9;{;v<lXk?}f8Bt@_1Mb)>?8r{m*;7xIr4FX$h0UX*WKeA3WHD=Rx{^`D*o
zYxtetr#+hm3R2a3ory(zgpZ%NF~Oqh=r4{hJzpnENW6ZWn6{?BUvi_Jr%(S^&%~%T
z{!+oSXO?DeJm0?bYN+UpjJ=^6`}?!9v?0rX?9R0&$IR(IaKl2p^ZcV2o1U)XkA}N$
zTC3_h>4iRBnk($M($M^qgrWDVRUwJrf8ADHFTQXU%dU$J3tzv!lK915z4pc&H6DJQ
zmdE>AIqqy0=-e&#=wV;$k00lpCQ0PI^yfOPqtd(Z%Y%ZFh2??fYZb)~eOTFAz_U%D
z(NS#CvaPKZFY*-aenoo-{nb%v-6uB5O}?st#p?3z6<4-zZ#DR`NP6-M7iNCh%m<G%
zi-lA^+VTcf_%xfyO<bVXXsy6_*f+rT%729~CYHHh_jA}LE6Swnx;)i;Qo8Pc;EV0k
zYHj9h7myd%QR(a!n{-H(+w*aVaZ!QAyNk_>)6R<SGBnLz7sYYhlv{8|o}!Ss&XL24
z+#f$KZB^NL+$rcrwqoX+#q916^lUA%uGP4jFH1YixXZBfoymuSnQV5?E>Eu#;?9_G
z$VqC+M(a;UgV|E#<jyIFF^JvS^Gw)Wf7;GUU4>;<m(AC=nj}QH$hqC$;}*qnScCha
zM6x2&@{Y_Eokt>0+sPe^?CAd}Ht7fpw`by_)+L5B<z)Jfe$mU4^4loTwo>en;aMk^
zWzii+c(?;+u4w%+BURBeW`TdxthVR6D!s)=j-LK<HruRL!jyOaQt9B!A9U`{I3n~*
zq$0wLS^jj!gd;^#OExsIW%?*J?y8)taE)PEe%$fSR<~xBZ_ylw^SB@GNLFOpuIut>
zT5H9QOhvnMksd;)PZ%%DkE_;I>9u{bGsJv-@|VObYkp43^Eo>!R_xHm%T6rUqC1Wl
za(^ti<5VNFb%IJ?ga=oAq=!`T%Y%YH+T>(Rv(+y3u8cHp%=3AqQCnLDnthMItk8Mb
z#3NCh_mYLg&*;fKC+_U=b5qW{8~49wM|<hTmCG8J9}wjF!BykixP+;F@#}uJm29T-
z`64<F)U7i7dufle$%ldoS=wv+H(hsP`4-UoQv7kPD>Hv|=e95Xti^9O2{g`iNj~_V
zrQVa7fA`95Gwe>8aSPsXH(eIrxT~2>Zd-6}VeJ%eF{OfuSw8!3eCFZ}sdC$@`XzAR
zR)NOFA<4hi7wcbecx1zCGiNcM($|OnQ5^?3R~i2GJ8x_<!NQlBfBA~$ieDeHbzKs8
zug<8;eQqdK@uQ7RbIww}N3RdB-zLyFH6;1h+_|$eA0%?~21U5as;uPy-`dJi<7c`o
z{>ILUY;tB76k5MtalG>Bkii00UkkRVj#d7;E=dL4N(_4=au+FG?hfUz>KADXV)uMK
zVSd0|&THF`iz$6L;iMuLH1Xxsd=Al>aX&$858ih)zli^uvLjnjYvLw>sUCujtoC1j
zHn7RPyR6W<`ikQWzBjvCS8&~NVtE(Xe)08dU8xm+`q(t@EZ{p-no!$uc|E6lKDXct
z*EusDxSRdHe8AaWYRQG^Rvi}_OScR3<%ua>m}=D%x2F2ifx~rDOFsO4{eJo$ai)jj
zH`(k1H*)#0x1CR@`zTa8Awu@?#AB|1`%k}-lkvV2$e~ke?V(d_T@mrF?8C-GZzmk_
zn%?{`dg<Og_O{@46S>rG{FLDD^fr{sta&Y!``7Kq<aZWVYwx^OD7^Fb!NV=L4<47?
z?ktoZ%lGT->)Utvc&uZTMb}8NC)rr=9joAw>H0XqLgw*8uV#sUlXE-It*l%A$M1Uv
z$D1N+k2hu36+bS$eURLLTd}iNkNvOS?}d5nZK?JJJs}$&oa_DgV21ExMFZ<&&PicC
z?d>xS<ud0K%?<wh{>`+12VN>{IPzBE<ILL!4<~JJ?sv^=R}tGK^JP8rnR07`HzmDO
ziaZird)N>ElHi|ealB#$i`6mbq}ZPJ_N^deO6QjTJ<VZ#=dtRByKfaXo_)KZt8jaB
zziwW;irH?NFZxD3Mb-vi%6q3QKVS7-g1^mu{Z3npUB|X4UQj*eyr{URz1<IFO!?f>
zzu6*x?>tt$aQ&^q#p7=uEZlzkpu7HdXCd2IzF*-6^LFf7S<mx$<LjQEzt;2@_AXt2
z{?`i${ys)SIVR;~8x^-B6%`V4k0&1U{9dp^>VWM6rpb5tgeKqNlT+IzTjNt;tzvlZ
z?Sw-w#QfS#+;+-*$)C<sChPRS<)p&(2=%X}m#<EBR{dIfS@l@+;^Llmt+eDv6JAJM
zZfjp|n7gF#X6fA*_j4s*$muuo=COC)Tj%3#FZ1eY*@ugl-%fDh-|ozH_by*%Pf4u#
zFY$D_ox4`nS3Fyoy(akAF3Fcx@du693mVGF98R`jX**i6;;Hp9=c^%xwVsb#UhlfN
zf7|B+wsB0~@A3)Fjd|{4zfk&l*$2aGZzmj)*xnqKe}^xVr##mDS9#O9-Md!S>%Ewh
zz2@?-T|1>7A1u&4*8I4tr~N>$1b^pjLpiy;qZLaE?|_`@@#~sx98>yTKB4qGd~$j_
zWNTtdtW^q6zMXI=b-S~avdneg56%tSWp!EX*(;74Uc05(=xiv*vpdPgVqf1!0SlqW
ziiIY}4j(o*l*^1Mh%rCtuW+xlfBJ#M?afE>wl}xy-{rIWwnKK(jn`!!iSutO_O6fN
zJE;F*m#jqJ?qjoFzD`^4_}>ECkUo*e2MsNbIX}28!QZK9C@1Fza^cC+yD!wIsNZ?4
zT5##DLgA^m4<2^iKIk5QJhtt%`jo$S_%y$iTQ4y@@N~iaLc227mGcYj3S-S<;?0wj
zZ6uBztthB@zT(!F_5<Gy<%Il`ZEQ+<I+c4buY2ug&-(YAZJd_h_GTCJ@0uSCu9fy*
z@OQK?l~?Li%xf2E+a-HR;#tv!^MTbnWF^9O9gBMLI<2aQ{cw*2e_y4c98++Tjf&Wj
zii!wOGLpPrx_JJUbw&Nt4`gj`J|eZfxjhkNN9NrZd5WFBdF>+0cFA6v@s0U&{Ki(<
z63fy9CoR8O?>vyQW5*E@_3wsfPfm__{J?6h{i`Q3o9DzN?3wKCQ(nX$JonCV$KyX<
z@{}pp?SHb}X-{dWYqME*oZrH<`?uVF7wP0}S$|-c+i$O$dl~Wk#?o(JCp#^!ojl`4
z#(j}z=C{myS$n@e)!LiAMgQ<Nx8FrImowrU*Gs?E-njqbKDm8aTh{mM_I~qC%3fR>
z`tVxDeUo)2*TPi_HeTGvBc8A?bkhy<FGiD#C+av^OXoBF6npmCW{dN)^WLVm|91WS
z<nH@*|J?I(8ateXZ}e2?xB2#dcy!|ehkoPN-Vc+0Fg>%cR^4MEcGQ|vr>A_<4Dt6*
z67%*<?zjBt=Xs{D+$+&-Pq5TvrT?dv=f37&opnmeOV~p2r}Imh#2r49Pnqoy`q}(M
zXXU|FCtklUa`>NM8g_f(sZ6E!QfpT0uU(seKP>*+FD=>oclOL~EyzB5-1XmE|9RSF
z#|~*_zcM&`DC+9fN0WN5UVj+%B-O?8o8!~tPp?j0x;1r&+{@f^i*qx7vhT=f-a7T|
zhHX177ZwN14f)%)N9xWd8JpJ&4(-m&U$khMZz^xzjoBCYR&`!Jd-m(9eQFYKAAR*Y
z`&(95>h<Z3doS)|x-Ioqd*jxN`{cHn+`4}JncMGGYj$4Tr}-vxOTLu5)a%usn%7Ie
zJzcQl;y#&nskhn%8!qk>VwZZm`ct#p?_W2rXWUo$W_HWGwYT@1@8i?Qey#eGP`<cU
zvds0B_1o+_pUhqEJy<Hswf&Ew?wOPFyP~J0PrLo|>=|a4cj}xv1=a>SJ$sy$Urji0
zN`k*F)KE@lc9IQCp1YiYo2^RT(Ta*4Vvip_uIh0YGEcGz+9CCL;<3LT%fvqhboNzS
zTzU23K&`-5HJhG~4=O|+D}Jyz=KRRBr@j4sl1)vG{Nss7E=%-_SRLz$keYiZeAfY;
za^bA3^1hD=FCN)-xR`HmZdc7?m-oKQ=c!X{y=23kw-b(aov_YO;+t8%yd?Kq^Q1|i
zUTu2ys?F%{Y|i+7g4-nZq|A=99u~96zajLT=l0?4ZEqj1NmMUfnXr1QUgPPuvjxw1
zr|g-u_4U_$qgYecw(M5hsA<~*C*R+2HAMf-g8ycAjkA{>D0tnQrn<hZg@w;eq|I5d
z^>KkqU}=TR#2dnaHZr{$cKbRtJY~8yG-diVGUpgrA1u6G64-tEWXGWbtwjZM`ZSor
zmAYK+oBJMhp5O6kak-1<qHq__h3PJyi;vHCQ)hWMgFSrm*4;ZN&zf^riOc<ZhtdVr
zMII%68glR4`kpQhKBC^)C#c%GeB$0qKknHG3%UD<&pc2r*!s}Z<za<PAd8%<NN2m?
z(ZkIhj~>^#cs`mZxuAXTvIUcjgFPN;2YV#$*IZJ#z~J<i|6Uh2K3I_3mbmPI;S~{<
zwi!)F7=k|-+!3kisaRa;dAVtUlB4+L<+8hGIkEScIO#h3A70p#I7PFd$BebnaG8SP
zH4&SdEH1la(hH}(45*%M_x8eZ5vznI!7R&Pl6+5jgnb*6Cuwr{n6Y+hE_;x;O7q8z
zG_I*L;&!xc3AoB;?BhE9hui;CrT^u&8mRm=dE?kErfP4nq|I!{<wF*6)?bX6Uo<!#
zvj};xa-%!zVTa^?uD*lI6gJ!tQMopwseS9R2g!3UF6Fwr)Nh%;b%|Lk-;X;2ud+TK
zmHu`0kfh@O3HFVj4@$Qy8y(&$mOs@*f6~=QzOQ(ed&b_K?iu?)W2JYDN-6(z&%4J(
zgZv+9tz2Hvd*$*DKVIhJ+o$Z_)qYo@v~Bj1bA{bkLhm}thCR?x^`5gZg@3um&Etk%
zevhQR!nPNAg?;}lwtniKIa99|Rqc08WO{M6q&CCp#nF=HMD84uoKD}iw~3n*f8P+A
zb$({)mxYB#%64SmklpaLH=rSPhxoB9-n(LU4N*?prMDg6J3Q@1<_)<r$!-02A2Kvw
z-Ms(dMZW%C4y%MxivvYpcz3U!T0AYW_>5Ujqh*_};q?u+XN+^?KlAPu;&yuNupvdy
zgk@`z9#^?$gh91L*Diqze|xWc$8l?C?c5{sZbg*te2FslGd$&cnC>2GT)Fg<@!@9?
zNjI3hd83nNCRRt}-LMO5Y336<`Tj8DO4Co)hu<|!eJFN?Yo}KF_H$Qc?%wCR&6CVK
zJGm#3yT>f2v#{-L;-19cGZJoi`e@y7JZpG)!_}pq!oHo^dhVLcXWz!exJ3TYWEF#p
z8!XkNw;j+pJZ(nS4Y_5K+xlO&%{|iN#9;N;D`A_a!I?_!>aa~tOw3AMyE5HG`s4&%
z6AD}={xDfoAt4l4!_%wb$<wW&$<wcq*<)aR(C|b_;OqY<Iu04AE-J|B)nJNN>N@hQ
z<56O;%g2p|i&Qo$E?Tm|ZqbsDtv5Lv=Pm1Am1x(m!Sh;)>-en>r44F}Jl6DS$USot
zkzcLkC8VwF#igy}C3X6&pT`%Y*bv^$I*SZ+dNr~#J2jZ*yNYxk6+C))QOBd?L>JGb
zM#%;3_m(|a+^?x}@w!ORi*&BcFZJ5h+Ih0OMAZ$x`Z|S)-<y5&%ApCuGu&RSTBT6h
zH*txcLDLo0w#mEN6PK)ernFMsu;ohdk11C!OZf0MN8QpWHw|W=Xmyw&==lr(S4_++
z53l0tnWU-~xT(E!%9=cuDRYigc=;vDs4h4BcJ|HNu#;NB(cjbRR-Q}AYCHRJY9jX=
z<DAaDZEq9%6Mz3O`p%)W;c993%QkoGGiGo2ZpiX&;3+;Fk(#xeXLj=P#MM4$H>hrt
z-qxPm_BJ`&;($VMbW+8Z!|82z8D}46oR*v@vD)V?_u*$Zl5Q}~=7~;PoLGG$;f9@@
zWSQ!^=ku&sx1G9@C((53N?zM;k(4ZNUe{QT86VTkf=_E|1xx43tdxBwS;l^ct9%a=
z_o0Z?tlzw|A8$+KzGIlvxx4M{!+D9ncgXWuowy<<E%$cAi4E2d9B+ipymi>=z}l-@
z?e;fBzs~+UE3w$dET>VuP50y6#OfdSHq_dfzR@btxx*fnzV7c#kiQIbLf7vX<=VkB
zzkTDbZ`R_UR$cpb>wVG<rdZzSMY9vDEpoR!f4m?u{9!=ik?8bihoTNImc1rX#xBFx
zUtpQmx^WhFytLVI!NXxs_a=JRB;Szhlib$-xGlC*xGlDIa_h<kZ_O-HIkNI(ILZxY
zNU!E~J>MJJx^rUSl{+ueWmd|DNtLnB;pBH=4QtsrOFEr*_T%WoVixH)gr4)>KFoUf
zTj9kGs|z&mbSA9RF}JyuI5V;Qz|#$DpPibz;cuYox_v*3P8K`X8b<z~RuT~Dv+8O*
zOL+Z-fNT3wS3mfkl`VbBJ|m!g^%{={udK^XU3eV(SKU%<)&U3cG>IpNWSV0m9t4X|
zd-3j&T-&Y<{fT;WjueRdCAM%cH~bX)dq&Zhk~eFLW(De<F}T$ldh^vGrsUWN(XD!G
zvSP%;X7+Kb8(wS;uK3W$yu9#a;asjSMO)T(Ej-<~Ei|>{=*4X=`Nwa5xp3UE_Dksn
zm0y+_Tz_RvdKurpDi3?U@|XXI8xH$t{d#s=>`1}C+`r#zj;>%+zIUzurI@Nt#)oZF
z4eu!OA1j%B_~qRhd7suzHN3NUcFL-giC^+!%q#Dol90RLTXgkFK$ZEz*)<udJpC`!
zTC<8c_RhZIYq!m)O}%7tkm*m&y|b6k{&Vb<#J5YnRjW=OG`XbvZnI+B`V-n7^JhCg
z@jJNkX1+5I`-yK~I$yfK6PYu;+3ZL`M~?sesf|zk4tiSVIBQ8N-M+j$g<)rKfm`1V
zfy05DY-{a)O}75IYp<=@k%FF_^Wks5s+D`a`qp<_;4tT=y-Tvz>+cNq+FpLCO~P;D
z+od7Zdr!>0v}^BWvm*s<Ir?jFz5ZMlV3pn(rTKOKM%4nl&j*f9k<{BGYQxoWg0sf;
z)ha)w(mv)TdIc?4RQV?DYIk1p?(w1ODf5n02KhgfS-Je<+v+0*1u;wbR&ScHImB4&
zuZ8m~ndP3b53+-%Te!ZG^P9A*UwFy9BZWc!4<%ME|Hx~~!(_c8F`)Bs;3~<fhB6Ch
zO}yb5n=MxsxT*i{lsQM_y!;aNRhMskyVl%m!t}4kZhs#&WWN_#&2yb|>SMNrTz6{J
zYb@5Xy??*Q^(4=B&6$t+RJrfyPW!q)YQOtQp6{BGu{)>eeAp0i?f<5qf~v+g%ToH(
zr|5i$-MHre*Vo^tocS<ilBLx??-NXOyHfv}?LIf<%!SrTmb-#BIc!gg+;5!Ap=!Kl
zaZ2BL&BzDcNs;?!X4|S7=PXF+>z$&rVP(X%{QO%!CwZbZW+rQ^a_4AI`)cob*Y6~c
zwZ_b3T~+QkTGPJTi{JG<$rB%BbX?9$?8fiBl5MY6Ztf2VUFWt!<~L{P1BZ(bcg%aw
zt{IscIps{@j7h9zVyCTMuueH+&^pO-S<t2fTaqHre}6gUj6w4x%e0_P2iAc2H>aF2
zXrE;HENIh#Js^IiYd72V&$X(m#cLL%G(J7LY2N$O8Z#3$Rf}~NrZk@KO0~T&9&B`2
z$?I8!$4Mq`5dV4brdjW&tXb#iC1w$#^ZIAqgh`>HPA9Wo1#CJH4l>}kM&#6#DQlit
zt8&*wmXu$c{@uLgl)?UtwAbC$LJPTcbawq~nfHEo_o55?dmCe?oY^>eQm9#|&g-B1
z=1!8_7Pu*DyJloUXyEy;Q({7{xZ5g=3u_%uZ_(PY#N+DaLd}InI?5q^Pg}G$Eb_P-
zT&TLxXpK@x-_;ha4a+>PUM?_NXf#Jh%Gj2(UvSldk4mBb2iJ3krXFlLRX8Pp)lXz)
z)vviqA#ImiP8GHVu$~iJS@o*cdj-#Pj;W7r7IMWH%;OZ>*PW|z`Ettr=(1A<#~m%#
zS*~!HzCAa@f1&!d+OIyZp4^zecylvX$@ZYV_i8e2*Tt3dS!*2s&ZqU^ir3dY?=pJ5
z&c8q2R~u^d=0^C_BVnta*YdNczIeGnX8PK|mtP-joBFEsVOO(JOld&hYd)=l!(Lx^
z6`ppK)U(t$9?LGeBYkOA?9TTKc=%<eJf7IR%Ibse-|S<SQPC^yjLx4gy*cMX|K*LZ
zZI^r3`bNvthW}66a<nydmgSdux81iFAGyiLeXCOF@#5PrAK8fU-}-5E%zb<Dok|_S
zEycDV=HZvh&1KuHA5V0&R-gN}!qK`sxu(SW`y9Kv3+L?8ZR#$Z(@eKMxnM54q1~>z
zzy0QiTsX&=ZnJX1+{@?6E;(A4C)Jc#Z@*s}^J(Vk57TbDZZEo6sxoh;XnXA5M>8Wo
zZrwcB$08hL;`F(8Gb0NxNcyLR%_-bAd8VlB+_x!duKvsY)56~Lf4um1PU*49Geu*;
zqUj*f8qvp#Z~wdo(orK1VxD_Bd8TOf+>a?~M=jH>XGVV1IOe|n^M%rr>3Z{J*Hybd
zd$sd{)ua<=x^maWb)H#c@jgf0SX=Mtj~8XTb~>GlIny62dp_p$^%%XG-j&N=JIvJn
zDIKmoll$k&+pgO$oh)5&CUwv8w~L}JpIHSwQ+oF<OSPEyd%?~Wy@z-6#P6Qs4A+^-
z{bL!(i${NWH{N>ST(3PXLu0+qgQkgVXN81*%YR)z!|&p%u-vSytN*N%Z6wO}tc_1~
zuDr5x)qTFuxBtt2@EdbYNOijZ>JU%#J+n5op5lY~eZ`44QY7WYTJ~RbloY$ol;Zej
z{!W9#LeT{}^8YVch_|I~<Cxi3zq8qB%?8#J%|F6-864J%F0hgR|H?wV&2$^b&c6D!
z%|>fBvuZT`urE(+bKUm9#qQtNLOmYo96>JG`tZk=O`ejwB<g2~EHdiYznOb=)qh#<
z0wekQ;}+s=%eVbl+V{VjJ@rK@_XX}p@~;l@DCZok{Q0B(_)Y_!&Al6zKekWrzJK{+
zefDC<AL+7F9xh6a)$zIKZ79bxUAH^gpmhBW#lxZAIWs$}&pJx3i+-f#b6eU_j_2;Z
zh0WY*ZzH^)9Gvqu&oX|d=Iz6dl2!YhkB83Ka6eK>|IGD!(@r$Mymg#U@>f*SX`gF#
ze5Wql7phEL#n<sMK_Y`wZ^nw|Hxm*!sBSxB^7o3PWLv}|EuTx#(+u^`T)a4qTWQ9k
z$8RPi?s@9<t@(tyg~nkQ@0dEfPo9QyJd<?~{<Qj}S$4wUs!+61N6oBeqn?dNrk-)P
zQ$E(5xJ-4&lD?X0vPNgN9ht_ZH2?7eo?_j?rJp9A@BWxDBcD@msd9WmI}f++#-+_C
zmRoBa*6@ybS@T3W*+ydD2A1j9Ce~-R^XyjMxRPamYNT^*YV6*B`x1*&+S*p0N>JV&
z*}O{TOsnLwod(Ba#KmSjJ|wg`_Qqiy_x%=TRx1v$u}_V7zd&wZL4f>x>kOgSGA6BC
z`DPuH(!P5C-I<brHpy!XdFnPK&*5HOdZyLVZRdsq8RF9<9v_lBX7%f+;ax}8WmYSW
zh_HV(xa3&tQ*=Q^%_@USSjMFH<NFuokHs=hZwmW0n{(=%J+4e`v-4a3uiDBWWRa-I
zb6mIO@r+iEnq);Gcikh0%d9f`)@Ldd^sx!uzjWYmq|^@!=VleZ%L=W*CLWKMe5?(u
z^}XHpV9(ZT|K}++&VGMW^KkF?L*f-JtCoCi=UZbGC;sfkvqMa=u@Q^9xvO8iIb>(G
zvp`5T)}nR!x<t>%)yHQP#gxA}b2X+gF|>B4!Es@6v5Gf`gto`tIIJxG?c;^k$rTR{
zY2GP+X!6eTSjdA}$>|dh#EPfwc$^UpUaI<Nft{XphR}2wlh$ayS#Ia$)8@DQ-?HtQ
z^4|j{&dm2eUE1|&l^N%nUxjn{4<C|$Ego)FD|AER{gDld_d1hn_e?%;q(Z$@@uQzy
z+cE2(5@PSC963?3T*U3D^-@EtZ)*zH^yYf36?yz<Ziwv9|Hj|K&Q6o5oc8|m(m5~Z
znO-h_KQ}vU0n<vAsR_HQ6MVlr%LSDBE&Y@-*Jo;!|Ig4#GvVO#uJ^Kq+lq6HXX^al
zzja&t?)Kyhnr4AMdS-?`+GZbHJvV9|=}8K1nR#@X#O5wv&NT7aL1(mWw0U-O2|r3c
z`zJ~G{!39g-2?xe{5xt}=W$eX+t%d9zwq3!`NHI+<N2FUPvi3s=B%v?O;D5wGT3JN
zQ8CkHVS7T#!uF()h3$zY3)K(IaPsePY@Nr!%x!DPCQ>EzMgPl^7vUvZ8f@aKhmSAZ
z*DBYvuvM<>W~*FVWa~TzV{Y3T4y`XPGvZ!!8m_%CIU#GI`q3$if)5(3)R46M(WCoC
zz(>z2q@mMQY~Oa>O)e7gDV>JvPE1OOn5cU6LwxFb-!L8fzaQRz?VbChKG*xlm+W?z
z5C5*;e0{j>$m}oqF;WNF!zYQnj}bcTK3!zN?|hk{4mmwdC;#api>G^gUAV2f^u^-|
zv%FutpTxmtk+o{(^+##T-8LMZs^V%t{m6#9s;m*OCpoPt_7uFfdx?Z}Oi)v=p61bI
z_fxJ5pAt&Xy;Ul*c3SwO^>4Y>J1@=k@Z$8Jx=h!T<$PVLe}B?~#~wV*GF}Y_`BaYx
zv<p3)s?2UEXE}wXU)HNj-K;gg+N<JS07u-7Rqh(9H6@-b^JQKJ?kUlzX@42Ow5Lo%
z@t(yLj(l0Ko^n3bgVpVm9vxo)q%KTS=6~#w8iqH^dx|!>UHE?eW@}}z_QLLHl^2hF
zrY_nXoc!YL6{asmS&CIwTRCj!MD@J$({(goK5fxz@5jv#?ZR_EU;J=kz06d#w!_wW
z6CZ3d4()38>wVY|{Medfx!9vk!QCGYtUS8o{S~1*#aWu~qPC<7em46RF;hLI_d#Fq
z;)*9%D(Xu!|M1uqWU+joD|OU%`LTlU<~LQB#R&JW{HPbT&m!*7+CNQ;eARaR)c$CG
zFQ$kyeUH__%=LbSr&b%!`SOa<ui~q~@x4_o$#J&F64%d5c(lIO>i*vUw}SVInAe>A
zEPJLV{!`8OO$Sy7>wI~|)4ivvK{d|yNYHw@#F^p!8}F=Ek9c@Bc+JO9{llg4Uq8j3
z^osvf<G$(C^qPk3efxwTt@7_HII4c!;?ZQ`IiF8zo{RFn_a*rFrno~{*(ZzVCcIsL
z^uB1(D^;HF(j0C-ctw=|vb6=)IX7IabM7##b8gvK=iIY+|0gM-p3loCB<<P0O!Hc$
z@Pp(7Ec+W1R@`?mJei*`<Bd7Xj7R1zGhUgy%y?#gWX3yll^GAsm&|y%HnZ*PJ(eE@
z2B-5CX1uj^YT%8tJ>s@rE^%^r|HhlE)n~lE8q9M!ygxNILv_uC>yElo2a9SOBd-2X
zcqPX9ZI^48ZQjJh?a@3NPV2Vacqyj*rl8w!+wP+*x9=o%9X)qzam2Gfitq16-&Hy&
zw%udnO<kj$qHadBT}K6^?<BQ+%`-e^wS7jy+UO^jcWnM9G0m#}MD?4j?I+Un(^u6b
zKEC2~rZ`LRne|o)?zvG-u70{lnO=wJZSi}Q_A=}>lig&_ZGVqldtSV=<!{{Fgo)wH
zXFR+rnO60cVe_7<j+=3|hh)~vC9ezjH#om~`Hc6g<GM`u&Hwyw_kq>II$vIiT;6MS
zIBESk=}qC!wM*8Y3x5$ecm0LER`Cm~zlvzrUOm0x@b}_-2g55%o38GA!u;mTNyW0C
zPdIkh7WFjRSss*|KPPGZ?iAlg7q<jo+i7#1(=SR~Tepgn{SRBy+B)W=eES;{ci$IC
zn0;R$sr$Y_;`I9h$=mNAINyB#!1<Q#%QXEeKv873zcFF|eTR*g^AjxInzQV9WZtsl
zxw*@Z_vS|`9+<0Cyf9x<@nmgg+hb4^eYlaYQ1QstsUbPe_DJA*xrZI${e?GHt6My|
z8Z2`~KX1BzaFJ(BU(G8q&Ut^Ws<lolO)I#zSSY4c-RI*SU86k(-HdU&jtZ>5lhkrO
z&+wS^_8AY>M*9?A*EE}6a86ffPWiR@I~wZFE*6?osP0pEP}eA?q?>Ww?xO<ccamCW
z=NTT;-9F>NwrHQi=htsG@7t;Ij=6KXs@~sIS^_a!I3FGS&0W?wzdb%xUU4<oqV$+w
zy{w<V)K9L}4LhhhXG2lb<1oL%Gp8i`Zt<NyzmnH@&i024&vPt}L`wG+9BWISv+W@Z
zd#=UNllL>;h9-QpHxx*mzpp@Z^&^$(Pfu4R>z{tAxW?D|%tdqK(5cHCj_x-zKJxpX
zptoJ+dh48(<rnYgMOz-!)n0Cq@RVnHPWw`el*2scxfTafrTGevwkgMKeJF7~$KqhA
zv|r)jqJOiF{y(L@V)oNNrs3!BtDgRuoo88JtovL)_~_5si{l-CeO&%uL;bAv!-&6n
zA>D2UZ_RHV2)ybg@kEUCm__i?8LzHLEVJ0kFnMlNho_(JA(!Q1$xXrD2KQDjm3V%s
zV!OoKD;#b+wR}q^=;cjZu-Q1YYocFoVoNZ$!JU<@63?zE@sws6wpnatX`UO^wQj%W
z|D7*mgy-`mM|_TEW{X(s{IThBlrUSwpWAO{x1?(9^VAT!o4b(l+n=y^TbicXZ`ymX
zjd_jv#uG=ir1>^nvRGumzxe`#Gq2XZ_vQzkn0w4u{7}2k#Lc_m!h%QERna;o2hy6m
z^6&R<y1=lQS8HFm`4J~(AJY{-j@^;r<~6v!;F0xIZ(WlET+Lni{(74)Fr2Q})(<+x
z|G)F9&HLK2#)J>~Pt{XbIdSfl;d1nEce;3Zp+QNx2IDTvDFU&wUM=dUCMSogx}_h_
z7pf`xqjvQ2{Rr*$qzSf$`}WtR`S;|nnQtx9CC=CSaH%urhf@n%D&7Vt?J3kSyk|9q
z<-Lqo*Y|Iy5+yR$%j{GAm*C&?zSaJgFt@>hdv?xWwsQ;qy4fmG`_`$+u1wK2|7qsm
zY1`XheL9|YRQ0`kt6bCZQ?Gf#{5kWxd|CLrd`0rReR<w@`7+)UpY3F$Y3BMPBB$%(
z-_1_JO>#$<iP+j}TWO2%b8kNKyLkPf#UIz*-F?I5;_@3uE^NOcvLJqA=lA}k;Fi3j
z%OvExUTZpDe>5eiMAJr_$Dh0Z82hAit?rMLPc1(*Px3+JLiHn`o%~zGTjxpWbK7#p
ziB<*e3A@K{Wb3OMqp?X~j=sgD3i}rk3)>%LENp+|v9SGN!9w)|3!VHsnp@{_sB_yI
z>WNhe#b|w5vLmKMOXHj<sEMK7D%Z5SRj%uIt6W=k>pX^XZd=87B2`Lv^u8R~5&FWj
zVDp8^kK8V<Ot6Ta!Xsa^PP~d^ov5tVftgC)9hY6FaV+oBG2|186q=*4X^F+Z+yAe;
zsuve4{JNy}Uw%{J+yBw4>xKUR+n9Wpe~a*cakcto3);-y8ob>6DSq|Yz+`Km5Z`+J
ztBFh6JY?N6JFX?Rv_;4s%hp(N*n+pURATkQ6@1AiBG(hYq~Dyjt7(?BwMp5Lmh-3T
zLw@AXz4iYG|E-m!oU=n5HUzSYNQ)fc-t%wsr}?+fItX8@4f{9yaOIr~&*Vk2dBqZZ
z=eeJ|WpcH1@%u}H2mN?IGQT_V+Q!-U#=ppI=4U*AF1W2@av)4|_oErzQ)eX16AC^P
zp0rOsJZYbB_#=VtCo`UxdB(k061yE%Xj->@%2p$t|C!6L?%Mi?-O1;K`QcyhPuD+6
zYjr&8R=?EosQa_!uTOUE&)9IhrDpQF|MNOe3$5nSvb$;@y1em6GwbCqDM#alXDo8w
zcryN9<hQuKhO6tZcWd4L6j`>_bLy%3hTH|t!jf}eUT~3Pvo!hm_5VUX-#@CoiQjU%
zE^oc5YItUo_o7Gbw^aj|I=`88;!(nGl_HA+s)lENtoieOuXd2|GcQ@4*A~SkA_?Ep
zA2ogLf4Y6+Df2l$IE9mwe(DLS)jbX4EUQ)3TU_|H-OS#kG)tT1qvOis^;;fDnEUG3
z`IOvlOMdfz@r_4eg*%%&r0yn)bNKxUd~?-zVas8@)&=`*4ZGf!H6~7v<=AjrujNLv
zxRA^iZcV<MEXQ7F&4}$V{L=qOu1D>Ie97-S$C69_-tk%SC;ZgCsJ?)I)~U^Q=C1=d
zRC~%bSeoCuFPxDfE}?d_m0|K*rw+|B#e*TcCnPoba#W;#-NtQLb+cu{|3$lFJ1*W-
z>zHSIOi!R^+tnnMSKk*e{mRw)UOZRb`_IHlf!`Jz^laf~bi2_iaQH1#es@{pcGuk#
z5;|f%46mtm*w46fTu<Q3)~jJkS+9H7ZW9WOj(yV^_+>LU&)>IB4UA=qM;vxfSg<_S
z<Kkf@gNxhPBK`D)bF<g^3gl+bi&dDBzfxFn%7yC-KkUo)?@4NmO^C=4XVTfkt+?!V
zE63rtPCbHUiU&P*Pgt}Zq@yENK_X-I<f7YEj{-R6-4b3bksvO?ccYb|`mIyP+%m<3
z%DX2#n&vCe-H~3__+aPSmX#X6CEJ|}uFaD;%Dwx*hf8`aJ2J$b=4|2S^t;(AvRv1A
z(F~{ak(E84r?oI`WZ9b_&hacF%Y{R=r$~e4@|(`Um`&U~)89HZXqPD-3EeI5a8_)G
z;b}dU8JXfiXEtyqm6~1E6Y$x1)w8nH?BqfLpKa=HhPU+$dbV*h9=p*hko?xErLau#
zSjFxM2~Dvch8NY2{E?Xb#;K=wTA0=}jo)i*j+t?bSNAA~`4wC#dJr(DXKGnv!c<e$
zuH>+D1$Ry{ui5%Zz<Z6&p&;%4jb}~?>umodqOB9-Gi&wQISIW>l}-gei{0%|aDJB1
z(IoBki1eq7*P<&sc*EopH%~o&Bk3vUwy4T3YcV}NjXT?mn%Jjxb-40=-N?=Ica3JC
z&6c9J@G!XtTc@_~$a^Z77g5<G7dCIf^r_2V{5_syKBw@j)v=Q`>bZfO-)hz5{uZrJ
zmHBd#h217ISmyf*p5r!I4U6SWj|le9da%L$>F$X1i5)X~zx{q9p?+u6jpcTqPO|XZ
z3;Q?iej=1tQQFkG|Feq!#{=ahZNKd-57^F^d9=>Iui&`)@f~j`3&(spshPLy>8GnY
z<y$)}>;Al-vo&ho%jZ?4O_lqeXde5S(zelV=6Z&jqK=DpmWMRvp9v4JvpkqHe@;?g
zv{-oL^WP~=tnZ>ypK9J+{dwly={855=ASXRtj-?sX7bN9?<fC!@_zD9sRQbtmp1r6
z(`MSP^UY)Vomj^=Q~Y+jPxw@~vVHfp)4P|=+qXD)&PUm~57+qf6`WIVw|GBUIp)Jj
z!@QqQSnk%adSCoozRuE_=k;D2Eyw)wON&mi|4eB*IB$#QuZobieR8G_;r&vJ_PhHU
z99X<e;)RZosCS>D-OPj)n|Zl*wuGNE(<y1Xe$LqU=%(Zu?_cob*X-x}a74Ym;?-nj
zo6jc==ly=dqF+<gH9arVXJh}}O*2&P|4L~pf9Iv|Kk4rs^|dyh?+UN)nxS(4ci*`W
z2i4hsyqN4<^Xa6Z)$dJWi@W{(3T{rX=<+^ybeYAMymWIR_j^x6j_Pc_y!hCShapFM
zkG++fnlO9wkw%NF*|kRZo2o7?yYVVeGOYRv!))8E4qrLbgH`>rlI8}BPFLPm5z=IQ
z?v+OTEQ`03IsGaxEwgwXC}~!4g+ba@>wbyV?WH?v3ePT9+wm$eIHqt_QgHR9nc5q-
z+CB_9y7m2Ixv2^3H!nIJe(?LxlqT1CTQvJBL)sS0nH~`AmwL3py|>`R;$s#s0)=Hh
ztkC>s9lEh=&ci^?W!9@V?P-&FIGIze;?lAi&jKZvRb62Sw#n)^DQ9|6rGHk^llMn<
z2ZwI`yMOz;3pehp+_`Yy+xs6L_P@GvUCC@)cI@?Sg75!l{QY?TQFc#7`u~sT&))1m
zzV(h#PTrsD<-2zIy`3#x|3XEsL;la)Sj8Dn12odCMcORyKYc3h71wf1zV6RU`-*Cd
zPiv!9EnWpy-v4Q)T{pXIs?)Z--^s!4eZBVE-v8jP`V(h!HL1h?&y{VudjI@vCMsw0
zE)|OVbFYErysyW{Gc7#+yeFjJ2n!3{F;+ZUD{N$AqM-Y5A}6QZj0s7%<#|qgxYN+1
zU*mfse7D+?ie#s@?QA?=73oe*-_@4<NN{TFXXEkyk>b>JuHHn!Rb1Gp#5A!XPmSl|
z-4?-fv)%g(&ov0n_xJJmaKpk~F#qtf$qzd?nPtopJ9ew}6dZ0bTqkXy_iz>`r{3%d
zkGeP|*GU;Xe0#a2#xTjL%ULa@BI&@EmgQ<H6=_agv(-{|d~JU-ag|J1TgSWo;^#kj
z?y#{ax$J-W{?7*&uC}nm`gmNt*}^mb3*+<mOBwWiBpx+$D(^8*>?vn^!h9}TSZI%-
z;?Znjqdi864b#<lK3;4QtddMsH>{GLz@Jp><8k47i^yM}6Bq8cFtW`sIN~7eCXsST
zqM7#u`!Pi|6^SgTeLu|=4;KitNhBT;u=SOAI4AI=&M{f$PY1v4<g8>jR6H6i{K+r<
z5JSJO#G{Fv$~Gp6J?v~xn19p?f8xB@B2w;qqTpZ)W8Q3oBf7$FJ2DSRnDd-q|L|H(
zWk;G**J`zt9Z826ru#}fn#B3jCHauV>K?H}>>q^HR4nqG>@Loj@Lu2N#CB1&C%ZX?
zKl!$B{=9dvp(e;8!Kq1CZOM&vr?$OnJR6R;D3;AOIHV_h>_(=8-NiQsiU-StKOLRQ
zDY<Qy!2wU<Po1}01mDdtIQI5__T=!?{DPPKx1Ok$vF=!WzGUil`FDR6cQem@%=dNe
zZPRV557*9C_{PBhhUuX5Zim7vdIocTIBzqZ(5m+F>dxr>+qGHDWx0;>wjV0^`~8t;
zfv4bo!JivfF5Jkc{(r(-<&>2>H<mg(&*m$&_|cvdt-0FXeEZ8A5!3u%mqy);pL@~2
z;YQ@cH}ace!*8eUyZn1*e)y{+!guVibe`Fs*}giyBfo3quYUV$|04_w4}Y}p+;8;O
z=tIbcFaD20<@*=&1^@d}x%&K^X?(J?Z*9-dIq<}OX64z~M<Me+yb@8jjm*8g&N(^y
z&DST*)|+Z<zFhI#IoIabp<0E+6^E1Sg;@Omcuugg`Ko?!d+k4!3|Y2+Cug%9mhkW8
zbe47dJ(<JcR6d8{rTh+qL#0vD74;c<4_Ab%TRgg|$yZ(4<{W2xz;V6YqlWPQ4gdWk
z)6Glbm~VVv{$^3*!cX_A&uDJbeApAMV)5K2LwcW%{nNmo3C{z6Harjfx!`#qbIj)z
zitBc9A2F}VoA_{3G*7`v-L@Ss#FS$Sx((0mKFX4QH>vBg-^R}OZ|*2Lny3HmoW6Q@
zbx4z(+|)(O-BVvY4P^fEZH3~m9o#M#uj?9pDeh+cwfm?5^PQv?y*$HX3fpHSY>4(T
zJQB%$mUn-`iqFgK%^!7Uvt-^5`EPEgxc9eGw7`q1-+W0NmCt`w{^sNLeJf>nXX@r}
zvOgp~-!o<3^8UiI*jndgTRV%(|99?u_`K}L3$5tl_P!rar2ZXU<hJIGRsBm-fs&t>
zCLTO<;I~g>bNL)5cGvGr?9Tm6?hW%;`vmrK$p}`7TO@taEKqq7{lMem`S_P2fB$-3
z5W2VPmhUr#9kCA<><E64up{~bN5T37f{*GNl@B#Dx%afQ_ObMH$!N;`-pzDaw_a#X
z%W_t}ru2W!EEVs}TPhx!yHvb1KT`43T&3cz`I3sq+Ub?Nb=SB4IxE9czOUeocab~i
z_HG@P?_D|~-@A4GoDqxsQK`A<gK==m!{^IR96Ikev334@C2o6X*025_w<!qPweb|^
z$`*4UY+e+iaZfx_!cHWTsYYj0%a4$h&I>zNxEQ91Mhd;r*tFzE+y!TeZK5iN+9$7d
z6>HLU73(_dD%R%fI*mcPTSsx4Xr$5_{Y^(A!c#mqY&$V2$=C5OdqnI6kAOp~l(-L`
zQsO?^rNn*slahCXt?M*_-Q7BZWulQuZ*(@P+z3eVG+ejfpL37Chl)<3)5O+<q=~Ib
zK@(dOvnHw@*rMd!@zQk~hjEvVVVYQ^P>=4WB{O0J{tG@6Q4yMIu>FLS!TJ+UhWk$(
z+OR-jyP=qvg^-R`!IFqKG1vb5xLjYrK5fgJB~c~&8c)v;)O`3%+-~lJw(#XU-d&Yk
zce8Y#sz$vHi~0UD=Cj)FEsJ%JDf~Mptgi0x*W##uKU1%tk9_+4daQl=)A{j#l<uc#
zZCdd_NN<O0)93gn(h0#j59he6T09QaJXaOcc6+|Hv1{RJT_c$iarFbf-}RmE6m$!&
z+kI5x`kkbv)p>?TMR(uWxAAAY(6XJbO)v8%CbdPU%=lU9oh%eD{(EKZ?>h?nYMl+g
zThBjdpkL3k=8mIwf6>-=A@?^r-}RUE+VNv)wCaw8Q0aehKi^jNdllSSmv-FtVIZg9
zGg+6Qqi^S3n|td@x3$C1d3UwUxBO&3XC<^^wTi)wi4Lw}oIJmbR)^j^=x$cmqc-u-
zPW_|lCUdQR_WNaWPTzOtSoUsDndh5cTa-?H%u}Koc+pje`IEQI%%{_T^_|VNF8#4I
zc5j8uhJ~{0s(9_U9^ZU^^JGOKz579v<zMXn_IpifR@Tpjb65XdY@@rVV##}_x^BNk
zEZcRbJX+LhWV7u8gTC&R_hPyxhZwkf4KFNt)GEuZDv`5L#93!b;)+%_iL`}^ZP6Jm
zf?`t1OFVy;ZQ9=|)Uz$1%TVl;!8Ir5p3MRK_HDVqA*^F^%w_VYx7Ss;RcGWZ6baUu
zvaq|Ats-@s-M;Fo+=YVoA~JfmtBLjpL}?tX=3e?EVIhyauE_y)Zr+PGb)@en?AcP0
zTw=Pnrt<z-C(d2b8m|1@sV_1YGFC-qblek@TG-!u>_v9aKACsv3t1NHOiAi(HCnUv
z0>f(^lOt8!y&DcXCFhuA#vD@PR=tt4P$XJsO5*C)Pjff7w%y2EC|DMuaX)#MiDu&T
zR-rfB0=jI)PHnjE#QbLS1%cnXCWjcgdp90fQnP4I+QOQ%DGO^hrYx+PnzE2FEi$9y
zrr4?SLNTf2ck-L`4Ypi3wWLC|-eN`T^yP<7yDb;x-x#+kps8ETtMH(c<hj`)Ixlpm
zJXq5jG-qQ#+hw(<mrrYQtKP_8DDqinO5!@tpC$&!ojB8?HIC|VKV5!vNzIOVi3|7q
zjL<l0!ks!JE68q5-<ANo+(?b1D%`0vG8QtbMQF@V(=|C{!QE?kZAndpZ1TdI$b^L=
zi*=?XcD1tI$XTdp7Man~D<+lP?D=!Uu6s_L*CI8JMscS`BnR33S-UNu>8F_2#!F6;
zVKb)i2cOoRk}$J1NM~z6o9h1S?UPSAw|@TQeplt*4ZhF47ngsEczET{$$et;?8A3l
zSk-a+e76kO!K8MljYk$5==^p5c4qz}lTPWd?$5^=OFI)LdM}E2F-bz~NxsNdk4H`+
zPZ<xV{#0)1y7sB%;io?<9bDJhCG9x0;=w87HtpWefpsSeLjSE;y07=%&#9pbHx_dL
zd{((TR^dh($4$d+w^*BWS6#R;Y4y+hk*rOuyCyu(S{VBv{hYw2WS@=PH8cL`-QF3-
z@-ZyR`SSU<n*!ckE7ZP!XO~Xtj=xKPB<(q^bj~mPj^>vu5A*8wE_{_~>1E6JZ2j)Y
zD{L}9%(9brX-!&pTyg%LhQq6L)?J*k{Xwoacc*8TY3NgVS7W_swP)cKTGL*o_W8-q
zzGbrY;N?G`CN4^UH2M70!|BuIE(NLCpIfh|S!DXw-R+js`6KPKH1?gnb7S4U(%WXG
zsy%;?X$qbB<)&F0nG^gyxVXLVt@LfvEt%i13vH{7PEfq@FW1cA#I$GE-u}C`t3}qY
zH(|N=<D2)MUiravYtm9R)9ck;IxN+nx2vmqpU$2cth4pnr?6)#+dT|FN`Lj)7IXTP
z-?eA!x5%Gfn{qikOM7KZY*wZ!_x?+l!=g_{Dd(=7y5^+)-MdO<Ia=|ZTJc@ce?!X(
zPc7>@9lbinUO!$w`sJ_6y3(B1X<w_>Myb^-PusgF{n3y2SF%=yuTGtF%}~3@^zBBs
zTR~fUe(LRRn$h`Gv73K;%(=kqoWI*HgtY74Uv+Z=oBcg2FNyVAc|z4CzWaXLup{*S
zm0jyz%J-`JtT=eoy>|cVbsKXppI7x+d(hRu_x$#<SI?$jdA0V%%!(CD=IvtpdPP?6
zW#;PT?>Z)KD|yv7ZBuHXTG)CY{p(guMS)c}!*2??iybXm+_E%2ReVY6$~__v+eKdU
z>s*qmdX|whU0SR@HAQRpt-VLignV`SZe<)?`qW2ojk$5?^0chxnVJt*q-8x0e^sE7
zcKh1gCm#j+_l4bV%6#})QLb+7nU6D9?|ZqtOjdgKZL_UntDmx8o1${wK5Dz}!#ZBQ
zrfSn28t<+>NZJwHtbO3ERE%QY&sUB*)s?n)zARO-d0G__+}xc|%B$BEYPv%r?Aima
z4U5>qJD$d`{=5CrBi>!U&WkIgz8|}u_5J*p{pCLz)vq+DUdwu76u;T@?}6pN_nRes
zy#DG)@JAD~KcP<;udij#i2OU}!%3F#{bswuLZ7|e+oH|N*K|5w`tGhbFD^{fH`0sb
zju4DC|FeF+RmqyeN7wh>J8f&fCG+}>+Le!*wz9P>^xa)G=l`v1+gneiH$9X8A<#GT
zo3e@dzq$OMlQOKnZ#DdNCEfX@XzsoBUQd6gJv*Ts^6z}xF}_8NF_)hG?Rt3pnEYnt
z`CG5$Tb__}yPwQgzf;-!anDzk?xRZnOIj}5&3rJ$lznDQ9<w^P49oR3U&HSmnrHN!
zS@Gklm%r1a?-sh2^qcJ||MGM5+E+*GVpQ(jUfIPhu=ih1_SC7T*<SoizwIfQlxlwe
z@Jy5U(=GDm`c#B|u)BCAChuGH8eTt-o67(0{>!meKcvtWQSx{5SAm$k%**mymKI%A
zVpA{KD85nW|5Wp?b8&MYOfF40UD|a#Ztlb8aQ+YXR<~C?zpA|F>np>16<=A_*H#sC
z`mgzGcAZnMy0ptZZtlZ{;rs=cR=59naaFnI(<?)(>eKTVbeD#Ro4>C7ADQ<)X8)A?
zeUXW8Z5~xk-|=VrrNu&PHkEbz=qB#>SG)0ivgWs6DQ&j%<^-<!wt^?yCad8cc*I5O
z;Rg5K!V`<tEM5c#%M^sUPu@{ub<rw2#poc*d>_Mm>c%~rPwCEICiQ!(WqL^3<CnL>
zwz&#U{`lhknaCA3oPj>S&&@e>#rB9<7T-=?ORf0oqak0VW^ORKvT}FF-FmaI-dV11
zl*<0zj1VoHzN<ST+t>5H>zfq^T{rAy6F<65DuyRIyMXmZP_y=tw0PT;-5tLBrbLwq
zCZD;w>!FfFY;$)~2(Mn-MAIEiJu421Chf44C=M?b-+1xODyQ@1f``wzmbp$V6Ldan
zYV!Tb?hf8-%v;_lUHhHVR9bIl6&|X$UZGsff+KHsu-8q+G?hD>wh5Pt7haC>E$ZLW
zy(6)&ZKmTJrFFBl*ZJf|7V?^immUhb>l*WWv*ACJl<RiCqF39?@AwrNJgrQyb}jFc
zUEMpf*4{6g@K&j6cJ_}u6PFeqt(p{FCOEy;=>ChyVuklgePR|IdJ(VJ@9CCEI>+Jk
zD75%q)xD*$M=W1go-Y?npS$XY>zs|-PUVOf-imQbPf8UpJT-I64OboG?Q5N;mJ6z{
zbrlZU)@_k!t9~fpo~z9EZJCPOx4d+H<}xSp)b5VtZ%ScdoqGaxKD}ZI-fMMKWc@jV
zo2%JpJh|%Z^W~MGTE$n1$9t=q3hm~;S4xZcox4uh=liRgn~$zaF01;=5WJ_V<7Axe
zp_=t_k9X}nJ>d(>^)uf4oYuclI(ExCJXPHA*sV&f?CU~q)geuF*YYN=ROR_{l7+d(
z$hCg{8G}=kjk_kwO-*cZ=QX&qxJ}|&pfb<b6^3mUS6G^Dv$`D5z0!EE#5W^cbCuCS
z$N4^mC)AB)ww==5-J$$!>he=-CC?a?3+kGe8eG2PYBEJ#u}$@v-Xh1lt~uuAf`=5}
zD~V;loGvf7;*RT^wWZ5fg;Y-1KfO$M)2i;C?^o7&hhF*AY+L!QD&x9PvG-R$&+@l+
zJq0Q68A>)kS*H|Qbp7h2-LGCV#_H@`9bEifvtHI|b4c;^pW3C08ZuvR_0CDH|F`Js
z`#*9U*`rsj)ijQ-4SxNM@8_h#PBTVrxs6#dYjXWWoKLI#ey08PU1qoUz4yMG)1&Wy
z&|P!XZNmaF>7#$5a(F&xZDgGh-K~3MPE?Ly+V!Mv!_{KZO*8Ai&27$EZ+Uoj*y*&-
z2g6NoaJ;*ow0K8&ckjbI-E9ozSsPn-#CGc*S{s#Pn0GD7`$LrU`r_zs9M_}g`+V@*
zeRa~wtFfO-a<xwJ-ZW0#ymje1CE+urCnBFc`<c?T{M(-AzhD2pWo0=1Gw(Os^?n86
z(I;0|RaRg1uAXvogFw%pV>0otr&{MG@7pM*d|##i-!ZE+t=&()Pt$a(SathJ;Qa{y
zlu~o+N77$!E<Y16ujO3SvWY&~89BFqiSN6*H^W~1r%TDzc?XyA>F$0TdFz@^amXSs
zvG(lqEh*Ec>3=?dF|XsPm4EGwy?vi~Uj}T~DO=*a&rtoQ&ol2=2fwXa`_7YnXYlj?
zM`o`7cjeW0v7H-pf66?c+SAw<6C(DFIVP(z_5S^Nt*4qcwba?oT(ExcBjcSd=HKQ`
zd08X!@s-H?y;g_W*ULRv9L|67Xt+%m`!~ZiO2#%{PBPB>`9$D+ZBfg0JIiC-^XEKR
z>hD*0JXYT~Y4L{pn|7>u#qxWP)zP^1=QiBlv~H~pd%O9q;_T3so7UB?wVSH_GRdNH
zscmLt;MCH$X<3n~+j>NIW(%9LUuS#!&FOmnna;4!9b0qFPg~qo(6jc=zWr|6!bL}w
z*?!C2I$YSbFm;E0e&p?GvAU=A_pN)Cd4Ah2H|>SRx@JxO(?Vw@F0P2~?p^4w%g*~@
z)wC;4HfxWHJ@nMwCefe0QMDo^@^)D6&0E{HZ=a)^eS2yA^#tV?Vcorps&&oU<hI^m
z`m*Mz*rIUVZ5*{(8;?o^bn6~eIQ=W&#-^u_6XyF|2;I#%;pEk=Z(UEn(Bk>|Tj<-9
z&s*Ozwa-b-o8+%nkr?T38uDH?_wqWyXGQzA-F<hJvn(PkI&^Q*?-eJUHhAyeKQVI2
z*0*`pnxD76m3yl;OJzGx*>fFl_lGOj<$k~ApHiB;`+atC?(GS&36{B$x6`8bZL`g?
z7yp@3a%P@mW^VPNx*o5PlaIoFb7n{0w%hKr)am(3`3=_#_ieL%G41HBZxhNU`%L<|
z>eGh3S*x>eE5Ez))BfY7P1{5_?b~Mi>V#`*ZuOa^)2?qj{x))jPSX#i<Lbg|Hiy@!
zP5*FZwXn_SS0d;4S{>$IFZW<+IRD2};Wk}g?Pex6`}1wMsNNp&da`oPx08luKcBG3
z*A{iDzpKg=%Dx>wZEI$z`i=)zHS;P;+tRo3MM?(6MP1t_x^mOD+Qi7)+x9d!JfF5T
zw|UpLyBU$Uxw?04yX$b(`{SdxT~!}b6>KiAYfI<yXM4S6_1n-+_2_>MS2yRMl~4b^
zdwSHRvv%|U{Mr2`)!S33`Fi*JD+?n(oYK^LxHMR~;^`HQIBU~3b3fe!(aXgi%?<7@
zIKT4fj@5U+p4eI>9s5p<Gi--zS8CqG#HrCd8*b^gMLZT$UQ^O-$amwF!f%B;a&HCo
zJWoqs4Ssvp`lD9Lw~0zNf$@vpd<m}J_x;zy<IjE_^ZVMXYV++ROaGR4M^eAauBzJe
zYu|xO4eM05p8ltD?~2I(EHVAawSVrd6<YHvF80ZZtba{cyPWtBO3hcV-kBA-usz}H
zciqF6^=mxuu1hWszU{a6^zy}fGp1Ir&fgYU(zG>hZqoel^c(TH?xA}Pqj%V?*{86w
zn`QdGT+P_fD{-=W<6kK52!F9~NA!!t9l^EE{-3r48<%YOwQl}tmx2{HTncyGI8vbU
z|H<sEQ}=poUj=gZ?F_BU>Z=ZE63*MYuVu2_)P%{=xf+Rk-BoWSWc&W?KCnG^t!BOO
zk7>ao@+(WaS<L4s>Ax!7?on`7^HWn?X*Wyws>3m%Yw`-;)u(5!yY>6z^jzPlYR8|B
z3)>fYKinu`Reybx%S0Rd(vadUJK4k!PLqleiOw!C%-Q^OZ*6Vr(<%A$xNU2gW9PnC
zIluqE(z&C|(S9x0Hm?2hbx-X5tvPE;!k=FKe|KBqmLv`J4VTSiLcYBE&Mdd9UrBHA
zpQhfc*XNWTKEKD;?$b$@{=1cbB5Pvudve!hUgSJhRoYb;H#c!lIKRP_)$KDLTvhh@
z^2$)H@+-^ay;WVB_p4V;f7H3YDw8v~_GEBVX58GQUE%379$sbs^68bLUBzelhl|7e
zKb~Bz{^E^oU6<azSE&`Ryys25aCN$k@{4EQb_WdR%Ovga?=v{0eq7?^WZ|AqCpF!|
zuXnc1u|IY7Z}sVtEBkVzH{Nvaf3MrzFA;d^aq=`L|LDITIae!sKdCL<ukrekw)@`w
z+k2v?W``-gEPL^JZz{vNb>d&Q%5LAJti8VAcV%hQzirLoUxl9i;$`{#hpp>p9rIzP
z{fY;i_A4Gy%GcCnt0--2jI%wUuwE`{LwLWzk=4g%yuB)X=Hn~Pw4GWS_g}<pKieD1
z9KSYHzb%4SHAbu8!`7t6=0`q_%@0Ewl@GjRa_^Y^?@&<8S80iLKX@7Se)B5o{o)nW
z`@w5??l&*zxu3k6=XUYPO8tD)x4moTy0l<Jfji%pI}{$@_D{RM+(%9(e``+6t*(XP
zIhR()znAixt8~y{_Kf>erCy0~szn@qGeh~V(m{(^`G=y8yb|71;rvN!<BCU%o@Gz+
zcMo+A{@V3Ydy>Dq+0LS?UsO-d-f>o$?^DwgW~ZAUyZ`CFNw@pE{F}wJdhuny+If$-
zUY}+kW6=Jqr0Hhd+@xvY=`%8~-+ofRBSH79*2ODF=kv{Y_^a|;JNLDnb$+Mq=f8$<
zcGt!IJj|N?>DuB`kE(rC*OYa$tp2ntRnR-)Ng$_KRY(`B+|<N<*1;m$ca;uvZI?*c
z8O^itjILY6E3xD=#oe6Kb{rMyew*}^U)-m>yXN-;G0kPWT-!8FUavWOsbIqXKhc%%
zZ1!JJu-?M{+y2@0yl9(6hoAHRnxZSe@9eRt!%Fu5{@d*jSiWP+ZmswG&b|wkQv15^
z)a^gt{GJ+p_5UTGdL!zshuGXi?!VK+{_B)1EQ)#+a%if4>9KJ6__X>Ndro=12r^PQ
zDv;%{PsN~K?$MM&nXaq%U-kGuz5iP0h}2>6#I*;7)?Ai&`1EzmCCT@@@2`?nQEE=!
z!Xq^AAg@~!qg|$?icPaLZ+wd{oA-vFx8E?&Z+bdk^l|o1eVrK(K0UuVSDSVEg~!~|
z>M!!1irif@XW{Cp>@Sj@Dq7v%<oWSsF?ZUF>|*vA>4z1c-709YG&>{y!fZ~$G~VSi
zezumVu{;a4JDk9BdH;l2uB}rI&bF`w`+682YT=peE0HjX)7i(Q$i?tr0?%V!v4lAX
zoq9|oS`68`4R0mX@aJz3QJ(7a|HtL}j*H1%cdlwrNcc4UrhA8~hrz^IQ<B!$G=yjz
zRWS~2V=P*}_5j0QUx`KYIg`Kq4YRfp?EJRz0>fTjEw|p+_fN0YUaWd&OX9w;|Mz^F
z7cN(Q%D}8^a)gPy*WkX>Pnq0>nt2kH3vBp{dGw!F&d3FgoCS4kyt|-hd+S;;F9SEp
zPexIP)D~Zxv104lX(k%WBrB7X%r;jrui1J*AXrD!Wk$|IO*1J?mOV1Uy+tgGWZc2z
zah=Q$?{Z}H1!QkIRZia)aBroq$)Vl(K2nc6TbEfRJ<)mcJa2jHC!_k6;(8*fc8OCg
zMN;iDJ)0j*oY*~KdS#QHOMz6vp4K*r^o4@QqB45er!7{MSio;PXPHIb6Z1!=8_zra
z>^k9L{wVc!?nfgY<07$-n|QZh5OCHtIV8Z{yYYI_7M8!gn_TzgF!M@%4!UFJCHOf=
z#^h3Or6ylwM#Et-sYlaP?{b*)&ie36Ho!>pU~m2xwW%G`%x)wt+mYuv<KetkwikIN
zGg{Jj%x-mik+slpS42jaquaTld7IC*nLoAso$^HIspapCg@V7LF7<wVD4pvjtbKdp
zFC9&_m4R-T1!IdRT$DL-$2wwu_0dV?TV`rG+gHAgZ&bWDYi8GnV=abpvki`_>|GMF
zMmFvxuj2(FwJAC?685O_c1^E38)SIZe`^J^&Grgso6Q$E{B=!^adZ0`9<`V?<HddH
zxWM$qJo-;f4V~m`Salz^+Wp`<bN83zvZPOYlV$vUmY3^Jc{ump)|E5--be3Il#9w}
zIWBgpJw-XzaIW8_YA#XXCn4OaJMujh4+wMf8XlhH)G|{{DtTJ#vWmPV+RF|-b-Q`w
z%9Ya|jy#)fzbtK9pju-fB)0gyg0~P)v#!Yj0q&>89j$H>X$uY8qBFYs-Oe3pusZai
zi?MV`tJ{l2lSIRkZzdU9Z5oTKf&y*(dwn_tOScqS7%uYLet}_iZ}F5*uOibH3W`N%
z^o0I$aQ$hqJ6c}Q_5Ga%{hObj`*}>G`NizXz56uX?tA`v;ayp1KI?c=#s9sG%sK{}
zrIMC&D(9Fd_T;Mhd<;8pC+z&mt=2<qiogA@2|5M`GycvoHdI-EW%cY%#mdQXojyD#
zXX~0A5##RNaMdY!%|;pBgA#vD3=9MM4L)fvySUYD=IQFaoo+KvUw&=jEhX~0C#GX%
z$(p0m2{T&TW~40?ycT__cjF<aU>~y}0o|(Ef!T{Z^Nmi$<Sl>SnYrvnj*Q=yE4pG*
z39DP%ZX_<Osm@+#SQeSlwb1S15wnurffk0sc?Mj^T^|0D%60N-E$%(s*_pHdqBT?9
z4%uT5k9^q{Jbw@C(ieF$erKw7>v$TRF*Z_6+iNK%m9((cEh1&1;k1a1uCHznk8CU0
zJJHxs{GB0J_`Uz73&qY{KjJp?XjuOALYs|0Z)Go$=~S_k@HKe$thUWk?Af|wACFwA
zJZ&-WM$a4bNgggj?|U9Ey;F0*VELgxcaqW~vaW2Ju~086qo?@S54~9*9c$GD*8Z4K
zXm?~q$#sp{7P7XzRRTNTE<bOT<X90lpT|YrA}3AZfS_=jMb;sX<31<6v*a`!^NqbV
z-@QoXIx_9~yjGz(*MDzYpgL#!3{AZk%M}C`e@fb$#B8YLzdc~zku4y6K_Xqp-TOme
zzEPvZ>hn@RMVJ4X6u9G)<^E;)%q(g<l02IqZJNkk*px15DVAQbt+j1N@<PF9Q5ikj
z(-y0~SZsdWVa~JFZgodrSo*)uY?`*=oJR4_sM~DbQX=8KF&&Pj`z9tEuF%^MV7DSl
zLq0^;<e1#)i$XT0=Qc}ZZI}Eh>ikxYTXn|j^;21OA5IJuek!=5-%gS35!anK<@`rn
zcT5(`Bt^s}EmWKqmC=$aCY3z@X$I##DO1ID2W(AF>8#uO);y|4<<uST_-am{3%4~k
z?=UJqaH4ewTlobi=QrCfaNN~-dCVf^<FXfd6-Uhqc3$i{efz*eH_N2JKEr7y8hp~n
zg?ArLciY>k`EF%vn?;hR;-Nt9-oi6Z!F#SPSKs(1av}fwMfc^*>-4$)Tskpb<2@ty
z(iaQQvo6w}e<7Xs;^g)h)0eoo%ViuAP(M50-6Hjn#B$#mi}uf3_F}E}*KP4}uWFQL
zg|5jAdwK2Cq-E3N0yZv+TpN9wdsg1A&^0l7FV$URT<@;G|3fI;`}@oZi4!@Q=NMk*
z*?6PGEh6cVgtzaEM_cAC+rd=eW&iytH-os3_E96-z>Pbi@(wX*`$#11<y2l{qImz;
zY=fgm|3s!S-ZuNY)pu`&(PHQ2+vdJHa`*ha_>}X}H&W`JO?y($duEyOm-F}EZ9mEQ
z>*o`W^v~Cea*Xm%+gUp5mtX7Dh{|8@_u=E|H#@C&$2rZ{-^12*^rvxt7_;mAKc*S0
z&wVibFFh%2ZutD|EQ-IXzj83|sp?_8_eZtj?^1t%!wZx5-C*GP6p~P>x&K0NV^T-m
z(~}JnYvZf@5-T6<n_!=pVj8gLgIvSIo#Ff+&a7^)cy(3T=F=-fw(6`1o%3xKUq#Yw
zo{CRC5ODte(MN9|ZGXq5r~kOE(yy@6De{5k(WK1pH-edyCYs-weV73>M)Wq$_7LBC
zx#Z>H{u@rOUVh{4#iMt29bYZ{=4(hnWnksG;;vJtPe-b*Z%kVDT%>dA3%h(V$$1ri
z3nMnyeR!pKulg&;`#n`XfA9TKwRmtfc+U3{p^eLKKKr>hLUsSw9;c^YKPjHK)LB2L
z{fYn4+q@!|ZI^rKY`R~u%0p*6e|5LOgN|_i!YixYEuLLXmihjQ)34&Ih`Q~r2=95H
zUa>5<O<zzmyKd`!2gAd~Y)7O@8_n5yxc62yxW>)ZPugo0f4-`8-<GehIHy&96*+CY
zYsZ!yhk_fQcK3X~qwQJvGiy@yN{w~NU%#FdWP6r>>(&zq?foB4aE9$X?RM0wXy5Lf
zM{0IQW6B>dc(mxg)Op5J-?MDkHR3s9o|_AtDdhij$ieXTY}YQ%cUu)ipQrEL&cSF_
z@l`;2Z&izLob9o+_45(}{#mUG_1}2(b9JF}=IZ5br_CpdRcZz3l)c|6{`o1p<gw@R
z&D&n9=KQ&nz2L^Pvy4YA&TEQ<dz)7lOC)R#=ihjAwfl`%SCex-zvA3h{Z+);uKZh4
zAzS&clqS}Bw*;^5KV4iB_&fT}<LNtQTimyG=)Bz}T{Dw=!*TWY8?PrTzxjO9@Y&Bz
z(-NjszY*E4@qM?j_}RL3)`b^eJ>QYN_s)y<UHp2pzNEyz;!&>M&u4H*y?w@8Z<|9p
z^JS8k`TH5%DSsn!_|B#q<>w02^|sBuTx)dy`){HCi?`K3Ki%}ZrCay0W4XO%{*P_D
zes|tj?^~Q6o3p)Y=V!ID==M96!n0Sde0St%Tvpd^!)e=tV(+qx|6Z_h-xW!>A5Rz#
z*A{go+F2e7m@o5q!}>TOo$n_#t9G5%{buiecfZ)}`HJtNbl=yN?Uweb%rCxhH{r;&
zv<17LB&8eQp1zZzxTdIMr=8^?oB4AVw6DJxbf)}&)SF1L9j}+}d2I1uop|BKiVeHt
zj)*>b_ij&T_EGM;mEM&n#cJo(#w^n5F5h3b;;~ikWxn52b5iqPl&*=`VWU-_du77W
z>Fmd=L%J^hjNa6CYhUhdp>LM`XHuK?+RaSr_fNa=b~5vuPbU@2em&u^t|{tyd+N|S
z*SyN|s?Fi*5l^pbhE<iei7tKf)Hn2bW%d%K$#d&gXzyRPXU~_^)%HJXe%`)vx~q5U
z_hr+k{c~Skf3jD1{p!!xY+q=v7Fc|{JWTOm(oflaZ`PLGJ3Z}&!NH)PM~{fb95T{Y
zpON}hM0?Gg#HCZ&BT}C#hTY!ex$#(=<h5G`4W(u>Nqc$SEAkE-zPnY>q--|l(ZA=H
zvdfr0Yt<(hG+EF0{=Q6WO^djiN=2qqSGZbAMeZSnd>@HNYbPpqm9r&R<Zob*=Mj6f
z=%A8}c|?yen|Hyb1Wmt_hjTX@-FY|ZxXVZ1Q_~hMwz)HJ0(*$7*x|RH{a%HqW|ma$
zxchQ4XW5UGuDf<KAMWtyD>$RxZt-fevdqVmH5;ewPhNLQ_wZZA^?n5xR)6liz1sNA
z@|)aWSKTwRn&$sTcGBM2?@d0kHB~Qt<IKP}J%eTY=l9JPwj$x&eb<)7wjbLT;q*r`
zUr3&9SG<<VM;p=E8qY-K`|=sPeHq<EXFK(%UDC-ge7gC8oR)8%S-c(hJ8iSDw1azp
z$_H(fl#VhtF`2&MPPy1=xhBz8xqV_9Ukui0ePM}+eZjeL*#+Um+<&Q3tCz>sRH^>A
z>$`gK2H)$wZDy)DAEY_Vs*EqT`G?Ntl3_W`B_k5eCBqZVEyK7?)WRv}yZE%E_WK7m
zT+V;cow#4|NZx+MLvs5SkHzg*JZQIH@o3umg`N7rPrRQkYkZJ+GW@#lo=_XD0s$Mn
zf&d%M0s|Y}0+x!f2OJ;o#7A_A*D)XV-miEdd%xll>HUg_qW3Gh$^W<Xy>LI@!|=fE
z`dQL-2Mh9r?9G!F=7*fNduD&=`N@5+_Ma$U@Qkmf_{r?8N6arDDgWm$GMhv1=d$l-
zLJmEZieU-QDhP~Oeeh_(UbgTCY117}ch(#feHg~8w~u|*!Kn`%dG+==YuP!9eD9jP
zNHu27LDzx>Y~n``O2zQBXBDto#58LknJ5(_*mvzgSK%7A@TSM6JA&Tm+d1;6cTY}I
zowMqoYr(D`4UA&qvz_K>nz>p;=X4dWyD{ZK%|^|mt&6NQ-V5EE+7-+4-uvc!qt83N
zegt&)K1kLz>+;`vL&9pZR1V|1Ym=fn)@@xP_Apd;<<T7>-MR;lM&<nJxmK`F<J|QL
z67q-7T}@K9i0<xv<fCiWc6sX!ra7yQiakov-Ntb|d*jg^G2OZcr$*&y`duklC$aA8
z1PS?b>#ip$ON2S!P8D&^-e}0P@~Busf$lbe=B$mbFT%QY-S$W2aQ?cM<b82>+e9OW
z^-@CIUN0iMdlM3L&AQ%gy&-YzN)q>m1!B=1KeygcI<xkuShAn)HkQrV8<)-qJ-n04
zaC6&{Lqcg+l9Xq}b@wJk>6*2z+<Jq_XXR0`q$1sI9Fwy)9-R@<t$VN~Do69!^@4Ru
zJ*yQru4vh~^#;eTD@luA1cFBVc^6moSexz;y0hxw)JMzSH|6}>ej;y5)Yf13kF?MC
zo^ff;-^mF@pX>9!)=#l#Kl^jWH(kbqN51{P^6G!iiwpaLR|dZp5<7CW<3Yiv^K1XD
zx6yjoBlGEHJfG&dlZyAJo=9xqE|Pe(NXBi4N_)+&sm^w5PbGG(_B8DmaaWnBAG_|P
z;=xkOB|8+`dCZ?09MHAoDU9Y*&Y5~xLi>rqK`%?6jb5je4+m;;75H>H$xL6wp}s`p
zn5U*!fmc`19KQ>%>{A2x?l`d_ij^gM(*Ikp?7bJXJe5+su}rY*tyJQTg%cU9O@)%C
zyD7c7=Fwv-<+&kTS@YY<)&&u(qkc%<TQ9h8<~5G{7TGNi_qZ{Y_=gHDYIjrma>b)3
zPRjE_w6f-}C0>dW*H$j&+7QR8XtqM(keld{8%vs4W`|Bl>W(?0wy{xKXk|-6!I%3{
ze<%BRRY+%bELi^TQS+iciCwQX9L!}u`cCdsD!CZgbI!`^gXj71uKtBla$SzM-dFto
zvEXl?`GoIQiz=2Yx5ZUOF+O{xaYRPeb;jDo5}Qk=BrNL-I&<M1|6zw-7KsHD1^$`}
zEu8O`^yR8YlbzH<@7pipqy84V-TJ5U?^YpaZSY*dFUAtC_05Jn9?{2^?-FA35<IwI
zOKQcM$GLf1WQ2MqI(Ka>I$_|)$J{ghuz>z*=6u=w=<=rq$M(LO5$S%>_muGcBOZYb
z3xh<GW{9{-=(!rYc?33144RS@vi0@#tKES=>$Xnka`>>|miOreE!I+s6-x!Xu1h6W
zES|`aZz}X?uA9=H>mEJ)S!|kes~R3o<YKZ3bL>#p>i7`CYB+DD!ogzEB^8R#*Le2C
zU0$ub&4*)I=<a~l4@>9wRb*chJenbE*mEhcVWJh6p_BU_!xtLI4!_zF;wBQjb&s*n
zMURG)QV-YqDGRD)sT^bJRgqXfQRMNWX=ah}hmL2-uTcLH@>b#No`3I-26+_3^J&VR
zP(18x$@9U3&)H_$VGjQ%2FHReeF}p4f^F8Xvwp2<6~4Q|R{mnVN1WZP{ZpK~!i!FP
zh~i`3Gx4xM{!@cP)|NdV<M@PYCOF&u_NYvGq4ad()DNDYjGBy#JT}JjN%EaYY-lc$
zcr-!A%|fT$u<t}-)8e8Tk7i6c&JerQhD}vw`X0uEoR&*0G~0QWKQTCvY00zEpHF$t
z)WZ_bm&CNpP~EzpW4ns(v0P2B0{5<<JH7wshy6G0jxsm@A9Q~gv%F@3-M{+izvjH3
z!}_(aO71<g^J~*Ir=OfNh4gM298{HFwnOIs_ptx2hkTQAc8N$$c(905Q*XwE^=^m%
zUs@H)CjHaxwU^Fuk?^TI7_Tp}F-a5FTX$ORqkHt!8INX`1WCO%)SGzeM0Q3^>6NDw
z@;MD1rR1fg4Gc49zIZKtdyBSw#iX2{f|H+auwCYnczb_h*4H=YQw)5&eq?RAWq0)c
zrI>)fOMhIR$^CRf(nJ{}ooR;|yq_2x39{_j;KP^9GvP2x>=OgmaLs-ZW0i^hu6LhI
zSg>2>Q|Klcqc2kqGwfZ`BAMnD*sw83Bx#$7>kMUA!)IQBO_f1YlIkv9V%K`Us^y-Q
z(u>8)UHhz(UMyeC@OSe6-J)7Aj?ceU_U6K~^=~VrU(MO_W%it|e--O)$<MwO{>f;=
ztQ6Ldtqc1!*j{(&UoAOuJ=^Nh<>h@pQet!W`Tozy`L{6l@_7sCZ6T4rh0b{h9(=js
zY0uW@x}PS<2+2%w?qV)Fu_2z1`OW0R0@Y6q4tZMke01Xz-ZSm6$oeHVL31X#+*67_
zrFf9ra!G|=JJ0zi1_yRJa5>vd4Yg}No!E1{XvTwyGRt;oJ)M}?ukz{U$3~e?p=~lj
zcP2Zx?Jkmd&?nQjL$|%gYT{uD^QQ&}c`bbkV^1YF2v0hZemuMAM0&Fam!{q+#lx(Y
zJOy!l&U2<7=6L?Z;MlcSuh!IdJPw+tBr|!Dg!@vBgMyk~g%*GQNB#Y-lXZ7va&qzR
zU2VtuYIu%Mdr`mTm$>W67U#gzvv$|+y)ma}h29}n1&PSHe5V$)$kqpP9`x%Ccyo#4
za9%IVjm3iZ6itK@=es4nx$e<)R?4&RgT9xdhTF>42eD5k{8GN>&6nNssKZU7FH7Zs
zVef~{%O`TEn+QE#;wCibl1JC&EJX>o)vXU|U-bM^zSlb~vn6Son?zc+$^n_)mKm!j
za(p%sO5W!tq;tWet2IlJsV9*8p!H3qukL&1>t5qHD%5MBbJe3^rWEJK7-hk*43%SE
zrp=0FVcTCbx!hP}RgoU**p#c~u`$-pr?RkO!!AW_;U?y*w#ltiHpIHKOfESh-qhG8
zaqgAI0ZG|bi?xe6mX{ovy{u1Y&V|6P$Bj{P`{rLPI`#X8;oI^(lVz_8zE8DEy0L6A
zLwkwHqXm6RG8Y8p^-HEC%<l_&bM@5W4gT8v@AIm+-2eD*TeN%4i_3zC{bX5hEL<#b
zyYz^8l~vcr7<bNjFEx$^ueD+Pmi6?Z?fcGe*7v^3z3zB4vG2#Scy~s*7aB)uWnFhH
zUo26+bxzxj#b2j#>^2ojp6({}=88v`Y~bl<%Xc~-zZUUz`ZUjgBe}PqS<ky#pBZ_0
zTI;E}th4b?=3h@-D|9{iZhX`!rKF94QR^e5HayhV{k=Nv<CIX#yS-g6-E)E-eX|Jv
zd(ppU);gU4eb$>U{zr6oa@VhPt9kildXBE*A|bugiuc`5DazYEnecFy4BHO9_L_@I
z?SgqH5__tPW;|$=S!SW|bmF6RDxW4kEZBEiSn;5u<q`{xb{_U81_$aO#nYRqhb3k|
zH8_}O>9bLLe}MN9-JRA!eav^JEfUziMB|XJW>;Zgm(ZN)i$tb>TG{CSHL2D#kd?*y
zQ?;w4#=}K!9C{fokM_7poXb=>AlTbtv3MfKa#NwlQ{05+T=D1<{wWiwC~`ZL+y3xz
zQ>OwSWf6DNLmQ%$8O5?xjxhDQ%ve8B;<L%5gmrE~X9Bqorpt=5+*s8l5FH|r*w2*|
z6X@7<TI+$X(>agH5z;y*-4FD9U=6VIdDb%f)7s*`9p3ID-6cmh1h_Mbz0f#PBm0qc
zeqWN$rNAajD=$Oq{a@xP&eL6Mv#0jVrMlzNCwycB&s-2ZTq4UlW7%SX;8KyquD&Fn
zYl8XeR$d#UwfWZvzwP;`b(Ld%V6V%J)e|LVZ<!*jbJ?S7X=2&VrjY!TXV2wt-D=Pj
z$+mb#f<c?)Rm1h`T3!aZ7_7Cj>549relj6puT0RI$<A$CizE_yW!fTC+6BW-D#o{X
z?_4lL%atd1iN=8xO)f*vE+wBSizJMfY8+J4^xC-bmgdp9%Y?#KG$d4Roj%Qx)A^HW
zts4hhc1zL(Hwm^Z6^HWPmKW<Ma{M(BTAW{cOQ0ob=B(s>ib0MIs#+WyBUlB`tx`Bv
z@KuAeZKcA|0LygWq%W^xayL$`j-L_n)=4zTDPC5P=epog16f0!tAP!QR$PV=?t*MD
zHI6yxF5gq1(UQ2wjj89dN5@8~P6Ib(L$_>|gBra{Bxc6%QG7HlX8x;P3+Fv3t*iBL
zQT17?H~)z4PR$|@!$>|!wUdbrf<+QZO)_p0`t63tP9`>OESizjGsV5@-lP-mUoIAT
z7<ln%x}8!y{QDJyLf%Q+sfPvppBNlUw(R-n!6$4p<**3<D%-vD7EUjT*w?&Uzw+n1
zx2t46xvrA=^mT#EC)R~BpF|hRd{SK~b8LrVJLkI7iCx)2a?D?*d^%B{`dvl;{>0MB
zp<U~WPF!&1V=kF?SYZB>B}z3@4ok={iD`MEIQKRO^Ae3?Jepnxv0XtvzrD*=&ez!f
zWZu%7x|W=u!}?3FE_K-Vw`%$-&6Mp=?%gstC@sCLVs6^*WjyAc8#8ND9GZC5mfXm2
zYV-ZcHYp_U<X*3*GafA!GJnuIrF~j-(fL&2`N_-Gi+l>5U%l-8TA`h~<mt3KyKC~J
z+me?5jQbbLZ1?p1+YW=<>1F5Mf93q9yz4|_b<G5!jNj%pXY?I}3%%E6*Il045nXh5
z)qaufPkx<NJjh_VL_(vTr}@bQ|GFZHM{%aYkEY#;wd^T$=M%m&?Xbx7r82>LCb`&&
z-JRmx^t#BS(3ekg-KoR|?Vv+Ewo6t$hz;#>`Z8$|$KItHZt|L57s9)OzH~?ba;Wou
zS65f6=FY-j;_@-Too9QA$b-TuvF8*%>F!hy^xi))|K!@W-;V#8cIR@|q@TJw)2$X&
ztW$0ix8nK`<gR${g~p-9S)X%vs%~Fab#!qp=jx>$32}A(UM{M8ra9X=olra)ZJAP`
z-p+XMbYcg8(Fu1S%bt&(e8PJs92SXP^|`XTYwDyE(J9yegiYe8oIlCA>s`?a>A5nW
z!qnUM+&rn6UtV-VdY+7$gkrm9m`7zwgyPeQ=lir(_2<impHw`WWtkG8+|GFIRANVI
zkwoHNnPWE;+BwZmC3Zaxl4I7H{^>;e@w1brpYMx)G9hWT%qQ8sGHf^W+7-)AB(}^g
zl1QFDrCZ?d5)G$%%`QWSE}=bBqO!We9m~A?UTl9djqT>u6Jn~u(^z%0^9s!y^A9Jn
z3g~o2FKae>aMxLxCELVlW0*3}YZIY_y>3oAS3FvJr5@gn=vBF~W}-;+6{i55Fx@@f
zIafIjn)L?cT<18<*UNHa<wSwqCPInZ-ICs1^k~{E<!R`t%W_!g>Y?8k1bPK{u5#Re
zp3#!H!|lhaSY<`GER{nVy&rPh-Gq8BdUS2fQe@Hz)!j3>_cF)9irx=<C%Z|gWvLuU
z=xv#?W+F$hiBR&YSqBA9hX^F@<Vso-;Mg=(%VVRLolk0E#9o<TwVKq3x!l@IT25Oj
z?O3GT6>62VW7%Sc>!l)(+WLODIk_9=z3k9$E1B|WPng`k6<2-s^yjYj+b8N$5aBN3
zUUH-$#GSG4rN)s$SyzjNizU=cr#zU_7c^&`Ue)Q2^~bk<>ac!r>-~`}OO?BRS|vp+
zSj@m(Dv~s@Pf6!mV2`Sm*M<;x&1soWIX6UV^S`e=z4iXbb=#fXYjPJVw^dqkZHRJL
zy!JxlP?YS^h~-aBj)<+zb-l4la9_-Ik0x#@&qBkDn}4R{pY-jHR&)G1?ar4Ssl3{E
zC(WK{e=cHK>5P>}zRn1Zjd;HONt*UFwlgQ?XX_ll8J4*&>6hT=DLZVRh1ZAdRo!lR
zUv`@Qm1!HgPZ}Pyo5t%nbw|s>pGV>tSq_Id7&@`?D2ECxm^~|_<DFK=g<w|0s+9_k
z`Jzi+EPgU4X@Am##cuJU0$+j|UF$^+cmf<78nrkKJy-?VRx2D65LJ;_)g-cg#hl_c
zW-m`BpU@<`zZ!)t(@(um<~ese-O|s%qcix+xyPSWZS4L$*}py}`N%n&=BYbcZfYsa
zSjyBjQ!8P{!X}2xp#n*>xRiK;9eXq@El)S-Z<{hBaiY-Yh5nt+IsuP3K2NncW~1t7
z5Z)PlCbP3XGyYY`p_BDflbeLSd<tVaCHpiB8x{xY{4+Q%sQRpUhET9fP*Pj7mrTNJ
zk?#GD8{#`F?}sLJ?e#jd!M&6D&9tPCrGI0+&fIPl3Vsui)b`d(=0Sr<xBZGT_fF0{
zZNsCws%bk`9%0P$*!V-@PpPWfj%7zg!l%x7I7NtkhtAPQ%<ra8nekweP;g8@Qrl-Q
znFn)3y8Wlm4^3(^_VOu=>Xf{uRoLMAf0nQOsjbHiD_5_{|80JeOZ?e>t>ZPmAJ#{j
zYwg!QyV)?FmF07YgJC!;PjQGq!Zse4+jEyP?b{Z{c$7!fz$e_XA+z#aea2nCl#<Ot
z!Z|@n`-DQ0+IYRre6J1C`Lx5YlT%FF@Tin(T7>S=MfPihlJ+G8CbfxroiYCzr1R^%
zk*Zq6sv{!aQ)VoDzyGA+`VvjCzv<J2gwKQ|#Xa^qqaD*(S?j&=g?;eU83|oN!9HP0
z`}PMvVh~;$@nt@z*O}QZLcu-3No^awWD<Hry8jtRb#l6C8XomfO_Nx7gz?#*=rY|W
z>)%RQZ9V$*&!rBI*&Bb|-}Fr5U&P9cy44{L8-iFxv_lSTh+$=%_BVQ4gvf$F?GDqk
zS`s?lejHgQXty=9#XpZr@N9LX8^^QkmZW)Z63?<!4yd$twEh1WAL{hMQCZ}@>7fsP
z%8d84TuM64r4%dH3U-A{C04AQ$WSh-WOcl_SHR{n$I;+k1DlH;4ad0z?>?F6#vzy0
z@~F{G;$61N0o&FNzRym|HHK^Fa9_-9%W6sNaAV>L>v&<+Y~o}Ppe({{a_B<5GUG2z
zmp>0X{JrKKoxlC{;!2IG?3RVo-I%_Fbo@}xvo&$L5UVV5*W}QJP-VtlC*}r~OgX2e
zuw&AB7g2|ef9kaw@?Am&68`<4!WaB+cMiA7q4cEQ0G&%5hm(3)A{GfuyuVaRF=CmZ
zok(U&;!-!JGoc+XZb+LRdLGdmkaLaWuvjn4ja32{H*U{tNoaD@V9RbXPwi!q0135c
zwj}Iu`!Ow8x#s-Ji42QHm8`x=^a}J`<(R+O<dA`fat#B>Fk@3EgJ@-uVv|D~yp$Qu
zG+avFe3ep+SS`43-9-+0htKSBMnVtvI=LLLTQ1nNUFxCpW;Y4BOqB!NtsQ-TW0Y$$
zu5rlEGCkCu*2_{c!~S@%n$5%GS_&^z%cC#OU|-en{za(3g8ea(J|%DJq!eGQ7VNT<
zN_??)B7^)>CWDI`tF`1VZj8^C>oS*mcsl59IO8=<mn%nfdIip0;5b^+Yv6O)qhTeN
zplo%Q8;4qUOVT1YiDTI+2Q*qc`tCX_*X+5@A^+a=(DCZt4<F+c7bTZp<2b0kG|ct5
zoYMJZpOQCnQk)lJLE@J=9K(A9N-lCZr}wh_Sn?#G<W0ZS!)(3Y52n-IB;>MN*6$Qi
zvic_7`yp((;JuBehuV31KlH7gP;~qn$NL*n4`rj3_atYl9I)OQ+~sEOH*bOKZ0XfZ
zU4~i-5^I_6J$T~9?{ZvjrC^hw)Iy1Mf^CUX4~wTbosx}KW@$EcGW1a9VKx;?XmD~l
z{%*ZsQ=Qbp7pn!^?4&p^L@O@ptIlkB&{mVg6nHw_<WNDBGNYfSOUawbQi>J}1-l+g
zC0Z<-$lwl&J;7c9nQI(J%X$rDu6Q&sgMwwh8^^cImZbG=65ldZ4&=3V^zHRlX0bMP
z+UTsz({3X4VB#H57gPHX#)HhF0e8X~58H}<sGY*&!h1iE@nEiKz?~q*!@i;{7TU~#
zJFka26!@}=gohp|aAjrGJMD1gwW_E<ObFvqR#AgF;f@W(MgqxC*(+TY+fM0z+VJVW
z@waP<n_hEFdme7xdo%Rv@&(;-g}z@y&VPB=rs90$UC7?)0yE8Dsow24!@YIF=`&}l
z;fMIm{Mq+J;WpFn{y&$j_A5tDOKE(&!PS4Ku7<%GE-o>(U#u5>{kXVTCO0!3owDG7
zfvLua#-H(G?+kz1O%GSK+IrH5lS6GK<53sW2hH20A|%dpov;7VSYDbur%j;eqCnS0
zsYB{-rIHetOzK!y-qI%U=CVN7Ua3PH+?{paga}=9eSblqX`NKk!tO~Oc|VOqg`51P
zoIVCR|1gR7bb0?V&ROGLCdc7u-i;rkJYCv1xmGT@cWKp+kiZTDn=3~)esJ(~k^k)B
z%psS}aa5T1VX+AB#t%N8F701poH^n$IF5SrKK!iD`!IE_%A)U8ixpY&O<NxAYctq$
zUEtoTDJ~_Y@}@1@<9HYTSff~TZ%vNkJ=1xQiqjbn8DH?_<=C~F(Usry!D~j-4Hvwp
z>b{>9kk6~QV}+H+d#Q_wyonZz6vg_qIxYSsOF0$9IRA+8ahCAQ>Szu2QrdO+vXoPS
zoAVEwU}uSQnH=)Fr@E9Z6gO#kFrh6V=8{0$X{kfYRaSir5;+)Zs<2}jo5=O64G-I-
zB6h4~dh{ZHCF8+d(*-*gv+<N)ZSa>4b?DK0f2AQ|%c~Tj#b+f<6C_r%iMg$4kjP?N
z$#~Ggbb-VIHlDv%4>;M23Ox3YH&u{W#U@gGwIQ)jDq_Z3rlc1$m$I=KUu{TglQQrL
zXXbt!;?QGt`AS35Dk%e>KxXdAp$BF=t!A8mW>sS=XV}9`_5bf#?upDV`8e;1S3>p2
zxLx9EH^ORIROS5=asw11ghe#M*jd{`9S<HlwICtcHK1UFjmC}$F_B3xBt?QMBrSxx
z)-p2tK49hLU^(B+baeBA1M0Sp2M<kNknp%Lpx}ds#*PXJkx3N-B0)RkPgowl<Zv#M
z={u95#KF4@4p^^QaG*d<BVvcBNX{J|F1|b#R`Ki2PD0n4oVdc7Ew^v5oKURYsNE>>
zC^p)$k>A*{@nKTHh5|*68y4~+GIO}O^megEZS3`J+|b<HxS^Z3u|ViG^Fxtq8xQq<
z;=6h^_p3zMpVWyQX&b+NzrW_k>5^GtOJ7&i-w)yX;F)IW@Nt<;!jBk^CDPeKJM`{d
zn$ItAM}u7>ZyGaC_$S8Gx6e-~YWTk5DZ|kZMGc8AiVxnV$Nmm#NT{`R*tkO`A>tA1
ztIqB7-^DS-H7rQCbhx;B=5!~!3)fCu*nMD+t*@ra!?&3gmJWuCWD+E9&I^yRyD&}I
z`KxJv+UM%mua>@G)z~$ana6fdY<1(d7^YgTGq=Aka1y$=j(s|_i1TU2wv+eP?RA*_
zea*?<Z}qVs)3;9T<<vR<Y&%Do$Tp2Iv27LkVK+8y*tH=w**Ed@!A*yzb(h}E5B(#0
zKWp`x=YL<_K33H6V6MK)$9v*QKOS&L{&>NC^T!iz%O7vJcUIgz!SB(sYF3o=>dTyO
zviLYyb~iH}owwk?26K&w8{#54Z}_?R_*huQ+h_eW2p0SFb=ShZDnWCmxN=vgTs%1Q
zTYd0Hai=x@35mspvl9X{XPZB~WR`z$X)d?7_ieLjQ@8yT2)<ReanaA^qV{V}+uL0W
zyZoZIeX;KB%r)7kO_JV;+pqpHQRve?#@hWqDv$QFie9gHaw2;F57(pqCJ`?0<Gap<
zt8{L=_AKFx?9vxTVi(z`E&6=YWb(Itn?+)eDgAm7RAMK)?9#pOQx=_$aG4*{bxwWD
zwk_Z9g4I5svgotsO4YZu;hMX8T)*60`l2T2rC0U+xGue9!P+!UtNCJ9m+oz!3f3Cf
zH81Be_lFPnwnL1H>zbGKc-n`1=F`E_-&_|S6#8hjGT@2tQ8sNy!P2b4^GR!U&2RiX
zYOB4W!)~d_T>U9eYxOl;r*G`A+bJ?v|I-t;-HX7Eybx4!uki$LSt8gOol9TTEL1hW
z;R<%6`jkbVmrlBqAP%-hKECUmxOGu;Y!KLqIht1S>rU{NWr8&tE`3p>s$_m66|B*H
z`l8RSZFdr;Lo|lwY^dSXe_I{5@t{|Li)`eb%@wO0@-}F9SBE7C*1Bj~WhNIj$A*H9
zjWB$?$JKLUxVW*NqhM);;i{`@+qaq?T_GeAcwBVxC(&(Nu5ShN(nS|r<rYathxceX
z3T}-zTy<M*`}(h7sdkaYYPX+k+qzI9v`OhzL(-Ly*>~2Ni7f_M{)Kh^MNX}Il_kc%
z=XT|bG3CBI6~n#l$J9O>HM5TX<fo!d(MfG#93Pf;>AMu{lbQNNc=q$~Jx88R7hb$0
z@R*5wVq^SAB^^EYgdI`GbmS8|K|C$@4Fzj^*4DH{)*bCO*e!GL*jJ-%p9P=&64gI+
zsECDq`e{aS@l%Z|defLSV@`d#xm|q4_fvcK>U$LIwT-u)+QfNBOn6t3#r%m=lP0|o
z-n(Z_*0<v-JH$I8SG4_XoY6WfLirlA>%>Q0_U=5>|2lF{|Klhv{@0Pc{8s(?N4J+I
zEPOSadDiyRx&LB!?ReV%?AhYnukOX4FK+v>SoPxpdEJ@)+fIVXi}JdyzyD>dtl9Dv
zOqQM%6|Ij8*A_Ds?wZXae6;+*5#{@`#y3s+OzHzaHt(AD%p`YpUii%$GuGv=Ubpu2
zvf@m;vn!@V{=I%PhW%q*y{__%z@MkP^qbZ!TexzgS@7*C{uOCUUrbSTUba;8wD7?-
zUKcgB3n%aJ+WJUaSUuwB)S~~c^A4zNZcOO8>0o#@CqW|DEFvPohNCOh?c9bdCv<g8
ziln=H?i}P%E;qb;V)Bf%Cz?M0ys{ijZ$3LUYgP`^=BLL(6IhD3^dzmDW_aeZ5%=e<
zXO1!H78`_~j?uZaNkuID-;PJWg@k?UJ11R95sFx)$Z}e%KImh))+C2|StW@D&LYgE
z9f=e8A|=*1o3&+p^4l2WED@H`(K=NsDS2v}MZ{V~y=xgBLdvEpt#w(KrX1L<f3@Yo
z+v%qsWj@;a#i5<!SN&2)k?*A&CEmT9&}wa!@_47(dy#yTmWNy0A}W?Dn#E;xG>c0;
zdbF`k<)eplP{og@{aX9fCzdF;X|2|ezAUM{KgjjvjAI*4-4FR=!*OZu<(;oi9h-G(
z+Nlz=+f$BqtX~qi_QA)xKD!vXt6UcL8htDNgdX*ux<_^P%GKWK7q<tUX=Co&C8l4b
z7uz5B>F4p4<`MnZ6zwjZyc@!(x*^~+M_;Do(L&v1i-oCTepxengLgSEkXY@^^SI>1
zp$geehK}trK35dQCzoh+p0t{BNMvVdfr{M+?Po=tJQLaj<<)P*WIqzQ-8(0-d~HOX
zr_Fs8|J6p<gU;P~uJ1c1VRF`URlkjAPe_)nG`b#i;?7Jj&THnTrs1Js^>YtI+NxMA
zZx?y~bn=>=R_a>bd$zt@)hKjl!t<@EvktKBY}QC_x~_J{I#D>SBKwfoy_p+&zsJAq
z?@sD|xI%K9)QZ+zwyfmcjaD}j7wDBqW(Y0kai4O?FSpR7Q}mdFu$qL*{3&*G9Q2jd
zUt~TJvF(|&aQDRM7fDadcFp4JPujp~T=VBrgKmvcqO`ovje`M~_r>xUK2-TNqkwDg
z{z-m+o|Vll)}5-m*0N;s@~E?4zj7LC&yUsJuG+WhWt8Ldkkv{;jnA}{CpjIdJk>Er
zrNC~jBa8awRVJT3)e5dSaLQ?BI4{+ZzqnI3@X0n0&R-!fHC^qQQ(xpS5Mv8Dxpms|
zdna#%2d-50x!~V<bk9lc367_ZaL-8Cz!}VAm{_Nz)$jeFBw4gAbEU@HKsGOfiw>G`
zn!ENXrS8aHAofmjMXx*Cs|Oox6g2`2kFGsre3W6v^Eh9=%VAT)Cm;5m@o3$m=NgG^
z>S{R;S90F2NIS%rciMO^)469+KPDx`PQ9|ZV)K+`d^e?X!VmbJ)S9&7kzskr-(8Q=
z6C)ynZ|bOheN<J>WW892W%sHjUp-GuI?&_6fAEY4|Isxb{D<GH&<TFiy~#kPV^f5M
zRO-e;ol}n<R7^Q~v`NET=<*^RO`qxhuXC2Kx_0Z&lrq1mS5Dc?l3yq!q22%5&d=c7
z3C&~mOHU+(KA%>i79Kf&Wwy`tgr=IiM)@a~*>bDZsp<xAp1Uf0(;tCb&-;_st=d`a
zzI)j(sWX=k{95*Ey`k$n1{;lYN_DHwgp0}@|9RJMRnU_?9zQc0TfLa?ugc0=b8_kJ
z<YlWWj)d*3NL$(Eo+H|w-nXgZR%n{>&R5IY+D@@NbF<)h=5C?!%+=xtr-pxhH=mtG
zpHV>5RW`4UryL}wtzM~-6xZB6#q`BRAEs{JDG8m8K{}=ZZK<KBJ_&8HyX&}TdR=8p
zbAoG2^Yos32aj{raV2iGo9<ilZ>j!nwWgzNULXH?+ono<TDs|G74QCio1CSxLu~oy
zKMFBVT`m1nz$8ZQf6bhPmPzKODN@F|rhlHutUNO>V^ve%r6b=o^3AlLM$R~1Jk?UL
zc8glo@l)$BH}y|kUzujz`MPY6`&GqzGwU>Cd$ks@EX$TW$fLV>#>!Nl&0EeK%F*3y
z=zdz}%vB@t&s%gle@0C^#1uR6<40HVUNuk-7t549Dx#ZQ@t<9G^95h&{`K`+&p3+f
z7JrP~y<f#HGm=aG{{l^;HqKplH?qXNo|M9pU*Z`xW5sF(>B%K04yMQ!8HTh^nz71R
z(<gZDRHo7g%Bj9g`Tr9hvAmz+9x?gh--98c`A4tIuPr^h_UlfQWh-{woOP>Y`MD&4
zS!<Tej48I7?fc-c{Ff;U+E>YI2XxJ2J9WW3E~y~u{?1j!#i@Gj%Au<suYG@}xF+K2
z|H6<N;<A?>#MC8~1eogTKAg4em`RoP>s9+U&)Cv6^-W#q#HFt<UzN1`w($J)E?JSx
zf3JnBLn}W-b9`H|EWPyQshrT@<uSF(B(p<<kC$cIxqZlb{d=jH(3i{3UH?{XH00kq
zt%Gq@)$07bjPTcIGq$h%^!B0C*PPH$e)B8OALm~@vR?n#{WVefh0eixmv3CUF=_4d
zdA_T-9?h%azOh2<FI#@YS^pgAjSF9~i{9sqmf9FMb?32VV*8$-T2&RdkvVi}xS0Tt
zk+cTeY+jzbp|w8A(Z#8}=T`acShj4{pG5x9Qeoeki*fUx-(4m0H#_*;>Z$8id42ZU
zvum4Pqn?`2hsb?=LB~Yq`^<Q_Sgcz8HDhS@H-q)Z`ETvGm$>(O(mhr659eAq@69qe
zDlME=p=&P|a-h|%#ywkO4yXQ8X>(TRV&1qD-~G8K=+|%7t@&6MaP<;bUrunS)Oqdy
znMd_`*w+8xul;#mt1j0-^n2M4@7Sb8;kq&xgMSvyHV}Ow{8X{B{CJl3>P<7VWCFkX
zR=O9L^0|lpt&)3wWYzP9HMZxEbIM6r9<5FN*1etm{@*x%PO~eoG>?igr``x${bKDb
zL&vM<P1%3#{J5$w=#KJ-s+PjgOMmPAex{_ZkrL<$<n=oH_LDfX+v=+GOkZwYG7SAH
z%44%x`D*9q#+lo{3$D6%w)tnW<hp0A=^KPB)@4bn`V|~Hq3I`Od010<dqLFIa`Wxl
zCVv}OIj-M1cLv9lz6WQf2g)`5oha8;JW;Og^F%pr@hR?C0%or7-?wTtv+Jv^ZQZAS
z_<o&w)5kmgOW3jVVNZWB+3atRJN4|4Y|XFE#8XEszgRWRJ9Syf|L2<P4w_Y`w{Nug
zdhEIPs)g3kHxH@vb%$<bd34%Qc2R`J{Y9sg*E8s^?h6cf&p3zmYS-koF~7n?DxZEm
zoqTS6*qq~W_V+_(dvEuDuqrD>Q<`Jl%42UHrQUsA<x$|wubH>%SAWcNH@l-N&zmGo
zTeXGd8{dy<SuZs;`YnUSFKeH?cy*Oc;VJ8koRGQScb?n%Xom6LTSqvTtrW@^&E2ug
zcv+bCr#rJg%Sq2$)TbeFG$nNQsq^orpAgm0d()w!esUv=koTg_ER}a}d@m?AeYA2h
z^lO(m_G&__p;b!q+88;er|++<oy@(dV6%4P(xSr}22H6^ZX07xON3?2Y@HgFmOS;&
ztRI}GrJWzH`>XYH$+^^5d%8qLcLeFl+4-&(Gj9ujEpV_a>m}nsv5%26S*B%j94tyL
zx>s!f{mIl?Il(*E6}#B2j(iAc*ST{=QM$kM#6e-%qK_f%lPZ=uYuc<2nl5~_%9qn<
z%|!ujZ_^{kcz6p7yeBh@Z#Pxxw3eE5C{|U__^`N?(}y5u4ZSRm!=Ahw3%ul-ZIzyR
zhg#_R^F@8yKI3!v^dBjjha3yc4jokY66CY<owQtmm3{u@*Z|k{S!>sxymGBd{@Q^~
z4)%jfIoOY;R^EGU*|qp>)=u}2g-xcPuC5V#|8Lrk3Dft_Ds_!ZXVGN|U#uf?eUT2&
z^-%ZdEg$^!8l?sKn%o8Xx&p7Ju^;xRw3<*}Q7-H*p>eQ)d8tI&0v_k5_x8Hpxw-PJ
zNm|j{4Po=7D<kfd9ulZ*`JAxMsQuul3oi@{k8?DO*zPP(v}$a$axrk&YR&(#bwka<
zl?OQPWlJ7q*G>MhB2~;Tb7pUN)UyTM-y~v6u5MD<l^Mx(x75|`V!U{-+6Cv+993D8
zuKK#kH`ZF0WF_|gDPF>~xiI9k%$rL_;>Wk@bP7jJJCyp<^N3CIi{;if$EsChC-s!O
z?^4nIay4{9ubtJC2b+`Le4o&L-D=7aX<4s_Yc~~LdfE1@Y=`3gUt&Hr5$3l!&7UrJ
zv(W9;Ecco5ppi59jag#b^HWC8ckE<4KPAxnd-Xi6)kk*U|Ljudch&ix>Yd}WQn<za
z<T48$a$R@+DJd@h?ON;W5auQC(=ODWm5chBvLspg-Ab+1CF@^I`t!ug`=g$}S?tN_
z6|Y0*R(&)(pBs8oB>(fBOU`z;PF?Sdwn~+{ox18rL}6^*n&nG_ol}=>++{jjc>1h$
zGkIBRpJZRJV|LBo+_-T1&4h)^Zze2mzxiOn?o~WeTANFgGB5k`bMAVj=sMl&Wmb;&
za<`lb*RSff{x;JOS*uWxSiP|(b@2-U@onZSZ>@NL=$raaPYZeV9~n<-o`jk{y43o1
z`={32`|IP(PEB7|yT9^g)&d^ur`)%7=g!&~xo^YOpnX|?z5ZQ|>Mp<fYpIk#%|EYQ
zvost2x9OOzKDX@A)VEt#eLh&9THU?s->L9z<|~EI$KSlSYL(3Wsc&;%&F$7Z6@4Ii
z_p0!`yyw2$<tv20%Y_I@-e0Bj>QU-T-BUH`vt~<M+*xsEdPD1t*IPIK3|t><YRUge
ztTXwP)aMNEU6#{-3dc{2>G>iM)A1!>PX8B!Io)3(ELN{+^I6v^|5ozpMX~i$=ZAge
zZ_Ivrd&<?2Jz;f0k;1-vN=1HsR!(C+n7Fy|(S(}^3NGYqC~z<pna(@&7~hTcI_){3
z>$Yh|&lQ{g^}FWjjTMpg>l=mM7zT88f7)yBczLOkNl{#r+d1wFCn|qRTI$>Ve72&$
zda3&VH=C9gBqz=1dYbR^=Bi>`g4L1douB4ju=y1Ax-2wX_wI}c?fd5Xe@<NN-s3E}
zb8Fz5bHX<=7qIN+nUa3XLGs&*T_0k0)n?zSKKLLlbY1t1gvp%2IVOp1ylOd#dpU1M
zq#xodTlMPH?r7zCO#9cadJ=QoCs{U6^Rn*Uyqzn3wpZ*<U0*ZR+Q0J5>s>SAe(zW<
zW!AMl`rFDhr+v3|!$X!&d|!4t_3D{*6}z8K`qQ1mxc9cM`&Gfu+oSbDy25#%CjQ&J
zC;N8o_D9imt=|=1F6eaU)~uPssaX@kscCkuMTC91b60n;qH1d`qw5~Qx>$woM^n2c
zBBV_M@9?@NM+gS#oZ-<ld)9WT&_I33ju|3BlPW~L)LZ9meae-Ym64H^vwGF(_3En&
z7O%Tw)xD-5KT7Ae+||Du9_?1V6BFEey!7d|@GG15xOQ85Gx_sOd9boE$i_HeUx`@&
zxBjbBXU%+$UfeT<&+J3jf`@8824`9{+h!RYz8%H9&ESa0?PCeTWd<i&VtLkRfA?dJ
zsmVT9DXV0$%vt1kX~)ABzDSFe&Sriu1?+W8PaK`O>r>FumWsv4BSi)Fi&-vbV{w0d
z>U+Q+g~oO%rwi-n&I<T)U7(FoDk*V6+YN~;els)geO}q$_HdC@#EvCwW_qg|o3*nZ
zJX$5CQs~PYv|}BMFQ-o!bJN6Ao5a`euMAqPwx{}{K$pAJp$`$xIwjYi9B{KQU8X5_
zO^flcwCRQqQM@^Of|%L$uO2wcZR+u8r__=UYs~H(y<VZeM{-S8X;RI5<z7zHd$04_
zws3#nSE~ESwD@TJ%=>xab=v|D-Y(Kz8!D0I)AFFtP;ip}lKkDDbQWi<(oRd>At#ix
zmYaWS>C!*_8OxLREY#Yh8@D{Xr#fh2uKfA*>M4eTO~J=pxQ^THiruk0XGQkMW%?Fz
zs~uU?OFAAc;WM0bNs)Vb>4{^CvPA`M?J+Ue7pk0l8Nju@M!)-{@<+b5TUvate=d@o
zzhp&I^?vQY5z%p7?18?wb|;v)WqG(V+gBgcO8hrT_MZ3iMGa?7Uo7egt@wPHJ+<xA
z1?w5-*_zGQ*2kus2<$PG*0|^O-2I-yy8U}5r^U~iSQL4FB3seHy_@6~+*;qxmHKy|
zU!cLf#_3<#RduF(jafNcY{K-f?x|~>BK?EXPK00E%-i<oXv*fs2b*s?eDn&vrV@WG
z_IB&@gJ<u}wktY2^`^<Lm9ql(*$7#@s<sc}_+&YsOU!zsb(KefXH*KujL-Ktuf@Ky
z?Cx36uC=~$+5xUm*{6yJi)@!ftZL`!{(9k%knNU@(V^;cOJ1zB^=gZYN?W*n+RYb>
zQ~BC5XLj@6s!`h*cRDCyd9q34)+o0PZl^WEvLz2|>2BT-^iKa%eu3wM4n9GdYl>aY
zR!0f~+I3_uC`$h>J#o-ZwrFE`)M2p++d1AQEntaV+B&aa&ERSa=dzgwM@xj$W+WaG
zOPjT!H?r;Vq8Dikc;44+-+$<e6Tfqev@P!yM}6kfi?<ynzsO#o=@WSIkn$nUH--UC
zy+z_vmOoNDrY9@%J-Pgq;z2&!B{$Z!^W6S&;gHeYjTLVz=QRqQF%RfsWjnRuf`hKk
zq_*qdOj+mE&#3IaH=*A4d|Kv9^>wP9S~pZC9}=jHi1H9Seq4F$)9U!TL&moQ{^XwO
z*rmbmSie%M#(rLuChxL+_x;vhaPE3qbz;Mo*c~gpHZ0sKeE)sj=EesNHysKu<Rn<A
zq+Te`ukDl*(z)i`<yv)OgU_iD&S(9<H{3jseK%);MV6U?Ot$*Hi}TDo+$SGleP+7#
zY4*8!$2Qz5m%KGO$Huc=g<byer0(;Hnw_l=p9DulNuOvfpIIGtL2X^udVX)QV=b!E
zj}K33KACv(=#&jpj%?X5<xtNim3^Od^Q6{jPLFVW#Ji<tN@ee(iik(4veR^A_`J=+
zrgmHD@3aspQ!O;mSz4f=yU#gb(vjzLe=M<*J+bzC;d|jp$38?{lCha$BF?X(+xdIa
zv_pFX()MWW6*KCx+N+T%mghOEclM-b589S|Y_0M5yzi@4CQG<V@54<?ZthUY<lE*o
zt2<QDw<b9!b%nqTjTXsC7Y`MA7H{ym6r(eBi^?>QD6VejSwG&qcJfq?5ID6!)o6!@
zQP7#`CfuJ@&K`^LEH-ezG--xzrsf&0)MH{ZZ^{{U=^uJG)uhdK(y{R1NzW3dFWGrR
zGgI>oN9Lo?8*i)hCX{Mi?mO|t?WD&>*GoD%(@fZBJI(sx^rr2CU(CkIE1%kJRtQ=%
zT`4+3J(KU6SCmk;if-#|Mc*2Uva*c*o^h9qRnHzR@$^nC@er05n6Hs(rsgrLyKvI9
zLpKt>>)5Tc)lU(2-Kvz693ehUXAQ5nURe85m9W;OB4KRhFKfMPDsvZ{YMFMR=A_3)
zpGy+USlcDu7eDe=ncw?z()oi)C#N4#^7Kx0^bj_m&F(4XTJE{|g6}1nlBru%cD0yX
ze1DGPX=F`g^{OR?HC$%4?~5O8R+-<+Kk59zYR}@2VV5RVC}(Q!;mdsFJm<X1*<;q8
z#fC;Ddlps8DQ0T&@MkX4o_AO8M#7$TJEo^Bk<iKHu~j*Hh{1F7#rR7yUnZM~*Q)Av
z+D)3~^gclQ`>r>uuR1P%vFZ1f7Sp!Nlg=Nq^xRzNcu6K^ii!Ai72VF$lcpWo_$p!9
zUcGAX6B@hTyq<Kd!26QUooOc0<tk?nGJ6((47fCDhf=0y4sYh8?nkp#d-+8dHh*sG
zIy`A&Qre3cq5Gop`k7*CUbA}t236_tc|{4eJI(a$I%C5td31)6#ub|*<;UDTXZ0FR
zdX_L}iK^jBwH=SA+$h{WA+ToFA6E_IN2{N#SM6P&qjL7By60otINyX4fyw9P5<4Hn
zYAic(_riwWB&PyZg{q_9uPUBA^rXPWuBJ_uJ^ApY?z)4Y5|VC6iN9%WnRZ}tLfK4-
zZB^bACEdJdwR#?WcKFDKtKOe1Uub6P?edNin!j|<k`IbYIx`PMdn#9`Ws3N#^v-8n
z__s?jf60=M_d+hk^i0^I(%14$an^w+0XsW>-P8LivCPAcTUvE~@7zhx9?V;^bBA`O
z=bb5AMDketW1s29?qWG^qh>d=#!Mu#r&nE7w=*y3j>xVy6T>~VJfaIE?%8?FYW+Ux
z*@L-DJ{H<9xw)rs&lHm;@q>EK8Ju^f_K18}?R~gwN#qX2%o;)MOujtND4}wvnIGF$
zJXc&Su}IEiR<HY{XOB9UsD2E&6jUL0YomFM4@c-^39V(Gvszb9N=xoqvU7$?rl${2
zX3dHNdjis*@XFh&C?B=(OqS5j6g%cItM}rhX9-i5s2T=c3X%}G6tpMz>lBlwxJk#X
z13Z&oC})cO@|x9KH|g1e`VUPLcKk8W&=KU}J1U~OOVh%nV{(;;h1%f@8&VP@A~qS?
z=vZ2a`Pp^qCTg~xJ$Nibwc?+-m(=|GEYd432u0{g{tSw^^kb3#r5~&OFXgP6Xu`f)
z_3TkCPw%9qOO|d>TGGjwHFJsJ8D7~r+bibkN@_l1cW3qPiQ-l{d&tT2akrbMExWPG
z*`q$5-icuz!k=ebPI5C)Em63~^L$mxk{RlmJfBt19%Ay`yfNUCjLswz@orV!PSr`%
z4qXaZws-PlpA#DA`c6+eR^WL_CuWMtz8Mou*q^KFwr*DRjX7woo5`}=Y38?Sz1Awq
zM?F20Efh1wp0&*Ci7=C!UZc0oGm7iB^DK$%axbZadY+4K=w|X%tDHR)=ec=f=p~sq
z(@n(LRdhR>6@6n~SSw|+xI44H<vkg$qI~q@gD1y@Rv$Y&>HHx@&*BaKmx3(BFCBT5
zJ8Q|ui{Y1KczDXbPusEVy6p|u?lx1q6yf$V-5c)R&x|Z(E+6``;mM;yizFfb=1Cc1
z-wz4B|J$T{es;rLj&<cO&O2rMPJYnR`RE!GvFW3l&PUalh)3K#8>SrUc;MJ2R-`pU
z|DwY=me+T)<!hTeJG1QN`!bW=BZ@ZY>g>EBGHucg(P=?9gr^)yZDKs=)3KW6@L{2&
z8#Wv<FwlwIA@6tZ*y#;V7Gzs2>NZad7g~QPi0gcF(4^=83L}(eNaVb{x8+0B4L3uJ
z8Qg}NGD6dIWO&_63KVp9-VmQQ>4x;Qpc}F|O!q?Dryo3~ysXl>Y5IhtI{6t9n+$by
zK2~N%6n!+AQzLZ#a1fXNsrxrhnQZIWU$Sl6Cab$)S9jh#_<a4>Df5-BqIBnZ{K#0q
zBEL`1c8l<$N5}N<%{Dk_9eeBE^TYS0R<x$?TH-oUrNAQj0E@cu(sW-@i^k1v=YBIO
zFSp2j!n3^R&LKwS?S&^!$jX=$-MiCk)A`qJ-l4eQk3lh}uFGrwm=@WINn0K@R8F_Z
zdm`p1v9tHJ+q(yC6L;^(eByb>=#xm^?5^n#yELu5AM{NWzGGU{Rqb}J;Qk3+8-pTg
z{@yzWO_j?(o;WeNBIk*wjiKoJ*3-*ggfaQ|&Ur9%Vz7;QQJc71-ovF6Z&#!~;fs^D
zlt1NrH2Lu)PU{^RhxGJj6bL={opFAu@zVJlPV88#78`%lvT^A}wI<b>_rv}e9XfLT
znPCizaq<q0v?B$EKK&0j8R)j1K6XVyk3BePM~qM3L$9PDo^USV#o8T7g(X2XDl-KR
zyBgTFbtlE}h#y`fp~sz^ydy`a|6$PvrMA;YPf4s(2|HS_bc5BdCJ8ZD^`plZnHt8h
zWGC;?xOTL_a83WiO&b*3P9Hlap~rqYX~&OWdTrXBXANUCb2`^4aC0x7{AlsbYZ7`*
zxrQ+!x082BlpQIEydilwH94GTdh=bw7`<;U;;VXDFRHPBUhJ#)%yssp8Pd64iGItz
z1j)CqJo!7)V@m6T;@y`nKbG=lTCZfwqOWW#@?F`MC)PMnj+<Zk^2Uz}mmWWeTXOv9
zT@U{Gm2Oo(-fWI_2@R;}@@ng^@mIF3QImT4aPvhE{=<ts`db?VuO2<V+i;>B`(kBV
zJvY~?NfMGTJriB7L>~NL`0Bz>33HpQ@R}BBv6l-aq+TXU2)*2BU~wrqIbg~0gWV^R
z%MBHb=BoU1uL^o09x5tk=aSmqpH{oL?C9}Lb`#~g?oE_yi<>CNZL4f+R^?J9Q_}xs
z#|yF07PEWqUv2sBxkkq9>D{FAQSH>EL$5!*=4hJsYuPTJtskBUNqj0@(wXq#`mBd{
z)4dji^N7o{{+D>W=!K-RZkwE8jM%Q@1vg)a9e%nXn`e5rzhR$YXTM>LX3dY}6xKkV
zu5)7Y7w@^hpU|KAK52)>y<-K2C7pS(Jj{!I#n@bC=X~imvwR_*TXfO<))bfd8Npn8
z_y7Cd6+hEAH<WWvU$Sz=*V32PrEJkm%&#8*;P`d?Q{b2Gr^zp*Pp3c3T=RItMfI>M
zzN(|2cD|52J>8{0VxP#a>&I7^bxkkin?8Tx-A8Yx&0idw{PTx~^y%Xt)cjs2R|p<Y
zdsrzmyH~I9)VYHbEX>54AK#cHA#!}$p#u`zs`kD5oy;S>ex1ErxU;Q&_V1&gK5jOf
z*8iwjt*<}PVa=BxLMqex&(|jZl;P=n`uK6?n#T{6vvlO;gN+SW^)8B@^QN=V^jzN2
z6H=#-AHNo1w=bmg@-K;9$2Ug45Iml?I9*2i{e;IiV!rexKUU6_iRP;PA2Cbh`toes
z`R8BXT~#ldTKMr+#>c!{`#U!Y?D><N>9}xH#rF5{E>#@!q|2Y)Jy9=}y79xA9qJp?
zJRUeLX@5{tX}0RKN`cNTmjaVpE`>(7jufceN_kkY<>ax28IzlvFRF>NFY@(_={H+i
zV7@6#<D9G7k?NJz7uA}6UsUU2zo^#Meo>9Pf03`5ocnAUn@+QxJA`w+9+hp;Y>l3{
z^-zJCk>a!iXETHk_GSnlU78_$cx%Sw*3}o)q{A2a^69zHj*00pQ{8BLYs#U%6Hyxr
z)Ql9h8*?wJHLbm<))jkEt?lkbHSX#~zGmOtX3M<kHrshaGIE-kpL><eoUSiBZpgp%
zeB`o3z4h@^&e_M5mvM3G^gUIM_;UPy=pVIP(+`}faBGtM*ducJv83d(qsCD)q|Rp~
zd)X{*KKZdnFYUOo+D6rRhOK8eW=%*+T*LD7#SYDR6BCkcl#jMmxV3%#*duoBsPU}`
z>GLlVJZ%<tn||!^T+^5ClNh>2DkVZd+tF^)x}J2E2*L9n8*S!IOpLTy+`9B*kD1$X
zV=D>4^DmM@Y?6hPA4>*HNUdhmJ<~r`LB6#8xUmpVclr_u;qxa9%;sG@w4=gJ`tf5)
zS)Y#d5<|nV%G)!<Pd`t{)0y9Gxv|F6r|YSA;x&2i_aa|)@2}n?uVnYT_T|<&j>kTD
zw(IP<t|%S*e}N<4yO&8jD^@yt?zyl~WZwU{$^@4`BA+{_3EEsU>e~JE`nNWT!`itv
z+|##y%<1{l-1qUH%jqDAHHnX|&#2wfv*5bC*ZU9L#&#dF_?-Uy`qcDH;mCS}^Vu_g
zxISg|o%Lw?)a7;S&-HxgKAkyhvB3T<dlsbDtX!U2b8um*8DHki=D$(T9?hJl`Z4Bo
zP{fMFN5{`qZ|O;xy^L*de8syfZc8ForPkbAoGNCPIkWd{)U$-{X*+MMO0B6}nOYON
zGVxJ+eel+v^IuI{pZ&G^u*FR2sEzL9%Zj?23t~^_+__@JzJ2SNqrtk~k0wrAS`cyg
zh`;#xOv!_lx{G(LNadNnrRRHVR9f=HX_gl2Ub-FOm-o+z6l&g*xvU^a*#D2(r!CV2
z=Ugm_{uzHUOF3xHRin1zsI-TBrro@;{AKmg^*Z`lk5vnOP6zD(1;w;*Q}*|JV;=XJ
zDIGP{P2RCCRV*(<(th%mGY55by&r9B>%5<F@2XK#Y?Rx^xYH7588cgZqtcT1FDp8_
z-oiZl@yD&Zr!CzOdicn1yKR}02OB?$^B&S^+<U7-<o4E{#P(^CH&&*a@nvzj{n=15
z*KA(*-Kc3t<amXTmxtJ17FoaQ#&Tz|Z?7lxGFv@)uz+u;#S&*vnQIG0`d0AwbnX10
zs;m5B-8<{O=C<E17`0`;I`#41pBur_=Z}fz?h&cVe$;818?U?hg8%89FV~IOnYWxd
z>Y(erXm(rY$`1m%$`Y&QE#R1Tz}Z)|bL9tv+(kTxx1Kqqp}W~I>a<MH#Z4;jvOmu}
z#LO#v`L27E+lLU_dmPgau;;pT&7O5lW!diUTh1KH&Yfj;FJor2-mE6U;9KW!RcO{+
zF(|BYzoMNMSiijF#IZQpq5`M(7@6yecHtKmiuA4OzqNX<`m2mZMSQOW4tvTzDwdTk
zDhO|nvAMEPL~eEQ`?Xx}RxIx9{Ld`A=|fO^&Ynw(?D{1qj@HV0J(|b2w7|9Z$eMrC
ze$CixJ|*XhYx0hT&SvXgPH0|j_2f|lpXx@J_MnJ0=k}U^owu$}E$!at(i4YbWH%YQ
zx67QlrYOF-M5A+Kmddi@!6hAuoA@GUEO9nddo`h%)9Ojm9zIn=zxJRRE8UW;_C~k=
z$cbwI;kEM5+MNoIcmvyYVy-AkZ@=o{Q)Rwlv*NQo->*JyF@GAntlMp)U%SM$R})%I
ztx}S=@>xbKb=C`e6(FQtqS5-aUZXZudG(IGIR|F0?`3P+96#MoX1$3@f$ky40^385
z8|@DrjP`0deCRAkdvCNLANzJ?8NGKd7L#^JKlFGwZ|4Qgb2BF|;JnjcAYju`5Ma|&
zU|`c#5K$rXaKlHHLk}O6v>ZNqj>BE(w~~zJ8&h$s!<EHCI*qo1d`))+`MP2S`P$wJ
z@^Rl*k})fDv5?8>E%;z)duZa3gB<Q$?Ppr^9yVEWYBwGh<ZDtE<m*~2$k&!E$j5zL
zS;oxI#X@FIPr(k0R6PS}@w05~)6cMpPe0qHvTg>iW{mECJNHz9$No&oi+ouYpWeUz
z(k!7FB8OcKEZe&M&nE4Va63{EIYaDlYH}6N^kzxJ7`?P(1*(SHZQ89jFN(StYFTO8
zNuBL{s5C?Ru&bedTX$j|&-7MS!x%HMV+EEHQiq==Meu|R9Zufy<CDg&CJ8q;^`q|V
zR1ITTypwlmOgma&r~_(!DYczGc1l9euCni8(MGE_?M_$27|k`H)>km7^>yc#gkDpr
zVT{P@<Q)=bpw^ef;nd_{p6ShJ4P*4Sfm&Z1FRHOWUgTTTE0gP$<g`VzwKM+zibvIN
zkFfKs-ZYgr_bjjMp8viQasMxO$!j_IE@M7wV7tX&%fIKM_R|veV&|_DTfCg}^S;|H
zmFE)=iMd_*AIoYJb5?fk)+FOb-`-7L8#aCfO-Qfi><K%`vREWDASYl2&uh_Dt)*O7
zk43e<O4#aDy3uW+nqk<&Wd>?X(pI~A_5`e8Q5MxYYSDVi;LiHABiEyk`pdU#gfuPW
znrbfBddeVTVU)y@fLxxC6?|+OA>w~iO=lnAYW3O>v@mE*&<Y-JkyWivxvm~FYJHWk
z(W!LfmGwosmo-k6&vH_ou_{3Gn082;CfC(NDXm$_lblKo0vGO@p|E;S&328DrnOvC
zlV&?T<@RdLO5E)9l-s@aRl;(o(v7P(-Y5+<-_ntGcfwQIq*ANPH|8987qnl^u_^ZJ
z(RG*jXA9j}$HsE|Rei+Ay9y6FRWGb}Tq^t}e}Yif=TBRM?=>^9Sih<d`?y#jBQJcW
zclzb6oH-@|anfJ!ul`Zi85W-YW8s`hCQYj+xfQxzlJL9!f5)TWsb{bMe|J%!Y42D2
zl|QUSA9`oVDp@S?>`$8CX7J{^05`kIkz<a$g#|IrF*27YsPtudaJh@9+>hUXRiLR{
z%IRaAv&6kC_4^;?|8=Qpsc~pl5#q_wm}{+S*eLw;;?^ZXF(E5Nri*ADPPJqgX?`N}
z;iH3??wpB>Z(C@0^PM}nsQdG#8Am)LeI7b3_;@w#^4p`w_uiH&-jjV<vFWUp%SO-k
zA9Ktaj-2Vq@BSIZbXVG>W5H~`z%N%7+w2<WEpPbIm&R7LYLTCT@`aC6zOWoy&3Mql
zbb-WbHlE}uzn9HecSUlUX6e4Pz*lC=G)mbgPu<n+>1Es5>1Er>xm0sy{CfZJYum1V
z_?*3wQ&{KH<FI?5^-U6+Sii=H{BbzM%X^iVbI&ECCh5jTy#qo!R<^Tj|1#lGpPW(5
z^=IvmmQFL=bHRxF{MIwajCG4Ygq)7CxwuIsE<2LzddTy_=wjRX`|e&-Y}#$*Qt00<
z@$U76R(7kD#|!x^E0#Fx$$9$*$gF>`HFT!i8Gaw5KM_1CpLwPvt(*0!x5Sreuhf?*
z3$`}~eK84WljGgL;)iF$r=N){!~GIU_jU@eF)Hd3bvw5q=+W7?MKyWHra!-$bR$0L
z35$2{oTQl(jdiA1*4ECN_Nt^W<f*EjR_Qj*;4@rbn0|6DpHWlY{w<K7)9$6>(RACC
z7eW%BXC1u}b@j?EjkMd@Ouo0<Ij+4DIBF&P==ARcET^4q4rEKnKk?ArQ^E2oYS#HH
zTTR5D$5kIu|JkH;@Lk!_nZc1h37ef%Z!8JWG}8*PJ3pb^ZKJoCWZ9`jt+JaklK1LZ
z-O%gS+jcTZC_2@!Rrlc?^NR0(M70jyEIZn5YG>NGT5INmF0W-f)=ZMr)BNU9=(o^l
zPWTEjJ&h1)anV)Hm$|MU<!zmHq_p+a@%d#(KRd73^R{rpx-(ltx-aLgmlJvue4y{8
z)0x>-C(@ahE;?<Jdc;ZjvP#&IXekk+gN##sHpZ(Ozu`W8lO<mDaY!cD>&dS-Tj<~H
z+%SLgn<Ja&Pkj?|<Ge@N#kHx0QJaht_bc3-nZEx<z#FBOxVO>V@z(|H)U`_!6%Sea
z%07x+re@m}Ep@0M+F9q$MFDAZ(<29sc?%1}ohMbSSJbQtnDFPs#z5x^{S}HLvrStP
z!xlWVIC-VAI&#Go&Slfron`sL@@ysJ!J1Sr5#y;=hk2IfC3XdEH}qX;J!93C*fU|T
zJkJEa(mca!vWw@eN$1;D%cim1dnIsCS~V;4QTEL1RxTe_Jv0}!*H?b;`}$FDcunV(
zJ5lq!y9G<4oeQrCekwT8e)jEB%ehR}W@RQKzc&h;zgBY0FE-`k{j2r8&9_eM6pOi(
zx^L^wDL>aPeR%(Khq8Y{qmGcyMAxpPn@()dxbW%I(wDmCajO^)TAMDYSjSfLQPiTb
z;`o_R!`q$@0ybEkTC%o{-+jiCPLF~J;fYU_{jRtyu~^jU@mWwkL&-?ys!Phxj>*hZ
zU$0$zRB}T8tH)m_B+QWu>bdINrdXAc*dupKVr}C+O*1ckPPbQzM>%X$B-XWywY^-}
zyO8%N_fe*2)}MGMxowEM^h3nP;91qI9d3KWRE5_Bo)lRfYIJy&L-Y41HP6CUF&^YH
zT@bO3jpy`|<fI6xj4r3_)n3=lQZMJ4&gA2iF-~lHtmadAs72DxOY&<Ti+X`CFUPu7
zj7LRH6L!c*_}E-fky~?X>6M>J?kj`e1is>__Ez6{{lXEq(0?v-@2*f|DK=?ITGwW9
z=DGm)W|Jew_8fckLrmq-)eTlI7ed=LcD)pEE|=Z(VW-KNwh6s*tM*jO9{1r@oUu?*
z<g#f?Vq;rG#0o_-v6X!t!f{o$r5y{V^96pnrr2g@m9lU>-^~}{RYnK0`~S@O^zPYR
z?XL!QGau~pT2`@OlBC?UTh)>4&Z~X!SSf51_DaNmiq+xZh3P-uY*$miR+xE9O)NOH
zBH;M$o(*yTOS$78JT)ra5&!*l*Zj=FXua6>)mv)}r8cD)tm>0bi&%T;g;m_HNpF@G
zukYL5WAN$3-CgrT|7f^Ky*k1aa%Ro9YyVa~T5hEkcFaO=+G$01;bR)#rq}OE&57Ny
z=7`rOuJR?DguG7%Mc$ou>Qw(;<w}LAq7PF|{j{`|PG#*033K{(X{YMbxgw`tEei=d
z5*}8)WYed>^=te*Qe7(|eFNU;$A<~O*3$ZCW8d}Vw3r#^uk`=R9_jBn%hEP|8>icp
zZJKV=wn@58-KN{4mwQ_x_*R+0wk>ayVlu5eKQ4VMq9(H0!Ek}bWfrw*+bYYWZ!N31
zvTw)P539E1K1|KLeXRS^w&v$cbH&el-S)K6&0YRsNv$F`4|DhKBu*Q>D2aowFPXW{
zpVYpvJoC2G`b*n-=Pk`;xAnTMw`=;g$uGig`91VC<Q8KSP3`V?T$<Z-{-{ob$b7Hc
zJo4VR#q6eR%iW`!Yh4k3tL)>x9oo{(-Kp;v<QHB(I^j{8kaPEJO`g+rn;+>PT~@Iz
zH7i)t!C>vnXwmvm4wVgu#FZl6aR=&rZvC<6l=!9%N5nU6ydhq+;e>e6#tY(JNsanb
z4*e-Q_9G$OZIA2O&#fHKemRLa*DA)X+$XR;EWRUrjsB6<*Tf%9ea-#x)z{Xb7g6UV
z4)+*6di%yV+0y00(c>D&emL<gu2tk-T%#zhT&u{gT%#y{xOSmR+fOIWFPiCR4xKT2
zv^Kv(-^JjD_#%lX+#fF=6W?TTKz!51`{Iw@o)Is)xPDKubn<CN_RXgm#fwihs)S8t
z)?AbGEA^=OL3Y*EuV$~>J2Ty{W?J%B^B%T+ZU)jCVY7H^Vn6l9e{^(7Um?EpgsTO|
zEM*yyx5_d+H{-+q*gO&7kJ`3vOPVzI>9%Pa+>WpFwdxYLseE|vUd8dR+k^jTz2wWp
zAM2HD#s0na=<ofncIoj0`~ELGew<gb_58DZ;yjUmlUJ--@IqiJ&--it9((W~tQUE?
z@CU!LEzf_)CC8njmmGHsUUHnV`^%066X&UTEKzTD2?;eQ>G5hSfAT+VN&AEQ9bW?e
zyq+l6R^Rg_;)nP|IqCm4mmWWmSF+Ws6MyOXXufMz(2vTH(1;fzQ+ecf)k(cn{GoKo
z`Qu~{{=@yswqkXHFE@TX?a|--%A>zK-c@vA@3}xR_WG_(JARZe(((MWJ8+uFzrFXv
z{sd*8tXjr$tw#Bl>xOUA_3<B9YlJi1esqI_@5shLnV#fi3z6e#4+~^w_bM0W@O?YJ
zapw*3<I|4Zv)Cr`?Z^u4u2Msx$A@pfvoLFlEzA+Ietbis?8wH*8*<0fk}G9qHy0M>
z=p8$@QPohbPq+1=;j6C1+MXjnewb_Z^(LgqNFVL6Fl&2Qm?PG9bmL74spHQQJY;5f
zA1uuA<mpQGUYOsrYtsfrx1^eeH^Rr=E?D>VE(({Cc0O-m#{KuvjUR`M`pzD_V__!F
zoHS)!Z?9ntpV`rZoi}6-PdzeCVx7pgy2tFI_jz|7xxT4x?WEX0Zj)9Y?cGwpY7%GN
z@55d1TT|Y@4z~|}#S$81bT53JROlwwk3E$EV(n87^t!1k?9hDYuHnGsZ`$%;TU&t5
zHGwvFsicPs+ip}WP~>};-O*hwHR(t!ujj+QT?HEJRxbW9@yo6@fj1Wgx@x5kZHRZ)
zk+~os&2D<+pdxQ!VTAJ}i$#i>GNIyphjmLu>`G)W3p72Ja@rUU-GC>R^mt2~g~eh;
zy>nR}LeEW9T0g%!wDE(BY-_(LXcOKd*e1NBhb?V4Zmd=0TbI$%z4{gN){kDiGJ8Up
z#r3Z!bUx3TaA>nz;eAbpQ|r%oEjVt@Gh>1Ear=vpSpM+~tNpm|JL6HkSz_D&t1Y^J
z^wn}6|Nr00X#FC|#z_4CT2A$k_h%Mx{olJVM03~7Z)dGf{6E;j`OjT=*^l`=?B{-;
zUU&b;OuKxZ|FavTe*EXTa_oQh0=|Fp%%vaer89*7Pj6iIq2BBI(bFL@U*_q>TYQ)1
zSXS$N=hhWzp&6nN6=ujjTrflUVS<GG!wm)ohZ2+HS`Hul!_nT&D%htY=4KHTk)l`9
zwep$DkID@eha5Mk9CF-fa_HcOGjjslG}D?}Po_2ZdZsluccwLWbEY{98J}qrNvnG-
z&c;07<^PEjoOM6EogKTR*WEXf=J<CkXTcBe&5aM&pJn5&Pc^&oW8TdN4}PaLcjwRG
z_572a=FIi~NW>)(djqut{yp}_(j5Qp<Sh8Xy}9w>`<}{_6$VAz_f3nW?;94e8x)Dx
zXFu_*xqTw|$FvgR%jb`-_^7We!}5PyiLd1oj|ZnUxDSR0iglgR;BFR8-T2{qpqR92
zpcwm0jqdJS8s0*sfzw28xlXY^x?gl6hkdY7pjeZ=TcpOmzD))uE>ET@iay*hp{L+R
zfwBzW9FBHw>q8TdyjPad{PS?P=>1bBp<Az}WMv!YKDyqqezRidgPrkNpJMb62_2mM
z=DXIu*lDwlFz@$Q?R^j#wq`w7l=S(C<`ePJy98s4leVo@$lW>ZnpVl_+TD-Vvx=Qx
zp!z}OHMfw>xvuBWxg6&?t=qIJZcnP}@(G;3o*gmjJ{`aO^4XU;h4!3Z0-2lcS$;eq
z%<jwiMJreMi_SBxcmvx%j{Dx7`kU+OYWG6)#NsvfJ_Y98UHb(eK076K{)2&rfX#`z
z{g3i(7cQG~TF=r`<ws1>wrO+cr%ZgX_`86F_)k9jDcd;jiFb#X%w)OuvzaBoyE6Zz
z{OP|AGakh4V>8;f+~de{+hfnN6*PqBOiKM&*mYTJ<^!L7GRBXR7XJu2d?T!WM#3C@
z9lJxOb^>ZM9&mTR*ILWl!a42tA-(6PnG&0p9^J5G)ybbr9A+de(%0EnyIn;<E#k_n
z&}_B(xs#>E*jSs6);X$dG|~`QqrFUXU2W0f^!Nyu7xxaztG#&2-M#3oG>7n)&#kUA
z>pm@2Kec6bf49-!7mpYDRR7-bqb+>C!;JYa*2c+C)chl%bup}WuWnrZgUOd}+<Nqt
z-A?!N4M!Q{Z`=QDdDPB$>BgmnoA#ONN<C+^Rk2vnF4F#G!ov-6Q5Kh!=B*Ar@Mq=h
z%fU_VY+fI4I7rTuSkb!N^5c?C{ntXag``OpZaHfG^>o#;jZ()CnFho+t3Q-lu|D&h
z$@0qnKl@atl$gb;*S-0+P&Hs{OZeO435V{T&$DM0R(rbYuZ&Kb(284nYoks?FO>@_
zx$NBbt}0`pd-j5k(-&6X5<ker5zu7K=2dvkK~hg*MXUG0RjNl<y}c^6BI;3S?)hs0
zydPH8imz)7x_XZ*Ojk4P?OU;Y0lilb@5jq!@~XV}{qpI<u#Zc2`N&9%M=}4_`upJ1
z1h40nGbYXFU@5tOUW0#2RkF$PdnIZY!=`4QyqZ4i<Ay7bxE=nmJf5iY>9eoU>;1>h
zihaCM9kAxm<siqYS7-UK+hlV-Ra$TUxN;>6_kv}`$&F7heXR(5<#H(E!gSrEK|BGC
zaZ~+vF=ZY48KZIhk@@V3yFn7pf3rmIO*wa=>CU`<#z}4$!!JoxdCh8lH!1CLu-+QW
z9}1az_q?No)_<BjYtuB}@&mU&_!ULQa7?q&y!yW*VQrUPM?#NIP|q}%xV*(pU*C6z
zN=UA$_ZR(o-+oSa(%*jGYxbO1|9?pM`+LKJ!%bh~moV}2Ufch}^VrmczmseJ@^fCR
zk6U}_K|-KV;Q#H-UmaZj&Yx=1Ry`^0;l3p|D-<&M=6TNQmYy{2$kl|u-Eu3L*;<Ux
zzOGO3PE1pI*V8fc!oNo$n)(87vj6%WHSccvzVYc^#nuFW2SMQ_a}`??+#LibFL|rj
znh@<ED7<v7Vrzo8gW%+)XBAr$CjVDBQj?b)pm5_s|KnP-d_NDx8PWkRM!TK34z6ub
z>Z_^pP@Ewca3t_9kH#a3ycUtB*Nm<{Th_OVG_7WIt@-)P%R_O;oA4Rm8xKryDllBo
zp*&Y8h(nECq;QG5GuOeN4N9+m#CRxLNC&uNf>uyE3J19SoWrBZqR!N%G5@h*>w^df
z!S0D)6<ZU^9R%;2TS)|Q__2!wo{P0&5pBw=J1X?_j`ETZta%QCPi+*I2z=q!STyJT
zkMDV%Mf;=!TrBT%YqET2?$UV9uGsn@(LwO(AB`mfHhdb3=FD#qX<E+cI_2}*2~HpG
z)N^&c*~7ubqW`z)#F^C#96sFA?^v^kldC52t+<QZJyzCz2H&|C?Q&;lwLA5bHSnf<
z6VpMh{};EWt`?p#i(8B3vJ=<QFB1+KEZ!lazh{4vOJQV0Md{HG4l|M>6wVlIvGDy`
z7o{C1G~s}Nr?P}jrpR8^-oyz@A|*63&3<hWb92pC(2SioBXZA!2h%yzMAPr3-jsGv
z(=KhY<cgi6J$b6tL7P^+bDclE<|Z^ciSrgM3{sC+a>4a;%$h5l(=<vhMoiZ#ZA#^e
zH94c`HTU{5C-Ji-D}vM|)WW+p&OOwcnb0z)%iOLn<Q2<ekzFqqyJ?pMY9~*zdf)!^
zO~<+XIbLcK`lppXa%#;?Sfta<HD}2rPQH_yUU-(ROtJHxkQ!K79b$AaE>bLXyT7XN
zoAaVpa)rCh3+^9S;9#)gMsr2}49^Ai3O)u4ECh2t1)bABw@pO-x!p#t+1-<i=WD4w
zpWQY2^Mbh6-lMFA0$0KkoeP&ms+h98R_#riyTowKR1@xQm9xjPJc~DYU5Z&VWs6Ff
zi2h6w)AjaiSD)V!vi<PWf{CV~%Qx=XaYC~z$!V|79FzG0`n=h?dz>ejbRC^^Y=he+
z9UiXfb>5&oU&{pf4=sJqc(16yyoN#d55qfleue#ByTx-jT0?K$O>ov&d+8m^=AbL9
zH>l5;s`P+2vHU|vM+V1kmENS~OAOykFyX$fdiIzZc%{Y<E6+=lcF5&$s9X@xV>=zV
z=I^B)VtVcu1=h7qe#ZOIE8;Ql!%a&9V<wrjJ)V^IFlNV>Zr+C}JHB}HK1}_=;Nol%
z7$f>T{>RO0myYo7(p8>y_|2nMYtGJB@fWq^7F`Os_f<zDPI!t%dvJI77N!f)N&P{~
zUi&s!ZCxrf<GR+ou!}|We$=GyYju87J<IHG&AxQ4&#^E3-M5LIn{Ytdi%(>|C`(*G
z(FTi6Kkxe=`u$)oS8R`0`{(b+|5Vk6@vL+Yap*bg-hJ5RgRJWD5Tkt8qp!X{xwULf
z#m&nNkDi{}bmF?qCXb8$Vv@haj>at8xXvP1_O_$Y&ma2TBFQNe6Jy#BJ*--kuVo#;
z`(wp&Hj(D54T&965fZD|%-Tvd=7h<85Pw*}`><G@ccH{eMV`f0BDW^Fcd38<!PnZj
zPveZ1*^#CPcP}4p;j0h*=>O{W$FEhN<HP?%)>=Cm?z}l!=1u<pyIuKLyJvT?n#Tup
zMxU+g)ZcX^H`PBYBkRl-lhoT%h3=PT6-M95dKT3tx=!V@<K}J4_8Hr6b^X6sp@wsb
zqKNU~7LnlDOBGo*o3<qNNgQLzT)pxcYly`S;YTXGD_PWw_b8uQ{jmC(bkY4aKfL)<
zg(3p^1lH)NPF;93e52|HuQOXettuC<?Twf_^$^SIqpClHcGSq%ZhgV8GB?3@T|vXr
zOG4W<T-@(1t$6XYNaxPp8PZBWZ%ZkiJ06g=L?nHlMC_*{(&9hr4B}&+^JMMG*tj8N
zlk3jT{#V>8TU%E@b~^cKO+uAM<Rt$xFAc^=s@9P~uA3$w5k0kM*5|l8HijAkJ{%k-
zjUOEw6WqAtE5%vj%xorRC+WTm=2*urI`7Qc2~Gv8LzX>Em%Wz7ad20V^P||~zls_X
zDmDG;YV>>;6mAR=a#cFDynjX&%hhi$bR%6Ce$D#1=n;G3RDHcw+OIbT@^Cv|le6`D
zyTT$|<Jr@i4ECS9UWuLJ7OZ@!73mr`?|D<@$16^8X04x`T;lAyUH#(DT>o)1sJ4pf
z;LL^tt6!A_C|HE9`nb%gcfa=4v^d2I>5vMed?&7huP@DfU!Rr&8kP(StM%{A30=W*
z+lzOhYUA6d3<vq%zi>^gIDLR+Tj%kJQ%ncHtvQhz`QY=!35T95DYiZe@JO_f3DS|_
z)HLgB6JbB@)Ybi1QMFT8QMGmQ!R7@w1h;%RWG@=T;nyzGWvtlxFvlRVZLZ_NL+chK
zB$o#iY*5$OaYI^U(hYHupd0Udm#8}0X#d${sUo;1Lo9Ytyw`($O9bysHtE_u=~#jL
zC7qI7`D-~PlTFyum+a89^NbRbSJiFhf9SlpLT=kfsT%!EmiH>XkJc_R+{5|4>0xBV
zrVl1MH+RTRld<9R){|>psv_66R79?#csXB<?*!4yEBW?Vq@~zuwiZ{e)M`BWXm4~)
zclafhk4MDsi+r_T7CGl=((LAoXXhRNeI;h|^N(ERS%H2J6RPb51@B*YU@bm3_g}VH
zXy~5P7N4Vel`K{}i##vsc=#v(r;u0crc66#!SkJGez<RLPDpEM_CKs7!?XF{$Lpf@
zm#t>)S^ld|JW;ViB6VSfKx*QS|GVdh|GDwI&2u9AC&O>@JTnq{I6uEKPqcgfpWW^j
z+lCXfe@I+wy}yd{peye}i*<@T)9X)9-zW6()5HgCYyQriCVcSvOH0w3z4MF@F8|wC
ze*LJaORDYsDe`Y5LOA+bMY@y~Tl+&4j~-e$;gI{P35Rxnop9)P>HeUrvtIYimHqi5
zCS=v^P&2b-KQ(uIFBEzcyrM=Scm>aI(N(R?vX#ffgFg40>%Ee>#v$e<b(G07d4_(b
z*fY;ry)zltuH5Lnj<wzD<I2i_)nfW-?~kOXsNSrOnUplgzRow**VnAEa_%9igEgLy
ze@-)LYn_yqIC06x8t+-ns&i{fBa}Do*&-}I$D1qugf2Hnjp2414S_WrDw7@_{`6wP
zA^(pPoD9vD81!&!iXCegkv`mHy*xrvL?Vopm3wt_Q!l6E!Gj+bJV<g0@JLDt@JI}C
zc+frZpDZ)eL5Ke@54N(nwTd)dRBTQ1@ko@A4$|r2)-*fDUhZe8p<%$o$0gRr!Yb|D
z+|-=tc<|_l1rv^RESTW*-QnDk$tA)})A};sd=`({wNfhjPhUwe-)!qHxg82yvQMS`
zyK-&erme#A;r1nA!gkMJ>$GLFdM32<ecZX9FR<pFj#aW~)@uLj+a9da(>k0iyJ>@O
zdrr<(8R_}Evp5dx@oxOEakGSxsLK4`vuAafy~|L#^|NEUiJ<JAYXaidrYfEOQj-qV
znr8YfI6ePL%Y)8c1+y!qZ!B?UkuL36bbJ1k+yy&tu&sN!$jIiZBDZwOiDOk~1A}cY
z3A8<xN_x0=m)30V+y}eV7WKBz>S#49a2CFJkeRn|W2Ez>7pqpD7nxW3t@qa>P5xIO
zE`D}ak4*icu~P4Q+@zp_o!=KZZ7p;RI@<euGS}2cEl#NxD*|-S1g$W0^Io;1@UH*D
zpf8~-cxpvfwcg{p>UMp@E8CrBu?vHIf>-bai>_+b<hpt+#q(>O=_1G+9w*n;LoT2>
zyd_=ivS}J2c25IV@N5=c)oRIg^;nFjD8H9Z*a{JE5v{{PtyzgPowgb}1Rb4h8Y`mZ
zXx{2|F?ONRmw**wRazm^dsRYh-dv1dC{)8Yzcps5#EK6_JV7hO*tA2WomE0@7)?SJ
z3h@N55IHQWb(o_yD{+a_Rzt6#qscn$B3cI%TTg{2aZP==!f9)P&%#?4dMg+8TP+RX
z^wSRMGUl54aE;T}0>6c~Ec90{dcJE-0OvZ5kS=DfsfpcATQ@i@ytP9#Fa4hIqSc4u
z-fLdG$~85q*C{n(b%5@g;1y<N-m6|b{iYGpw3lmY(tM|<*|Duzi9JqFOBJU!zxbV}
z71AWlHTBUhr_>$G0(4_SSD3BySoK0sUpu79n``Q$MNX+Z76j<pgs(8O`+3!VTgbJ_
zaOG{~e-e+D|6TRtVcIUX+kakjFElr~nv!KaC+F>t&0X@Mo$r&<rhadE`irkBD{*b(
zt%&7I?=gR6^V)FILDNinMeAC&tmN6#y2`fPcHsObp>Z^hdFzJz4!JTHf-Y|Alh8P5
z!@P7x%7PlR<OOESvQ|n=PM6d;n8UnuM)CrlV%{m|J9(}gtI-ur{-MOI8j-W0CNFtG
zO<(eYnsvzw%%)|pl=!SKsS(d8rEyf7Ikh5fftZ~1ieC39t!Etmyi*?RYz*3C5YYCU
zE$iXZ##<F@mnQjrJm|oAPeS9UA#<ul-U2Z{i50z*qqLqWJm;D6U{7OEj6uM@B$EJc
zcit<<Qf0r+W46y;z>>~0<<XW#qd7(a-0yj>9JAIHU3}G<S+yczfyio}DTzxPqi!TF
zFbm6ADRDYYO5-38^U@i43wSQ`Tsf4&ytOdG`>4nE(+-?vQW{6Im{V`$EfCu#v7(n(
zwl3<Uv#99ZV^X$THu&@B%3N_QOq0Ll%+3Af!ZD|hHVJ~CUMBs^^UnYOcS)1i1^<OX
zH9<=bd<a|^_2Sycd~L0+tYGf4@;`Y;w|70vv6`NDF!;ak>X=>Y);cR+^Nuo}R{AIK
z==ZK!KRlvSeM`4i^lXk-S5=T$0&ZbF?5uB!VR-cIYx+{Z51td%-%nhm*JWGLFE%&y
z_=DF0rU@2n*~I)-Huh#`J$SHCYR8RL=kkQAuPU^@n;W9)^f7QJ_o?fRr>1BeeCN0C
zXgj~kh6rblWf>etQ+N|+EK#gEwL;M>EwiK9QtDCCx;B-<80R31g?chwvRMZ<xbf=b
zgfUAWzjEMUplLy&3-2V0HEfzP0o%CR_)mRq=ilWumw&t0-Gk9f-#ywG<o{@EkpIH{
zj~HehNtP5AJ-gl0W`g0R{Vv}cS$1V{94z8p7_m^X=D>9U?$stoj%D!{Zg6vsS#x!Q
z%C$@nF7K<Y&V~-W61!G3ww`~qu|o33i`9J(7xYW*c(Iht^GiUpNL8C-X=<@|wps7K
zf9=97D^_|XIJ<kQ+;|}`$*nX$STm$4l51+xHm9d^r#Njj2w!+>hI)EW(qtW@GZS6y
z1Sh*n2d7*-7!p}x=qomPhF-VknF&Sn*Zz}YJ{;@1D=?<g>3o4~$M!Q{IKI!>`O53#
zx?Yxb?a|qd0wtG^EZni8^YHW}sicQB?)Pm^DP?A?^86e+jZZM<qGH!+t0M(Y?K*Qp
z7XGnW6~5y^kxl;I)QJoFbv_kGh)L?TzBWGo`9f&fwa32h_aEEMyP+3+yU9I>X(mhD
zNu`6{kx#m7BU38$y2a*sCIvHnPMw(0s1u|!(Y5X9ri{eEh@6!t6UugYL}ks|U|{oO
zi`n&%ZA%QV{=YJD$L7=OGcK$2ChW4mxM$jds7RFv^=^^ZsS^{Mb)s%)beoxZS}vNQ
zn91{7wRd}v=jOs!@rK{#1(wNVCOtiG%bmH@S~;`FL^;zfZR_sz^OY}+>%~@gsZ48K
z^=cK<%_(k++U_oy=4*EHnaARn?-q;hQQY(WUUgFei$eu}lLDu}Jpl!ohkI2S@>2F0
zy-%$XTwhnx{QjQ4vhuzjp2_E@9CPZ+(0R1tSW;i6&clj%reZhN7`Ctdd2H$Yx*Z`^
zg54J$F5-*TdvW3M9==+?R|XGqUb?9@>)qZGRrTh=jPriItTC5QaqnEUu|r#`d*_;s
zE%I^NKNAkG-s-EHZ?gZ+f`9f`S4G?D{XOF!xB88Z&fgf9d%tZqyqvLHZ0)*d!lzGO
z_WpdLY{z-q*?l|zSDjQ+xV$#^-@=mI8;UY|)BQA5on$A~{q{59o6d9W>9mC={kPd3
z7hepBI?#IQYe;+HPNnU~9(UEWoDcoUarJx3!fjJGb?nfYul%g7#^sQ2;EHnv?qXVp
zleS%&{ioV6`sLiZYY8#)B)mTVxR_9LPQr`3LT6h%FXQ(o*H%n)wLA2DUyaKF=ExSC
zDXvE>f65s4KT#Hv`@iRj=DF2hdGxFAp6HnW|F7PE@7lG$o!)w9?Va<l^VuWrt$yz+
z7G7H>t8Zhrb>E7$>oqU@PJgQ}<F`D%L}Oop<(-d*9tSAL{)_u~RQl%o!a2Hf;%Vyl
z0(A^)A8y$Exb^h9K5@C-(eWwj_wGeGls%G_)sJ~rw&%l)$$M86Z~9y_Q*^@Kf*bcg
z9(uaEt^UH(dfj~$&UcgIx9@u6V;$Xn;+)C4$E~Mt%wM|pRGdh3sm126M@!_+ah;5_
zka=10uxQcaRxjVz-EU%Qqq$F<`x-m#;G5Q{UuAnfSj<~$T>a7QTe60F*KyIym8&9>
z4Cjhl$L-+J6$|otetfO|CgJsYx(e1GSM`Z!y}a=8P_pB-M{kZw-^@Q6b3P~7aR1>=
zLYu|;l&#}d+*Vud`pxOBZnSUNBfGV%De7`JJ|0R9IL_Vw?`HS)u9<)Bv~{Z=7JwZ3
zvrk;^dUSls^O^Uf9LnZ(NAhkG*JHPitEicrY6f!8W!LJi<D$mDb2lDIO5-ovQ($@F
zqm$^RUrN>=_g!P!B%J@c;^C%Ek6Vv->1@8){iw75@0<ChKNlZ+wQg&E$o?Nep}E1i
zrNO0NPj9`t{>rt_e{@X?p5F1^`t_7e_3QYupXsV<ze7JQd)KRbcUJtW_xIKwc<_A<
zBcJmd##O>MnQi3uWb=O5`SIQUx1YpS8GU(qGhIrZgD3xT-@0tu>(yILZE9ctfAML(
zZenj%;DxRKmVQw$x&Q0_?mtWAekO%_hsI`h`rrFyzx$7Lbd3AN{-+mywN<UX;-3Ha
ze(b;4)(d@2^3&pWi_hA1ZO;<3My*Bj*z^Cc*89KbY>0Wu>#)%H&raW;<%MQ-udVqN
zTkvlG*Y&&qbjSEq*G2C)IQMh=zcr`jpEpZIdGGjrZGQRB$#dR>-Cle3UZr<#aPhXS
zRolJ&V}pNZl{(jY2RqM=zIx^B(Y9sRSIxSVA8|)(Z`x8vUEaI9!mjUIQnu&p&Gmi7
zm!)oo{NDU->(R2}$7|~^KaJ;_c(u1wH+J_@`;0|W=e}<DvYIOKH7hi>F?%j&@s3+F
z4Yqo`_L*_~>80J9RMuqsHg|>i{y8YKz-S?p-qg6QlNxqSGjSH@y_&HyJ11vV?Z(&V
zyEXTJxE5dX)7VxgR?B41w53(8HGwVBdC#J=de^&)?G6lPHs<;FTvs>r<;Tn3@7MF`
z-!;5^@7HO)`gQGPtAAA{UwvK58=5s?Zj|PcM6aa+(@!3ou<X_<sZvEQpH(7{3^oRJ
zoMzeiF<03w^YQW1wu|>I+_`Vz!a&xZ$;?vm>sE!APvVk@wz4Q=DLEg1(J+5yY?^0G
z_|)r#@1M>*yy5$mvYm58Ext(eTFG2ncv?`lO6Kaq^M<l)GXH(|xoORf(zR8~I+H%>
z7?WDIPD<A?rDa(<X}^9~PP_0u{}lV`XVX?qdv|uNd4O-OcW!C-EF-t)c{h?mqMzsU
zOHbJ?+nuN?>|LGmK1N@|t?WI=i(sp_S4>t{y80f~zhWl(<DL1YLW^Vry>#AVkE@$M
zTBaK?KIc_SnSanF=fCesnefYV()RD#w${`7Oi-@DnV?*YGeNlyXD+3m&;M6B-Tv(a
z{j&Az^IqNxxF5E3ui4uVt-iZ+exH>u`T1LRSKQmwBA48Zy3g&g|F#D$DodAtI>C9p
zP0`Dl?pdAl-0ke2cJo)vb5}h7N#jwb+|fTX+&TS=-Ue20ikT4opis`z=9KdE_R1%P
z%KbHZ&jJrDwc+}y*srAbJn+m^8?PO+viom^JZrMqDsfV|{dMJ&BE^22yk`^7ueIUY
zG1pzO{FBC`Jh`KHPA_ixz4P0Tn#tUsxYwLlZWpY4Vr0~B<Mwpo`4=`^5|iB(lRs-D
z#mF7)Ils8&;m&U?GACGBS=F9RJiNpv=yaRSrx}yn?SemRBt*%z`kY_f!B{DBS-D@R
z>e(&fA_H*|u@_U_`SyN3vD9C#^2^z&a)ny%Nx$ks{DgkhgcvohzPW1EuATGh_G?C-
zT()7`%hauUt8!Om2Ux%PC-(Zo<#yG@Dfh%8KF*$Gx_lY;9pC@AR$pB0y8r6#JKL+a
ze%x9$zdcO;!--eUa?!O%gja_jUUyYJ=kJM0aqUyLeOdLTf4k`VzWE7X^@P{nOP+M~
zx6ig;4Vq!{Mps`cFN>@_W3k$QMxyun(^}D0SD%<)4%;(}Gd*(e>73QyXC!~MD_(1t
zFz;$R&*onp|F(UpSfdxK_;l-eso8u#&Mb4jcB|xw)$G2XSC$FeZSTp{W7cI~y?Wol
zWwK_swwzX*{q081WxMDctAy3Q?K)dtcFZ)BH9oyeI4!#9)yIub6SlsYm3*16IQLfS
zJm2aw+cW30n#md*Ugj)zv*bw9?7qmP%bec2mR}`PEF*OPPP@6~u)5jXO?Q@EJ(6|V
zE?h^sJ<2R@Tjtgy+h2Bgo5>bmTqdknlX5QeK!W$~E1y2^S(>v|#5Vo1-u>HKjz`Y^
zW|@4M@&3({6PdHay4`2@S!Q15WY@Lil}tLhGK#4_Q8QY%{rk-=r>C2}-FSal?Y>Pf
z8`kGqJ&~T>XOVE(usYZ3VYIiOa$8i9l&D3Drgf1;&SgFKoLi4q`2LpJ{IcP(nQYOa
zWy<qzmYgY`?f2v4q{}lBr_DLWTJ@*b)%wep%;|AvZ!g|nR{QHl$$?<+&5tM4ZCiZR
z==`!|wi_jf4(vC4Zj#ufy4<s|UpG~quQ}(|(|Jp8b{;pK{cUI7Wk&NHt0&8R-S2FA
zIpen3+=AzeC#4^^yc=^n$p8MO@_&~a{>-l2YWkJuOzyYU(z9Ou{IPh_^X-~BYgSh+
zT<AH~^2K8Ncc0pyE?fERYt^s4p`W&uPu#w5a@hF^{a*9-i^YEbb!)!)wJ>eVFN^Ch
zwF=GLryh6y!yUz&)l0vIzO;_|+H>ORQs1)m=f9kY5xpH{^=k2??;fJ>!$Q9&IR|eK
z={>jl)we%AKUeHY{K~tki+BAsvz2cBXP2(rxG=lexwh)cml#<o=~>Z1`}EAWZMkB)
zDn~>=y78;n>kpOdZl-QKz&E?>M&@O`-8r|CxBLEnv+-raQ#0932bL*|-6}bwG}|vC
z=j6)MY^M)qiElr$Om^C>EvJoUfBSJ{S?#miB?p$9$!<EdOgZdU$r-KLei6ARR~~l*
zD?PSM)^2m=^w~SzJ5z5ZUuPG4yZQDq=5IGjPQ=ab`*CPl^0%8Mho;NVD1Np1<RsCp
z-M8~Kqu)L%yS3%?b+fl0dwqY)Y<StwY$p3@t*^VxrWDnL%}eFmayH)R*eWKy`D~K#
zw)&7yY@0USy}G9ONAskc5ofipZhd*YK<d@%%biOe9u>d2g7wP3JxjOkTefZ4&r;LE
ztDAo{+rRq6p2~PvYWCH?N{OZOJ#M7tW*)j_pBQrWPR#6c_g>p+%-rB*SHEPLTyx;%
zzJn_cUQVzHlk9nQf^D(hvV$wQGYtfzQ!fWBsDJtUnd-5`hJ!nJOeFgId5<lhevpO7
zOk(R*Q;DsK+JC?J?tXdgm*#q=!)xv(?zp<UXF_f9^=thTdv*R=IIa9&nSEfzrT!ID
zS@+!NU7J5ur|MR!?R9&N$k?r)b8hZfT5|Kox=a3!SBk$jPkNdid;M4VExYem?%Y`W
z)t);?FZS^%N50u!_S=J{uIyd<uyxh1S=V=6+p=fQyuI^!m(Ks7!FjedSL3fom}f-U
zcJpQO_kZsB65O~{P<AoXYu>5)vJw&LD=wa1zx3+)S5xZx^431Ad0D@$_P~eDmvW{b
zF$vv&K<e;E->tg7p|P)n55209>y{7K^iCGss1^TO>3sXzGwBPy7MtI_+^@II#QfT|
zYa%m3El)hWd}-Zn!I0qa@X))LzFv)*_FOuG$K$_tcH;G4(|;`aVjM1O_;stk>6VhU
zLE1moyknhKs^zCEU@iK5(~{sqxo&UwzC8WX)Bnmsa%*cF?UpJhb{4+KHqiUab8PW{
zcGcO3t}F>Y!XRtK^JhWI?`vG#Jt8X(PySx|s>pqTTsiBjV*dq<-&v(TIV=$5XO;Tw
zv4FGx{&lH0pElkz*&4WGt@wg(?Dkw&p00GToyU3Q`A!GsI-v{?{)K6RwyaXd9t${&
zzi7X?=*=Ix`o~VD(izLe9~eipGdh<@7=^S89xjnEj%nvqHknbU`c;?LN~cCU{`Z&T
z7tils8{A&ZRQfY+fm}N4t75kWjQ2TBo@{YY_Tw~pw!}f$Z_irO8>x-=60T<Vzh+$#
zSM9Sv&z<#Eq00ih->gy_f)^<6W|7($y}+=VW!8r9{0+xz_8weyy>6lSf~vQ6dl}|e
zR_*7UvHI`?ORJt4YY)$;w({BW;oJO@pYEw2wm$M_sr|Z8LuTK!*3;&jK3FJl&u`wu
z@gq!3u{}lb<5HcZz7t9hU$m=6&j?u(@4Djojds=3N0sW<u3V=0ch;Hd`Oo_*)@s^5
zI?}GX`jV2L{pPy?@yn;pP-p!)D>(M*oi9<g%k6aD3Y^tnS|7OnK;Ndkpe20qQ|IoP
zx@zY4{Tw$IZf}XL^1QKlc}s1TM?~*`r@vRs;ud6m*9+L1DZb*?YVMHSi>#~4o-y@W
z>Rf2q_kZaYc9Z>A{)x_co<F_j**+JM@2j&`#va}5rKta5OOS`DeHhouhbM2Vu0Atq
z!mL}9ZrQAp`NGt%#UA>3Ls0;)_<g-ft019}*|y7{|GTZ4eXnS4?WH-@`P0`_o@Tyf
z*L&{ncJFU3s)oIHSIv68I_}}Q+p7K|r)qM&nYZ(Xp2(Sc>+u~UxphuEewLk7f9jo6
zoa<d2>+JpQ@3dX(?(W)FaCk!3yRSFHUR7mUU9MlfTFU#|@}v3}YriKRRcJpswK-;4
z2;Zj}QI;ptZ?&sdZ~Ah7+tdBG-o;nnej>9@YVPT&+r7;mWy#;Uv!rNk+xgR}$G3dC
zHunbq((5Z<Tzazo+LK8~t=3fSPC1hIW}0npmTf`Y%Y?X9GS8;-omr|Sdr5rC(xtag
zhnDKToVIV>g_!e)J{jGf8+?At_fsLkUsq?%S^qb3Roe9Mpq012L@mh*tBkuf_4QTb
z&u=IB20aU@T$Oy?c<~*R)w<Q!UcZ+795*xb<+^v<#N%@Uj@wjjPhq`q<L%Z}U$Pgb
z-`AFuyR83hOYP4GOLVRX`HE#tRpZ_oFuC=7VkC>qq}EydDT0L?zU(&hefd3$OXk9i
z!-c&&=Ukp~yt4Oa%!P;pslBZ+7bA{by_A1o+5K-hzt4qkzx611?#uk?IfuQOX2tvO
z_rCcnb-|a}x%vNh>-|3^as8XByr{+3xBpk4-fzk_twzz~s9)voC$Cq$d~p4n!HRX;
zqW<1qyY1K0b){EzOMCCWo|Qc_W|u_fyMIkuhQ8mAeP?;I;oaUzj0<JOZ)g^^$10Uu
zeqDFO_FKnCyJ}at53M1-(?f;6MQA3@7Zu`*(0e#xt5Dw!t%oymh5T;BS?v+oD5aHk
z>CAg$&366!+LCdnLK>I8pEc*O(Bk6AoD#Y2o^$C7FS6=XT))6F{qM4Cl{KkBcJZ@A
z4t!S=HM$zo+o7o@YJ78vklNm8qlB#{p;yh9_p@BJuw7XarY(5%?{$~%(isUG^&ab9
zOP+J|SI^cP4T@sYm%hK9WV!XowmtVEy!T(4o8}!{n>=g&uj#KUzpS2g`^(i=(_V$I
z-W_Tx_9A{)U&eDjW|wzH0Z-)QyYHk=IKj-;ZL`GxrQ6=O$D|{ymTtRS#C%WpX8V@=
zIl^A^XK%fqCd~TE$a8_*WY$;4t_v80z2)V%-SznU^;XrJs|(MITk+gjet3d@sl>+k
zcEkIxL%k%v*8Tq8_9{+u&&4lpjjQW#+f|EA^txBuYiV;~#_7ZRbv4hO?6UrU-}_L|
z627~?Z`%Z0-?)G2>3h*g^R3%Lq<{A%?uah-zWypa?)(RH*~!)3!Lb`pwg1;UFLNxz
zXYC!+WxvuTwXgm3?zMb#ea7jJ`?It2&T_w;|1lu@UgrB}6AteQx)Kw6J>+OhdC?2j
zW6uLkAAefW)>s*GdWKEa#EX?*gcg5#k?Qs=Fx>6Q#s7yt*i^M9zTfh7iAZU^Vy;?w
zeW=j)Rkz-WNJLd-bjh^sxjgNtSx7?fF`2MzpS1!e%cikQ1!pt&vevBHIN_;O_l%_*
zXQWDbN6gBfb^1X~#4kyuUQ3;8Gfpe@R_a`tah|D{_008%6E?lBXRbz^k?B=EbI$y1
za)8|#?E=4KzSs3r)Ae4PJlhzSY&SnsC!zP4Q&_f6($r%~VOctfuKW6b)y&Cni+^zW
z&%%9&i+Xp~EHdn$DfKyW)y4)@DelNM8#`R3x+7O?Y+?PmjJ4;ixvqwReSOA)W9q#-
z&s?2xT&DNunQIXTbb4ELE=L^MF4b+hVq**Q&t<J^&YSD%eDM8u;lji0-kmi|4g3H8
z40c&_`aYXs_GgWUn`NpTedTs;iYvG=-8%Kx=cBhxo^1$C){8cIwlOl<ZnlZohQMS+
zX%jKSuw+AKlW7<1@0(25|CE?@<@IvI_I*;tFBcp3-;?_Ma_Po~c~aaj7jEpBUu64~
z)%2C^^@u~8|Fni^Z>}lKy0UwweMoPWeb$+$oyTnCvd=u9c#KIdOXo@BF{O9eI?v`E
z6MFY-_Os8G`!chx?4Ar#yWR9zk$1A3zv(k$XKVKZCB3aamm`jZ^d9xO7;)%HO*_wx
zx%|P4@2T{zoVx#5j9SK-<UPk~j%Dg3EI8(LEJG)0%dsT4Or6A(eVK~8LGG1aXxRQx
zs#tQFVgJIP!7gvkES@1-Ic0-w`zmgplgjM}cLs;84t#%McIC5zA7}3@)NB5<bY*Fk
z+)tZ-^~D}%D}Qcs+IMns2Xo~TwRtvPKfayaChO@NTlo6p>u)ob9eY&VwEpvnhpTOh
zZq9d?+x_`Odbk|xn=^|iysZ@3sN0`p_qVkA?rf>6YTdd^75=)Me^&Hh!^`Wr`&k27
zSi_!o99|_;7&%i}PP^zxdUhYn9X<P<r;imq*tk$;h2m-%mNok9imy*O9=TN{@Hx`b
zBO;hhic99@j%S($7QfOm?_T!rnsZjL{eAI~r!jpMbIuFS=e86o*!bs(-tkk7$3GT5
zC|)GPGDnA9F@9=u$K|IA&vqA0D0p_Z{>D;o=@o0AS7uI|l@<3qDDyz}R-50Yw_{_Y
zFMm4px;OOfS)0G5x0%(Cu8Lo`YW@1P*?#|f&i8K$C|;&><%lM?Y4s^5--Vkvc4~Kb
z#J%rUO8fac_x<xJ9bf!QJ{+FCsc>hGfm}GxvGnePENe_=ObBHY-gqk^>Drd~w^vq7
zOnmt}m)}45Lcsr|>(h6cho+wQn7-%!w|%RFw50W~UKLxvPHSVfZTIbZZ{L^K?%$5T
z^3Ou&ukD&$;oZvV`@iv@U0!dp^@H18Y1U<1>?N|2`GWsf-=5rB7M3uNPgd>4h15pA
zt9DWCcHJcs8zR~jy-OrEhO`@=E}8M6^P1Q6OsUT^)){VXx)gE1r?>UYrHCUry({nT
zJ0|q(S;Vg&**lNP*qt@itJe8(`F_@gh3#@LF9o;D?Jaq6Il7&(wnXAmc)Q@=5{b+4
z?VQY8!gj5>Fypva@6R=tA`WErw$`lK*s=WWdEeU+XN-GQZS=j@O^#c~#C$qxlB4OO
zf4R49uQPJ@{CaOyDxn)N-H_?4gm%EzAEFrtDjM6)h-Vy0Y&<3-m~kj@@4>9f70&$!
zt-eSuI^3|(ibrzU;f{w^J(3HZx5k`5{Kd^`nREN&pJi#=FIhHP$q21D&DvNMBeLSW
zY9repg$ol-GxhFJx-erklkbjY<~N?U@Y(vky6}7oAG6<UgD2LqZgVaso{5xItBL2E
zYksNv^)5cyyeZp_n_2C|R~%+*T(xwqgRL3omGsRH%-c9jQdT=$jdNIFc$;<B2Iu?@
zr+sCs?p#`UK38^?Y&V~?-Q|T*b6*>z&E*rm_B^pFf9=JEhu76uZ?1lw-Dp*#959`k
z>8piC!2Enxse-jNCg(Cv_%ynm5z07|)2Mbvb3T99dxy8zHb2<t-fmZ2D)FI}&-vR+
zgGb)7$KG5|JQV))Lap-N?a!u#+qLq2K6QKMK_+9CR~up$=*{N}dF#OXYID#6#nr4*
z8{HOU{a#^yD0Ls7t=NkT&rknfd9cB?D&<6yteeio#6z2GeDZrstx6*1=LeN#^9Zas
z?9jNYMkk=(k?E_X&V>d=CSFOc3mu6}y^?wtS_G?_W9_alJkBQj>&^N28DFkRtaNYt
zaxu{*UiR3R%ZX0^i(c%Vcu#6?=?jBZd*({&7(_1MyUhCPQ>TNiANQ5#){Sj*L^F<j
zXX>?FZhk0r3!m+=7Z=jQe~Nk}9<Z=AO4-AwtoFhnF-UIN_G7HFRxxMHHFullab9`2
z%^~(3$CbzH9BSWjnmpO)pgfNwgm-t9a*W=~3y;}lf4w=UZvFOM_?HtCf~|UXtUKH?
z`{{*x<+nGZOI~c)y2n#eXM^VgzIe_nPZv1Y?h{>cUa`?lMl|D$;oe<(w@Y4ZjBU58
zK6QE4p$U7dQjUmM&iHml*s8>0=K73l#^wLRmh)A!yejfopcTm!Dm=x(IgP{Qk#OU&
zGr!he<CuQW>dTA8&RgA1E;o2P^Y51v6aHECNGv$q(tkQ}!q+pFRwXl{?=9gG`{A>I
zFPrt%Ccg!8uUTJx-szz1_HV9VvfJOeVX@OytE6qFy}Izcq%ta4Vv|#UPsG&r%=0qy
z?W=DYt3T78_4Uj=rqUhJ`~1$WIBnZl^+zLM{&J??9m*GG#8s8Xs=d6Byos;&+>^;u
z%eGfmr5yQFB#~yjdOM%&uPMt_n_0hcm^|nJcZnWusWX~iXBG0jn=hH|X=2sZ^Di$X
z#{T<q^XfmJ1+T6NtT?UIxGLItft@sq)P}$Xg0oqqHhVANwEntmr_Qy7$Bkrv)hs$Z
zA=IiTV(sA>rcVt{?K*8HyGqlD|MKfkd--I~fig-~oxqC2jE%c$lmq(Lv%dN~)j|0i
zr^z$!y}R`8zr66+;V18Or}@oRJeDiv<q8+c9E*(l{w#6U!S<)pmqn~>G~}I)UKlLj
z<11M@Q!$|b<*%JF?_NLuWD#<2g~%@%y>`#A{~MpCszv@4pT>Vqvt&lRU2jT&?i`j^
zpIRMk_g(BRow?lEH165zS=Z`M{nx22nQON}bHnPtpZDgTNldToJgWF1u+lnsg<dCL
zs8i{SLjQKV=cN(_(d~-nr7Nawx9Zus>Trwp+xZ-M&3e{brd8)$oN+#_m$m0Y#EIg!
zGJ@w##6E{4bNUzQymg*#`s{=Ay26_hMr!3dH|3c|wd*Y|d0`mTZnw8Y!XTzy@o<TR
zVMx27bIA+?i|Hq~xW`|KT4$Ca^?BwhS!n~;WW~v*Vuqf{hQcP(4A!l=l=q9zsnM}=
zM%;Sl)ZI-yRyD!fv^AXCW0^{CY6kSnR&CUae|;f2;HK?-$N2~UZ(egj=QZEuQ~px}
zr>|uCIwPoV-6K^g5NRs4%6Wd`(+xjz(s%IHx=lI!Xj?@SU#;4-!;j2ToB3+ZrX7B?
zt1$hh#SFW-+7}kDsZaG6{JAnG?L<Ul@6(qa3lB%g?((^^@VKPxs=|PF#_6RJMb7Pl
z{Us8cBilK5pT4)pXW?n5wNK@QPv7J5oq1lRm(}N5#0jUjGJ@WwVw=5^IkSs(_OAby
zaV9Z-U7_#GsgYrI;bPUlN@RDfxwi1Ql<ca1i=9n>P47J<$s@V^a7Ut5&&<__TUd9l
zo^UTEK1=tz#Tvu;%2M1G>o!i<m-;yRloDH(PTKrqLTS@g51sxcoc_=KWkX;KtJ<`+
zv0Ww!vmBK5rmVf(W%4j6vd!q#=Ad@P<gZyrd(I!;a=K>v`s{1z@1C5WAN!!4skcJs
zLW}(8t6u9*n98oI4sSQyek$>o&6Wc%|1WfG4}QvfBBAl^)6BCO2OJyQWCSvf)HSN*
zXq`{|cr%}0mhH=x#1lTU$8xSF9@=K(^Zw`LpDatlKe*U2-V}|QxxTK9)ttlR$?{Ly
zH-0@S+u<PW_FUhmDpvf(RNjashbJ7h>WN%;xW)f;;>XH2M?Y=bW~WpevW;2mQp0g3
z-b$qlGt!xSE8@&9riPr|^ORG=&T{T5=hE!g21(2KlG9!W@ak61_|`D@CyU8aPK$`T
zl}1t@);c)zahg0^;UL`iGW5fX)z0%7t#~9>9PT)16_TAGtHz_-e)Rb~>r=uPzG-aQ
z^GlNVhR%fvx=g({^)9r?R*Bl2dvzhblaG1YD}$t+e93lK6AyLS_*6T*|F0i@aSc1q
zpY>L}KOz<=HnT_>M=aoU{<k`ewV%0uvEzKZsuYKKS+_5j6MgDs)p#`8k3OG#{uKMc
zN1snFe|mS(*Pl;Td@|c=H(y5g!URL6UQiYO`{L`R-`cErB$geX0IsGFw@d_iNd7aY
zM|z|BPnML;2YUBk%sk-R*mg%K<4A6!+8xdJj7OJuKmK}(&E|r#^SW0C&t~xnubY1O
zk@E55pb#pm37OZxBUTX-_qS)&O2-9RSppeH`k8zyR$V{+d>tS2yO#z}_VFdJdtvY}
zQ7-K~<7ZBf>I2O+Oq=8kw(Ma}H+c};*tSL><A_(I+8V9>H;$&yJOAYro6YrwQAIBd
zmbJ&FIh`+xsIjWrzh|wcPkQ60S!pH-I~|<OxJ=R}I|!S-4*d|Z)_K0E70(Z!cERjY
ziOqiPoUcC{yk-3Ui8I4Xc!PHQ-#1C;1v5^#G#>L2$~cs=mrE|X<i+ODcE;N!5*uUN
z4XsONY}hO#Hedg~uD=6UC{x<haQnrKn^~od92aDXYh7rmtP-_Z_99>{OX-TRCaazq
z>kiM5wDOrTvpti4$2@<BYs}N0t)Bn2A(e^ur^^CEX_i?AtN+yL+WF33f8F~nYl*~$
zfOf&|5{b<b?VR5KvR|Ity+d-&Z}ZA$2J81s)6_PIZs$8)`r^|{KHIPt0lB+MB{q1q
zE3Ph;*yz@7IRB+V;@WFo(|<~Rj#ywgzf~%Dwo&g&+nL9dre)})tvn_)?P<g<<LjAc
z9!`#VylH3V3_jVm*B4S(@Yx=FeIdPxk6G=NLCPdPWwqA^X<dB6%d*y%NG>$&-zfE2
za<So7t*a3yG<scouFQzqo$a&s&gqE4-!{I<e3ws4P2b4mUizZIr(N%O>5D?Ac02V_
zi2|>7#pR_Eg#qn`=_NA?!m^j1=IO0Gb7jVPi(b~6<r^pDn~D{BBpbGyPAgD3zUlih
z(`N;G*LS>`cXNSrd#_c=%_YwLXRW^6Ty(f$trgGBWzMFPUk99hVijV%^P1Q6&r+Xv
zEHIqkEycZK$;JutCSrw=$%ea4rhTZC)V3>#SZ~;VH?{Y4L}Px*3j_Cdz5ai**=)^T
zUP#}}$Gq)@LCR`A<!vtw(suI+m;KpZqaFJ#L-+fQ)rRx+O~f|%Co9Hh=_KtxmbC9u
z#G&h!(`>m<U$xwuUiMUK`d#Dr*B2g2%kGl7u<*E}?5~>D&Rf@BN<3jB>vraP;u$7c
zHJvL_FE>Re%T=2^+Z>q87@wh&GXI#;zAF)D>UvdcLXUOzXNYB<Ni2+f93_8Y&83Bh
zvt)Ozxw!Cny49D+)rT8Ot#~5WAMQ9`x<c%zmCudUTQ8+{9<!O2btZl0F($i<5hscu
zeQ~Z{)iq}}=3HN3*e<>ESnBsP&azf9mlvK+maVe6xbS?x6;H*g!xPf2dMef(o-w~<
z#)ZXiV)u#cx^pG!U7>ff-F8#40{3Laa#OKF|764Orqezw=n<9A`l_?K_~Xp5^_#vI
zN6Tl<)yghpll6Oj;i<K3)trk9&nwEZ)vP}}q1dX&V*TM6+*Uq2R&2fVbj>jvzU(v4
zJB~5+W$8SbaZIT%TjyEJF(JQa5mo17F3mX14|ZVdroGv0vU;yBJe|a6TX$jM`BYi9
zn2U)gLLn{pQdzY-8n=^|UwFFdT2a5Y)aRY6Ha2)laa*pQtNf_C_voC<5r=*nh1Kv>
z1Sj)tKLtv1)uk^!wDQG%dwJopx9qPs*AowfTk-siY8Sl!!r)m0pD<t6+LE1X4g0O7
zKJQ$;v7uUud*}L%9qdxwKf{tatBZ8@rk7=(Sy;ZV@TP6CS9_Mp<%LpbOI{TEw%Z*(
zop+9ht>;4G2@hGfo=b^mGGx_yG+#f~EU{d&HKsRBDtPhCV^=bk8Jf<@^nr83jz!te
zhz@)H=BL_YumdlbzA$iZ*E24CVd&j%x4Bfpz`b2@Z>hw`6>qZA4W4b}6P}l$TQ0eN
z>z(ALV>N17Iteq5IjLpqBy}B2T9&1g7}b~h{`J#WbM6`Y7x$iiH*??4J1O6N>}7X-
zxxVl?gX}M!D~Sh=Tk%LP0o5Npl8X+vEQ~rGJ1<lBd&N4#`SVT0E;wuJFIj#}sVY<F
z*}||mr}_V{edB%_`#Sp4|Ep$uBSK?)0%rfYKYQ)rmG`&*y)_|$yC(ZGAA4@pTi;yB
zY}VG74Yp>on@%rNF1uB7#%{LXk4uw+r%#^Ne3$8W?yZN~v&(+oT4uX%L+1R;X0iqM
zmpQ+?S#l(HcHhqA%beSFEx%eMTHequIK50Z@79*nytBXU$h&NJJ;&<7D&O{)jW0V+
zo5_A|_EnGB^yJF(9ZwVXA8?&rwj<%P-t*jBkLURQuF1d5n4W9(q{r92CjF$>0mE)y
z%dCxp?mA}AHXK!x`Bi&+KHufH(xzKWL)VMX2r@jD`0D-be;m^cmmS!1%8~E0Hv3MU
z6*5mYZYY{?e$gpM#%^u)R-KhHA{)091)RBa%8^r8J5F?ZrYLui@!^(Jj(m@`*?0D=
zmU&{hvS`BjO{W|gt+m)&bJoj<Y+PFuaOT=6N6u)iIMM!>qTE5nr=Ni)lC;=&=B$x<
zvT<S2g!9j*HZxrd=i`!$w$w<9eY#-A)~U@xcB?BwYtlk=JkI)MYq49dSts*k)2gBg
z$4{MdwA0pNXRV2{)JUm(x}d{f>zPqP+R+&kB1Myx(!zT>X9gRpC4PE;``?eH0>R-4
zr{Cw?dwBZ(*1w*X7sYSn@fX}k<1e_G#sA^Q8Mz0W&&dgFxF#pC>6o0r##?d%pLg0S
zBsQB~*89DNb;ZrB#kLN;o!=OypZ&(r|MeTg{J-BC8o0kTO!)k*p`-X)!;JTD89CF}
z&J3$I%Vx}Cvr6VK_;F6|LD4O_2Zg8P9u!}a6DT+&Cs1@pPN49NoIvpvxd{bFW@XOb
zdyVPJnxY$W4+>7mJ@~ZN_Q2x>wg;X!*eX1bu68(a{aZuF`ZbkYH<G_9?p|w`H2v!F
zH(P$S$nO7b9{X?UMe*m8n4GNypBj8A|G({Yd`Op;!8+r_tgm-x8cgS$%lUc6jhP1X
zJ?C<A&$u&l!vxK_oZT~S&D=0!<6KVfA3KDvpGu8T=L$AHf8bn;CC>|=8Q%ZTwN&!F
z@|kgd%efZTHLrXkPMkW|;=1OAPsEw!b2+^u?saBAHQXcIx^n01$>&-ubzb|-IDP3{
zOQp_BpBd*Looiv$dF2z~vHx6)tIivrh%-&+T2#-xKeF|c<i*ZISKgSfH%{a$wibS7
zwA?sRZnL%UGvn39iCOzE&)hIU66Cgfop0EB-nfLESmEd5+VjpO<jf2|7ge5rb5rfZ
zzPmYppT?A4AFX-p;hB8h*%y<18Xr$>mgx)QD|-2JcbB7Fzt;38pLY}m9GHB{QPDn(
zud5=;QsY_V(*-ThMb~$oE(fL1r~Xr$WvW7acFa<h57RrjIQ;3PnyPR<t{1_U8cXt@
zF6ekYRa>rjT~WZHpIzZcAD0|$x-zF?VbO%cM^8EGP1j<t{1ae#;(5{21r6F$o1NB$
z@pbJ8v-EhoMw?yr&WeiA8oy8-kF#~=+U%BhR>?dm+Fdl^_}o*DcIDdatanz+h!pKF
z3OLf-wUfsp(opS5!O<BV%A(0heW5*`79Vf#fAJ}O*^IW5zTJPVt6O%h+W-5sUVUD-
zM#0jcj>G&@onqYn>~rck-r%KZ$E@ATQWK-8k`l0VLdU_WPDyPcT%HoYs;mFS=7pV@
zGwWnqs73#*<89$wfz^Ax6y=n)TYvPd5)m@k736VZhL@t?d9A0a&+?W|XsH(cwf}f8
zSL+JP*L6z;+S#W%UC~+;)Dd+vlq>M{E-%Hb&~QzcwJ)_=SBS<goiM|Cs?(LMbx!|k
z*M)1iob|H@soKFM>vh)0R=ahDY0OfAtxc;%7G2Aq>U8DR+8_^?{aniK2exwU<lPye
zsPrhPwPVI<Q6-^u|K9cQ{*x#c82)@;KxlAq`1Od}SB^Bt{|TIKukldVwdTKgZvD@I
zhr8B&U2n2IWNS&jUV*<DUwP`oB6l&l?WqroSBIFGnQk!&F~72O>#j(xSy`*Ickhe3
zJ*7+f>7u|~{n=7Mg|XtgGk1h(&B}hAy=vC%tomvD8n6F5t`O~-JIhz~+uvPp*Q-zK
z{9>HDU+-jMmelUh(EW$*#ZO<dXJ>WsVxzCT>o+df*>gfV?qODDZ&cixcTxAZ9?e|$
zS2CRC;j%|Z^l#Z^&+OWDY}u>un-~9un+JdUyw0?A>z@zZ+w57R!~c7g-qqgvHRjh*
z``4e`U9Wryy8dcQzsdfOP9M$PfA2{w;;X&?W3yhp&Tb~>vzHQQMDF4-_wE-=due=0
zhuLjr>WPfaJrc>&43p0qCC)Ld*8AIYZt?z$KY0vxH8i@3f7*D_mB(n;jDv2=)w=(y
z?Edoj+GF11OXYXZOYn&L_VIQ1|C1WBdNY@v=1+TBcvGi#-Hg-&p__SjrcKMT&Yxyz
ze#YowkKtxL;og~FXQY(anfIo$%`x3I;eD^(qd6Ck&N1KB!kzSZ?v`lL9jVj!w*L>l
z%`RLT`JnTt)t`)wdj7iC9?v}bi>LB%4)e9>oD<K*q&FT+N;ZqiIi&W{?(~{j-De}e
z?Z}>H_x+5~gME>0HU_6V+S4RIpU@GOo1J1MkZY!@DBHPh#;57LuGPBN9&&e=-b~*p
z7az6ed|fy1k5fs``?lTa$k#D@)^Jo!#zg&6>gvd<9AoeKZ_^|<9M*9zo0WP*Z*$Mh
z+-aPzQ)d24t82_Zd+FhXIs1LYW~6T9+pK#nweM)vnXM7?E5)P@?k72mMdTcD>h7JH
zvyrp=Y-fpO&NR91Gndl4BH4UQPfy59lQg`mlbkjq^^nhGpX19^(_U`4GG%{GPE_(n
zzWI@BPDgcrwNN-;GBfnGe|M;MKzC?%KzHcsfbP)O0o}e5O6M7l+DmUZGbgp*|IDTI
zosn!cS<@7?&ln{wjXbu-=yc1~O+NLFF>iN1Q4y&(t(`Gv|Fw93`TUJpd-FDC*=B9b
za?RRkH(A##q3dXy&(<3qnPSq$cawzEW(cqRwmc0Rmb`pl=jy*JDwj8AE{n7}WAs#C
zdUoDl_syZ&72TnygStas2X%*X2X}{R2X}{h2X}{N2Y2`G%-NV#`b)mIvt(xOxlPBF
zKTpg$pEV5~bDF2l{5NUgtr;_y-kN^$=joJ2yQ28hYn<b|OJAmMluOnP)Ai8}TkE46
zrt7O4w$@iSOxI7>EV2JiV$kYs8=mQ`mR%G1%f|e4!~8QwOWJoj3EG}DS~fjW?TgvT
zlAK%F)3V~zPQ{y<)n;$ZV$I)}6`8eB&Ryr)^A$(gWH#TJ@K{W`@K92+UsTQ^&0?ji
zV%K!8@?F!p%63iX>c6WxSHE4=x%%#^PV%;yOK<HyW%T#x38v*|FFmxjte+XeUD1up
z`@J)gH)a)PZOkgo@>_D+YjcQo#O4s`h|M9t!#9WA4&NNIJA89bWXiOx_gRx(-q><X
z=jy&Qpy<Dqw2?1b_gZTIp5>?49RK=1XPce5j@g4{N89dfy3ui4OuG1ZlJL8K>B%6M
z&PnYrO?$cdo({8}`RNI~X_6Z+>Li=ZOg)qqxorQL$OrT0w7e;I-Kqave=_U5=rxD^
z#a>@}y#DO9#mkTW`m*Up!#y$SOUILxe?{f^*mwKB_<#MF%Pt#(^qY?tM*gxfI^A$Q
zP4d$b9p!a1Q_lo%_Nhpl^zw$ufhjE|IaaB!1aICbIg%vX7q#ZJVfR;yyp4A1I%W@+
z9Bq5E{YJ-SG3n29kE+cvOuzY5d-Incw{&Xv8J=!nPLnLUprgFc^z;npw3!7F(_ZQ?
z5VOdh#&`cTDArett^U?5_Sz_Z-+ANL8xJJaibdrdQ0nH5%-JaDtz(w9@~E25<owfD
zcFi$PzxjAi<gXZm(+%Bel0_$Vl<N#m&p4env*4)8<&7_egM}__%-pG2fAhwcYe}-#
zBG;U*>i+uUPExH|M9u-XZr+<I8wF?Unx%CgRm(9|zw|VC^OuOkX?EIYjS{9tww*CP
z-ElQda`Q1AVY|txzq~iI9-F=N@bRL5Mv)0qj#~9>i<oXG_S*1TQth$GoC6NsyfYIv
z3JU9*rA<1j=3}OQDRn|*6_1(se8n_LgDX1DZ8KAk6m0I1Oq|B4>}w=Dd)bVoheLw@
zZQ7W**=Bm`wTF#+vQMu$?cDvfB4wjpzpmMX&ZBLAGByhO>zX~Ac~s42`u+W0YfqaL
zPqSS%d+B+e%{((QrzvheW0bTl^4J-}(=9tU_1yP3zHI&zl_i%Jw%>_2icDB=bXDQm
zq^oDMHonT+8d17CYR#^A-LShG#8$tH=nmb!=IE;GusHuE*Oo4itomZ)J^x;sWWoKK
zk50d4_4m9;pJw>?tWjcv=d$F>uEDLBHoja@W1beI`#?8r?E~E~U2om6wcg-7Y4)Jw
z=&GeFkFMIf^609gE03<ay3%rD7I*fvEcWbaS<Knfvi@gHQ(S%~=xyBDpxn5#L2qMI
zXZ|bN1j^}$O)cwZ>RfQ&7jFFe!^)$()@+FApDOlx^Qk1}u;`o<Qr*2j&LkyYi^@4<
z^>d!@+S8@Q(`@r*EIseLnP*4pG{x;_jUM$y9=l_Dx}{oeW}okCUr&$Y%g+1$Jbi4L
ztk~-fmy=@4BG(+x>;8H(c_U-BuGtgr?%o^e8x7;5at`?vE5(}4SbE%T^Ou_`(-=>m
zHA?A@bjvY4JtH@5=7zua-t#5>?LEU2wp_IAnaJ%M+qg3ArNLR9*k!Yq9_QKoMKX07
z<K{C)Dcd65&KRDau`_L^!TA}s(>J<3H$3;E=9qNs0hYzwGc!sAC->;3ZMvxHW4NP4
zVpe_$A2*NZX|{!${|{<h-FIH+YTPkA_?i8TP0u%7m=W3U>h^r|jTcPQBw|klE$)s;
zD>0le5qqfc<7M5vUss!$J|8)gaw+j&{e)w07U^ublXSJ}1YRuMHbeO3rUN>*VzZW>
zPua{9kuy!v`;1Z2%E)6n#;05SeT-x~=KZf;T=L`PeQ8i_$?S9U?6F0SVy{1KJX*!G
zDPsOXG3gIyf}T%UI4xPZEi&g!LAS3&;<-)U{F}eL$ed=k_l(hk?U8L?3{Q9LOOw2O
zUPt)XX`{KHxOz;y+XKOwXyQ>TnavT?AB(*%Jd{-H7nO5Bvzym4ccb9_$ec5h-M$vt
zeoIbgZ2lsVJk2iotWm<8$hIEy(;b{?lExQxgw<xISee!4Pvd*;1B&fzvDXE6lVbBC
z)*Sck{<<@DBja{mvnPE=-R^9?F{4^+cESBQ9@9Uky(~PaQ>!;C^+4ceo}UMGl=Wt(
zo)O*bvqS6jm({GS-)1a5Tm?#y8}25_mPM>N?brSFM(Rep-MVH8bC0&Y*?OZxU&kzM
z{!z7>Our?kpQpXtcu=R-Y*y+4waq*?bEXMKpD{{X9jTUM461VSr}4$l{4~AS*Zhg7
zSDI{E<eJkt-Ct)UZ?r4cHA|RxwC&9H8y&1-(wi?N35%WNzHnt%4XAoFPkUK>K!=%c
zR_clEX_AE}bdvdIrydfFTo$jZu_40G=^HEev=g9$@~YVD4absV?KVgBZxwsJ`CJl4
zi>O#BcG|3^$Bj0B`FTW#Ic-+ziREdM8xQFuhs{boqy=hl1g5>ba2!<QNF{CLbJo3<
zI^$>+&-RG<f@0DJmy(>TqH~U9boWXoZ{$oq+gb85dz#$eGnba{k7VO9I6a{;P4eT$
z$YVT4r&|vGkE;@!dajA}nB?5U6+16n5uK4Zjqmi?OHX?utLB(`&wqc`=)s)GwmIgf
zJGj#%i!bU3>q%~YZglX4jhfWl^D2wEXXKP92KVSCt-5&B$9PxEOSb8J&h`>>52rd`
z+T{46FVgCcsrU5iw3mhVb!z2iq#khI%u|^%O|bv0(X-A-wLckW=A9N@{CP)KiQV-c
zy$8E4w$`MV2%7imJ)3q>^^RDq)o#&>tP;NXZqL(mZatp4^l;qflAnikY`@K1dVYGE
z<c9k?&ijl`cbrX={M;L<CS#CxKDGN|Wsa%U{9HHj4R>ESl}W@N@mt(|Gqr?sH?N*-
zF`v}j!`s`Iq$Z!!++1RjJ56u;k=9zZtE=2=)YT_vT}+;qbvAjL;^H$wYb(wK=~kQx
zT3h~av1YYid(X_T7C9w$?mc=BR$OeAG2Yej*iF3n&<i2IF1^2OOQW85<euN>cB;ZK
zb7t^snaw3LGN<WnK65E~TjZ}ZhNl~Lrb!x|*HL~pJM|3HW}k?RGxMUJOUy;Xu}2IR
z2fvm9;b!mSb6nk?Z@4jGOU{|fFX3)4KitrXoi}6Y@&2@zpRedJ&zqTgqIh%9kCQrA
zjSlDtS4nMte(CTFo4o&1PyW1PxT|5en|RUr7fSD>W6v-z_O8&_^=0-%)~e38r}xQu
z<!^kRvhF~_<`RjdX?o6QFD0*v{Po88bc6m`qm=!TZZ)aX4C7~~9x^}nX6F|}tNwj%
z&o7^U!SqWy_5{P?Zi$Q%LwV`gLkb`#GP^x5xBzmZW#%-#<!3HE-4a<<lRnKZ{fyCr
zHIZ#K`O^fSpD}v2CsHlOAnp9q=*6EcQcCRFd-Wc4Tx^vw-PMuoCjPngqUs!h*k8TJ
znZ$d~J)AUS%Nfy#%xQe9&s<8~8d<f*(0l&*Ge!w(Biq&(pYHgYCb{{Dj<A`;=I5W9
zFILv%mDqXr>Ltv)*jkfRB6zx2?^*C-?})^LmoqkBd?8~dIcZw2<?geW68j@}y)pIf
z-~4Ek(qwGqQs?W2kX<=uY1fjwFaFFi-PMrmCcf$J3#BrN*fV~My>F=Q`ZBwRRjoVs
z{JwK1MGP}%Y8zbFk$pCE>FJuyUm_Bw*>RsWN|+egrek)xLp4ou^A#Q8X`rlf^@Ytc
z$+_oC7IV)?EKxM>)k~Uo@o10Xt`<wS>9wr)U&u_8208Kkk>y4+ODgiF>DkX*>dyb!
zvbJhgs)PP!o|n1P1Z&S2En6R{W+Py)KG$oyfA7q%FVaiw_<K{?Y>akIIPNC?@yLs$
zI+I;3%NKd?Ul_4q|Bbdc*|T^ACQsYRq<rR5;*!W+Jx1RB7t>xE-^MJWlE1~UNzFZe
z-0ivXi5E<4(y=E57I#Zzl^7oG(M#NMaB1?nz{SNDX&zB#&uTyg_nAvi_e5637<kX`
zPLnJ+spDK{aJu7knq=`&9pQCSo1Yimd12!xG55UZVs4An62;}cdXKCZcUvZxaI(8h
zulu~=fa&7m9eQfI#jMw6Ej?_txg;`wTGsW%X?D_Qj1m?{w&g%F^yZ^F!rMT`fl{^9
z-1BCOxg#=56j%4?C2hTUbdBMzmh(J%vc+K%a}Sp}U)p5&+<SA$jr?hP@iUhmzn=E;
zb8jTu7qR`dvrkTlOOw2KStq$_M(QE|NB6&aE<MecoMu}lHTS&UV(uHcC5qKOdP(aq
z9<50%;r#vY)%+60;=8-bvVT<uhx+;kH+Jv8u<~&0H~X34PTX^*Y&e|Cxx44j(zq?N
zmbM62%@JO4{yP(|h4zIB#!R8iTO5-8xJ@30^j!<t8X{`(d7+=|xw?pZPkO|5C?&S9
z7Ao7Rme~JV=-W=kL{kP&izmsP?mUwU&LnWE^9bI0_i3-d8!-v3#P&p?GD*F}enFvc
zk{S;i0)_Y_bsu&Z3iU~9KWr&<x*cjS+O(JHvFaNG$3uFys&792U}pSnGqK=6zYt%g
z*29i;p}tDJL{pKv1>5gF-xH9#-+`ag+D54BTWpo*MY+AIZ!WtZVysn_x#WLH@UN<j
zvBM!w<<{471&$niTsqS>92Vl-tuuAQaU;&(HA)W~o(l2(3^^pIts=A8;}GZSmTm1Z
zB29;xR;#|*;C4uFzUPhPwJo*RJS`ITwm7GGS|m-nFstJ-Q}0j51)S6EbAGR0<Qf%y
zzT=bU+pUjutYQ{qz4)CPxwmVJgFUCUjm~`6?i)+{1GbzFXsqH9TyZ|3k&Q=a#Vj)x
zDZ_vTuh@h#oc_!B8b>bJ?jT$B)M(;GrvBoYCpJh0s=WEMw8hrUD<U^SMdriK7Uyf;
z7D;nklCOD1=-xbiT~sn`a>Io()9!VGrvf&=$l~0+X3~b^UYx(zOei?8Tqvx3YKyYn
z#DX(Moa#EFx87ZJ&e%Q6bvj4tipY|UEwN&rH<A~&)QWjnB<yQ(e&%VBw5}!jnTJK<
zwhLwR=eH!7cI!>waJZ0jx6I@X#{)Tk%S<ddkjU9CGpXRncA-8?jfXAFPPduoaUaYQ
z`|EayFWx&M*HZP(#`r^avsGj^_#aY?_lU^dsUox4{SfEw)@|)J!cA9oc6lG-vsPX6
zZK2S&8+wWJbA|YBXg!>uE7W&GXN%gSl@7^uA{mD!f7^dHc1f1l+h{A<EnD8Zvab5J
zXlb*nbZB)Tr}dl(8%`H4%-AX}x+3Z^cgS0?EK_K8VB@O2D;%!Ig)GR@pS3ts?dqwA
zv2(4y)NbE%Qd0NBmvE-CAAyJTzN@||jMBROJe!lfM)~0cW}!X{jfXSxRp%6VWbBTT
z<vf@rW~-Cft}Ik$sg>BDEcDG%?_q<m5TB*y!wz+)T~~E}O%<8@VeW;pt??qDFqd4&
zW7<7$>V{iqyd&;13x!2HaIU^PvE}M9$3ueCRn|;<AQYBeu<)(jUQl?(P26xelyi5?
z<PFEuIDhL*-w<W%X_3;}q8#RBkv6qOIE*`&|Ai*Y>d2Db7FoN=8%{@YR<D`7;d~Y6
z>d?(C&SoAKNsC*O%{(n03NL)CXbUnjZ{mi-VVt{jCU2PKs`_TL^C3oSRhdoRhXi-4
zt_c%xQdX|}HPtV99=FNEo9n&Qu20M9{^k1f&c$7>wpszEO4IzO=SE-oD^&I)=#bv;
zmPN6A+*ck?aH!oU3R>>4>ZZ#A!TTI0&$1iUYNjsERO6NrUg3Ox`>EM;E;98O%{;N;
z)?x1(Pa9io^*nDppVz|t&dcISUyE{{x5cw*Ey8(Rx#cevx5WCcGjB1v@}w&EP}YR0
z8;*x^{*IYga3Gbl{g1-K4ql<YNUeu0s!q3U>x7!FKG_v<h_74a&8C1ua@;C!jN=b6
zepZn&3O^)RtRiC^eTZ{&%eLP(;!RhdSOp*ATdeZNDE5%tWtBI^p@$fQRb-4J4+&0I
zkueTD#3|gejUANYo>;{l;(M&}#whHNT(Zg=<ETT7#ws$K!Vd{ntH^AQKE%1ZWm~(A
zD9GyILwwdMZ#KmqlDn<)W^?Ev#%L9pO_7HLXRF9;4$Me7($CaesdS;`_<o()F_-7Q
z-W31Oar*xG3y-bq-Fmfj{f0Mx>+e1FzwLSXJoi=o@T=vy>sGz~6;zwc%YFa<Wxf9v
z%V+O0+aHwBc+lcZ{r<I%Yt`2JHC76h&G`LKzWk>%uXx1|?M`bOft{yqCsv=S4}WBL
z*>#;&-tVa8ExXT5%zAGaeaLS2ec^%wCYn}Hl3z{{Y*vvmjyS}*_x{<~{#(0ly#kH0
zo~ijhzwD>_(q$i?UY{MkDr%Rxv37kxvh&<sUApT(|J47#<g~q(=}~2_Gj-u2e8njb
zjm+a8hKIgi_3&EtQ`_^J?<>vkYp;)5)ouH}?cfSeo9SiCZ+u?odE?;=_NTTBI=IjL
z2zbPI+4WtK=M%Z@F7Jw?pD>o+y3e8YP;dU0{i2agwq_m|?Y^tZ7=#~EELM>*j6Rg5
zHL2jx8UEtyi}yai`**{h=a#az@8kY0_%?65-Sy9Nw$1zgz&UV5>1v%Axu@DOZz}uk
zb>3IzRi3Y&v>NOT_vXTiS=N)I-&CGgdGo>MI&;^K1J9MpZ|D_m6&2ZeJZ$3c9Kp&1
zX)9-C@v9{E*9v|6>2!#(T2*F~`=PAmnh$5h3i;ibxnJ(BZGP~&=R0L>^OM1L>s|kR
zXWP8(%GVD@wH_{v-0|jo_>LQ&>wYZQ^Stt@ZT>a(r=i}Z8=s#wk!Y9P`S76jw>>X~
z%4STH{@k_WK*U7r9>JZbGbUF1i0wS@HIaSIgjFw%LJ!FWtGqGxI>b0xMaC%bkf5-N
zjB(r{&c~lXUa$S-Sb6@lRn@&ue;nW1=I5?^UYTo~f0KPtL4+3f^qW7q#H;T;iaG!J
zboISOF;|0P-&At*9!qq5=To=w`Pu0koZrXrYdm6dcX{_=?ul5x?mLgCoT&BdvU$)F
z;yYbc=-UpJ#QEJqd^;2#PWUX;XQBRZ#(UK{1!ncOx2o@bj5+_=y6WCWkj3ve$DIFs
zyXxM|81`&YzUiqCi&WzuCY|3s?RBMN<@wzcAz{Akpv9e2b2T--?YS*fwqx>ZM%S2x
z*(YS#>QxG-Gb(+z_$%G{yGHv{!{q&ft6mm`uiAUumUDIKycT9T4~r)YTa@=rDmcT(
zsh)E_zW>|2@<--RZS`Ngs7x;I{<>iA^R*|x?pd(*d2gM+2;btAhek%*5Ab){=DXyz
z3r_pK=i$y(OLyJ)d`!A$R&H@RSGR5cvKHC2z2Wyj@t!o%T1Rl_>7a?#I>I~s>nF0?
zoPK-6Xx%cNmEYuwRo)nfLrRPILxS8YGMfVqadv~l-uCR<+{1nIz9;A9OK<zW=jzUR
z;@iINIlfajXLUh^3itH(pFLvL_r8{&u8s@2_xauPRnuN2o!^~qz*k<J-qmIMzOO|#
z@A<quk;2A(JLd&H;j49dcPaXb++LS=mxG@$+7^kMJ=WX4<W}{aDI3l!tenu$uPS2{
za7d6@MdoviRjSkenDgDYs_*&loF`uTWzWl>&9|!WtpbUq-@bF<n${H~53wxSDf#Xj
zpU>5@F84mkynE{YDZPig;<welUv$=_Bzw*4sL=Se(>A~LSC5X}cw2Y+hQsRnb@u+r
z-Em-px#~NEkSBW1F7FH@o~%mmh$-zgZ_V0uXL{EA!e{GQ-x#}TsU&P^adz{xNLtd8
z?B-#S_+$3Y?5p?Q*8O>XXKPkY#oBEvmp$D2i;4N3iDmo0okG@f%fA{+wC~&bY0jj&
zi|XMYues;$Ref_oyS(<6+*V!jov+-L$|Kc^`j;wwk5u~9a5Ts?w#w_q;`Wx>x>Kdo
zues-*SC#qXb4bu%RmM2LD)rFvpV?RM>5DyGAL_Y3BBLZrc)Iq+$BEZ#nhR%4*FLZN
zQoOiWG{08B%<mB2=Hlzi-#Xq<nP}}Jvhy^{#OfaLo#$mHvcEYI+k1^Yar2T})n}$`
zIM2h$?lZmMgbAm+&(wl5BAn_z=i*m?t1HwpkK3X8_tBDzmUDi*{v>2A=PGM1(Vo2X
z)1FCng(lN)UOOJ*uJWef$NJOj{ck6)(szBg!T*U~e8-)~ukU=#ulCs*-ot-GIrQ?=
z2`#q!rfxXz$jL4<q2PqMP~VTJLx%C57K!!$qPBkT&i$DkdP#qq^xVVeVmf8{yUHYc
z4!?`3tn=KzW8UhDZ&MZ@5azVDITt%$Nw2{B37@&^yCUZ&a`!v$JfC%fd7anQl1i1t
z{&t~nl?o3VehcyKRDam9Tc~fR^23(f;ILZv1Qb>~a!UB@pLo5g=qWy#Afxx}6t~x}
zqpdMVH>V4*p7Xx(Fy`Gak;2Bf{S$UL`%m2cMPTP~|D7Kfov5AH9h1AI_;AUw*nOZ>
z5O&CJxvGr8vcHqxsah<V-=h4>%VJr7i*VI{rSi2_X18`)+H1TNx0jlGc=DYyS3XX7
zy6WJHyJhS4Y*-R+b0&4$SH?5UoYr^F$IjozZgAaY-7)cryU(2Y+P#h4z}V%TaqJVu
z%SD;_wG74ntM(p`<@|kTV!?r2&h|T#3Xb@49=|i8;Lz7UTeIGa2iH&B9j5v>sq3Pp
z&$+K#`rH*J^SG`3SM=0X^>31s-sZLa?#H}uB*wh^wZ&FWQmd$4QK?)~r>Ngi>HE)!
zCydNXvv&1N-QZr&`MYOY!2u1<_MRyPM>sf-^Gq)|v;k}b^QY^pmc-9Fb@#sPxy1T)
z%-615E6c8#sb6fKwtdyI>AO}f`qp{pA@{`HZ)!iwm;Y>6-LrDl(rLCkA1r$nK07Nb
z`o`b1+s9W$RpxG9wEJGpZ^^!6R@;|l2j`Z0=laI}ideSoz>Dv_mlAs-S7ql;lY4yT
zQu?3Yx${2<ZCZCB<hOCzzMuWO{xp|e+4^tlyWTeyoBw(S&0X!a;_a`j+~C|`;oH`;
zW_Ge2jZcqu4-)xX`MdRQ)H&(hTesD;o{%*wkGWvAeP?xXa&E2fVeOn(I|NEi_4iy^
zyU=Z_;p19ftDY+hZ%y2L|DpqH{Mxm88#XSv==yBK!apXO!H+LlOO?#{<@YaR`uVLw
zw%4-Hq|ZIZbS+EgiE*#1&y{o1#-7Pp-QWFwD?i<}O>TkPv0u}(vZY>S&-~_hJHGJM
zg_o`CU){SDaDG$yf7j{tS~rpyS&v2SJ$#}3>7}bz*Q`y9IDYw^(eJ41v!+ew=GmUv
zE*NxE_w3obud?H{4K5|gx<#%zozeYOB6*{ovyNH9oGI(WerLt<K3=M}JnpVYX{k@e
zcm3UeDrbisjw|*K&JErEDB${?3wu4!rVD$YyT5f`ws&}GjJwzJ=`nBjl+H-pn57$>
z^m^5+s}c*|eSfxU*CX8@oky)?DwjW2^Y5-%x$WNCby-hdSpVO3dcVfQ-cVoNS2se;
z%ky(`GJ@VdzhN!Q98l=9s_x^`FE1W1UAiqzQ#Q!2<Ick+Cu03N?>ydeqIREf<$;;y
zR%}O(O^;viy*ldr&GK9FKdzgKwx6FhT{>#ktmq&AWOx19Yj*Wc(D}Z;_RpoN$xj}Z
zv%D$T$6|i{+RD$VmoK$Wsu3-GeRaPEce}T0Xiny;Rsa7>TW?m-T=#}&Hivt~9b>uw
z$^K`Wk3W>Cw)xiccIL_1)pllAudZ;u8|quy=;r@dMbYwz&ClGb$v4a!BepJL^<2Qq
z7<J?5B32fUh8yCZYm(ws9i$&jo*6oIs`~l2m%5ui|9!r$^7XyqO~%2ovbj&a#HU~V
zx?;|k7bUTmP1h8-9a1}Y@Biv$@nIi2&Q)A3oAzmKNyYKwUvBeXDZAD;Q8!ol_94}+
zHr%3NR}R-Ktjwt1*PzOO<=MvMltLHD=ac5{N=^x{V4KRjW}Uv2bE|1&M$%j6t4Cw@
zCahKF+WBqbM^~%(-DhGgqh3z6TT{JY*Y`G)m~X6W>KFXFQF8rmY~W2^{y)23#w!Ko
zna|#x(e`MvZuqI~Tc#G5KPfJMbc%U@=C7*{>`DaUcI=npS-SU7@}H#KA4@I<-ktS&
zNk;2I>qFlcUrSqf$a*WI+JysZjaoTk8nO*cTQ|5aFx$p;<)B&Pt{)x?<m|*&^uJ}|
z-JoopApCmMx@C=XnL>ZKEKn=symBb7@zxFH0QTuw`ny!G92IP=x}h8(-_9cSVDta8
zJU0&g$`RMOCBI2Df-9UQ>(M3$-5R|B>G@n&4kkA4vd{?NcV~U|c!vY?oD+OEj<vmM
z$YoaFEP8|MI7`-}MGm?#qAPm$GL>%dU!eDm`^sU)#$P)WE-*ab%v`p?&^qDxft@+5
zeTO0&XIUr*a4%=gdOXEJwnigBT>swerE+3iSB@(+vQ@miccvkB&urNZ*$<xPu&z6#
z&1Cv<m4oh{X}M<(SKo|1a{PCs#DnJFt7qo%SkJm0-My5LD_iV-v~5Z9(l5&m8nbfs
z@3mfkzgGK7<n3FT*W-iYH3AR${{Qsim10ES`IX*_|F3>&Zm3aK7JTN4&svf0)L;4Q
zBD7k4!~D$ey!-op+5ZW#(VB_*>%Yss`0af8z0}XPh0)SW8CY#rl<SLd&%7o7D%kh`
zXXZPT+48>rOTWDT>hu$$)>gB)b)DTyN=?cG5B_L5c4Oatv%gUvvb9^L_P@~CzNOT)
z@A0oy7rBac!m_09e_!wWU&o^4iM5uTa7DE4&xt#e7RG2@ij2)#ELsp=tl1-=_U-S!
zm)ot57j0auJ6%5PRCy_Hu6|I8!ODf^x-Eh~D=y5q^L_WS`s)?XHSDrqp9o&#viR5(
zyKO-(=O><h%eL%aKzM7W{gtU^*YC-{$a)x*Z<=~y*S4)9Cug_SRTikvQZ3qb>9k(*
zT;bM(PYSngmwKTtm#fQW_jaO%s)X%T2J54|In!8MbvGp>t=5USp~h`yH;tA3_R)K@
zEcA+QJ#x)^JmG|9k>JkmSf%eD_MND$QTW6#yK0rE>(p}5L&DC7?B-1=XjsnT^ZalP
zr?!oHqA<5g&cmN_+a_e#FU)=2S5xf0?@FAE+wlNqeyd4Ut5)tkcKE>K-WU<PtP6ay
zMZ7jI4rD(_e0-Koj!*5we6i03A0wKrZ1&tZaQOITUK=Kxi^1NLvg986&C1t&aCqLU
zy)#_2FI*G2e_ZE*ZQ`!eZ|80~c!W2gq;Ao~?)LLiJAYVQI-z>tBAcGb9{KDiiwZVP
z?C#W;+8JSS^~BL*H{JC3&Y2b!7Vewa-F~_^rY0uyiBLj1v-l|yyUZtxHtd?nz4T~r
zjLx3RCypN5<)+7X&Ag~^<HCvE?Y*{FZ!3sS-xYB3K=hyOp~2i0+uM)YU-W(WBZv2W
zXvn{n1zV=OwtwwYK6P}VNSLen%0s8PEsi~Iu_}L7wP$;oxa#9s+}|7gxULm6vG;$C
z6S*qNJyGB9+bi}d|An_+pOpV{*X{|24?0#=9FKiCVUb;1;PsV`xA^`?_PYCj-_*P6
z-1;ffUB=B<f=^BNKAYP*;XzKm?&^-eR&AwIqPtEVwd{TLaF5%^jggC4eguU1Fh`qo
z9SZABN}BDq@xw&7g#jLmEp99cjCpfGL#`}KM1Fq86o%a?t>0eW{gc)9pKrbYQ?Xa`
z97~0(t8bp~tMiRjjXJYs*7wv?VOuu5>Ck)g{CLrt_IlT~cdpxBIN+REo&VKe#I!#s
zFQr)g=#{r!m-g0qo@<YG*4Te_{>wyPv$ah3-lRwSEeXDRcBeIW#p3<Ho}cNGy1ORt
z+|=7$tU9mLW7i8m?swG9eXwxDlE(JV>(Uhw5qAz8KGwu0#}}9T;NhdGb<>tytDN%w
z-I>Qv>$ds1Jv+5?;?BNje$4urrme0~XOB3oO?&9NHtjL%+Gh_c*FJl+wQky_3tpL=
z!W+_q8!O(vKXAUl#%O-F*@wFQ4Wjp6uRm|T>c#=BiP~#Kc6N#?ZQl^{#Ozu3orA(k
z<sVm^ustKZvwx=&zk&NKHsSYP@3usq7u$I#Wu+9iv}#UrbBm_K#0}l0Lf<}YYpGo`
zv7q6q)A9C8rE_PN>6ae69}qn|&cyKB##PJ9%=M2Yp4n`~EgJUa$~)<63mUVTLVtKI
zP}?W6qIEUX*22IAe0nL?X-So0Q5DwQxjrYI*e7qkaP*zdmkr9?wQo)yVz_R+AtNEI
zo-K&$-udH^OMY6b1PHUUW<6|h$bBQeqPg~_-i!(}Ze5$nt!DGiIkDf~bm3?spSNy#
za(UL#XVIse-@nUw_v(<e?bmkA!md|Qx7&I(OpmL_y=vn;scaQ}$euy}?Mi#K+qci^
z-7?cwH<{*<^t9&K>y;h$szC>~?pR*-*)q`D`bEYu@0BSEQyxiQZhaIRaU@!2`?EvG
zxkJM1EXtPkeQ_~yE_Ba|bK1Cf@*&1O7lP$uOIz-TudDH?tlqyyW6q29?`!5JJt&^*
zQn+%No$ztfuHIy+qsIe#g&qVi<i5DM=F09XYp#28RhLfcthG9MsBUh`;|9N?f&lfM
z7K<m_)U2LdQ?q<BQ_cE`8a4Y?P8P{~sUp0+bW&?$TyM%_$GJ})D9(M7)Z_QbAWZ$I
z#PZ2ZJ=Z<9HRhW<-XyB_%4_C~C6jf0u6dTYy-;BfE}hh!X?61OVXKqJOXi9sw7C`W
z*eseXlJ-hPcyr05)|*x*k6oWNvs~tm2dl_N5j&ns(|>*pw{zdUPCq#OtMcaj46d0K
z?u#uVv^5g1^Q^h1!L_<Y&!wwzdFiA>HFHxQY0gb~=sDL#af9Ed4?Fz0KFmmqPz%fA
zy14Dv2EU*g%P0Gsx#}tQ?1hT-=F&;ckya;<`pkXuP;l;(<TgJpgIHsKv9JuT*8h|B
z=PudcqdqfY)npx=i=Jk2*FE{fvVY|z?_4JER&;$Pmt{qCaL2Z;aMRY9Jxc>^_N)x7
z*|R2)$>#F(n`(#rbN5{eua7sYY1nhbex0SM=u%a^kJSQ~gRFyHd1o&%T|Zf5*=rSH
z<C00O?j@6YXIgb$+v8Vc7_DA8V=`BpcF<k+<Rz0nZs&crG_Uly(C@yhiw{jvTd!fK
zaV56$;fG`KCX)_bw>o*m-0I|^?N%p`m0O)W_}%K{QQx^w9$t&z6dd*a>+;({Co8<z
zgFe=2sJ;)gxxM_dNZh3?HL<1hcAwa@A>qNVc(&4jiWTVr?83=x<r@sj3fQF|2d#Af
z+s)4%9PRaLX{-3}SD$B`yY=~({t~nHU&}p@FAv)Nr1KJ|?bq+WPVai|Y-sp3`J|1u
ziDs$r!WgY7QF9p1e@|VJozUo@X)C^>)0Amzf%5{hb=+4Dnl|qG;k7_+pU8^-)l9qv
zs@}HD(^*%2Xm#+75n0j7&9t>JZUNsp&MQYF8>=jo1LT*pN<9df_KQuAGbAzS)i-w^
zUmuYbt(;6-4dWK@9pk)mG@`LeLODR5pVQ>P^_zh#Y;2qMH6F@6c>RcOW7H1K0O|YO
zR}Pjlm4007U|XXcAV2@x)%#C7GODX9HmmhaEqm$8J^zKPwDs3T&9YS&kCyFy@i2Ms
zi^s})B@$+bElDYDjtjZ5NZhw(g}83cwQe)Bm#*ycFI>gnzjoz+|I$_d{i~x4(p!~O
zH$;D3bjWjW#-o<FEd??9w=9;4%gS8s*6VxW%0K_LtNi?zM;Uf+Rg!gU|GMaq;@*r$
z3*xpEELd;%XLVQX(wLP`^O%3L2a10C7++&q^XPr=J)O{s;00>;gjTd}XZ5<-=ODXA
zU`6*-rmq{k7TASxn;e*>v-C>kS_jd4f-5>%nYJ2)FED$?dF9|@rqYiy9Bgg$0_6QU
zOb$$r^m_R&m??CIet@t)_mxA&Oj|#$ageo94-hYAdG)ZeF^EI+0)u{<X6^xQ)>Ru;
zZ2zY(B=~is4mY#T+f@67MPJojyq~JB_0WEnx_8Bgt~isAtKznNm=(8WV}!m{MXdg=
zh(+SIYc6-&U3-0$L3)eQ+TFcXfe&K?d(0K~Os~44BDDLIO2m!Xw?6&5a?Nhh1Z^>{
zqk^fgUd`KgOH@xZs@U?B7mto_T-gez*3^^Lf~FY>TOCBNrDz*`bQ6oJFy_wPGoh95
z-Z>}n)0;0G?$Y_P(TJOQ&8Y*{8S$r`Ze81S;m9tXEgS5(b8}8Ou}g2haCCR1N8_=*
zSGRL1N4!|lZK8fyByBl&`<VmHksg*s%eHhzN~R^IwcV^xIV^MLgn{^F<1>d3OFn!2
z&2|o}#Dlt$#A7Kl4&}v7(>uM6XEB4-VU4_V23*sPdlo(6S^VI~yjS7EN@8&n`Yjg4
z=j=);vD}tA<B(k3l_Oz&Iynzd9BY@cym4k?x35g)j|OG=_r)R)Y};Nay?NT<B;V)r
z=6Oexc3+OnlM@FO`(k9CojAPwrRAGb+ogXj`t~e$s^*+i2ExnbSFF~nvXq{GH+XyJ
z)Hbu}?=rNdf5a@{a%ahUw8BAGMtDW<W2VxAy>-fK1H|?3PhTn*w{!iszHo_FsR~nG
zk+|LKf1N7i;n`Cge|Lj(Qi8QRm$ik_#>vXR3=30|#ohVJik~F$yUTqmdXg;f&iHNf
zM-82HKa(T;mO&OLCU!c@L|UAg*z0du^g+^H&+hq&!-9P^GEX%Q7@ElmYtImF^*(MH
zWN~m}=VY14A5F^A%PoouW+{uu_a~h=yeRPHyr_!KuXm4Wxyh_aJ91RY&1OyNk>l1q
zED_V=Zm5YZkIk5N&3Ms<MnPd|$&DZMl+ErXA36BDXUC5QLH^kiA2-gN$k4x(J@Mh!
zE#5z#o4ScSGfYa-Qx<z>kd$nu%$H{PC`nCOPR;01GMh5vvgPcF$)2}bg}U1%17{rT
z=v>(oG2>82@5vsE8Am$0-6a+-=@<0+A+az;-<E^j`?4NiYF$sjwc{MAH9Y~_j&r0|
z^#n{i-qFq46Hs=XBekq2AZ)r^OLuCf`1BwfH;ui?M~-;8>C8zza?H|AW={H%qpWT=
zF)2rmtGY48`0*b)ES)KS{(z{P$U38>N8WBaHAg#ovwJQ?p6=+D?y2}OOHe*tg7ZUe
zm4MwnpWQ#$_9eSKtakIclXB#cu3OHXv?B)({LOsy$kENl=151sVGoN$^zUCjE=+r-
z=4lxi=*4OTNSyEJ<m`!<aiXJ_c}*I7v_#>?se=5sB|d%>RA#i>xBgrErU@ZMY0Ub)
zlXe_By*R6X%JpLA{1Z)wW^YP(q#L>6!#1585gOclVJDipr*3+XI92CIgf2JZwGQK?
zN1_`YDrWnOX{b(P_3BP-3`&k%@FhY_!*v>~*W}d3pw!3(U);o)Y81|Ow1yvLDv3Bh
znYDH2ri7$EoroE_+-7Mfo0?~Ca!_BSQ!zu4+wRzDr-t^Uk{dtHifHirm$_+yL8zFI
z&qP-4$*BjAsYDhS1d7G@Okm}|oO<y1;!O^Ub1ank#JX8EdMaYXMCMIk74|>X)Ve(7
z;IW#>f)87Cc2u~D+1O}v+s&KY%D{a@vhd@sh=!y|&r=;AvPK$wT%;2bp||aAV&{ok
z9ns2$)WuofeYE%d+CI-%%Jt!!6}xt4F8v{=J?+m<JKyuqy>`~DY$;xOMKeC<=+BVE
zPnmmHvx*08FufjmYWl~kFMj!~xe&QW_`2KmyJr+c><$*%J`FonF0o_AsfQezF<zxh
zx~+;Vud4N~U8>!#Ez{<_JBt6bv-=jaev=QWVp=s*Th-R3E^K`rneo_7EU0f*)6Q#&
zhq+Sk$em5F5We5DiaYs~E*smwIZRyq|90kyE!uz1cU|qTElxap0_+zm231T{7Us6x
zw4p;;TEFnggVes5J%=W?hg*L7DCN#5*6-Kvp2#K5&-<}7tZ&b>f|MjpcQLs~Cyq`3
zT7J&y$ph`an3%^W4wv@T+<BmJ;QH3{PmXp>ay66da@&{qRD<v5G-cuYg((lK`*Q9)
zIdM?8FXqm(6NedQS8`vzack2@17*Ht#*dQNl;z|STg(#NnBI8l=Lm;izPm8;)?7W8
z$y?e5g{vhuZs-@3{w-Np&>$$zF8Q&bLr}h5lJi4mm4Mi>C9xW3e)R~po|iN%Y!T#k
zm)!V~Q<<;N_|YR4WjQ~iM~}JPnC5u#A3Anp3%9G=a>+o8!yTQQdm=23cl3VlvDk5-
zqr1DOV#kq={?k1yH)hDSG#6%ybEVx&XxXIbroo%k@=4w;M<>0-NX|{;YGO-~jGM;J
z#FkC7z9_`>`0oz*vvHcBSAxXCGp&MNkrE4IS_RopODufTBIxBUv9P8^(97jg^9KXP
zw~r)m9O*ce=H^p#qN7!}=f;gw9nHBtJAQNs^2-}PO14&JEL+0<FgfYgQS%Lx1zVF}
z%APSd>=yKzDzVY9U68$4ve2+!kiXyP(PKk5CYyORF8V5rYD;&^NK`1rY6MhF5)}3~
zOiHv<Hk+4r<e+iSj*4l5{Kk?WH#SUUIN!gnyDIy<phQK$6Rz;=|LYHkm>Z;S(FiD0
z=T=*GvZ=K__299R$N~drF^&6ES^3YOZ0e8QB#^M(LRsxt2kVQPyUN^L)u{)M*hOyG
z5HFS^Gl7+zJ@w#G#mEl@5n?qpTHK6w!s$m2MQmh{y*B@J(;>l)YSNEg-X-igp*d50
zXM3m8cSFx7jB4rDQqK$SUrV1oG2moFU*9_KcUt~eC4S_EOw+2-4-htI&3YKt)#jP}
zL-w?P(Ei5;nKtvX=1)2{Eoh_g_TZU;s#9$ya@|^7@<GL&D}Ko=j>`vwSN5!$#5eDm
z!JHoBmz$mnox66TV_l8r^*Z%)S7)se5PqH=zPL%FdZ`G%xLf$=HzLa`cg$F&@@vvd
zhqr3^f1Q1{EbNSVxbXL?aFe4ipGWV$qUo5pU1!D(Rc<l6iLBDrsRs|LMHXy~6?<`G
zDyzKwNvDR~t<rKUkMA{bn<IGZ!nQ8ci*4In#WYS$VC9~jdhi%u<bpX)Vj5c~u%0qV
zX%ym(Tu|c-Qg}p?b2I<OWd@uRSx>p9GzJMpZYcB-({P-~dMY@j@seodf;FyU8kK_S
zEoNySue(TZK9QL$wzK_j(qFr8oi+#Vy_gnVZ7q3si(tw2X`c@7Zs&Vzw&C0a(XI!k
zU7cH{jvkUyuGy}=+C|#`$D>=9?D<|T*pO4eF6_-#zTv|&`NRCynKE4u=WToby}3GI
z#l-`MkGx}(oAU9$UColcd-tj>|7Gx%zbZ8Gl)|dclg%r{)cz{QOexhWJ^ezj<YCt-
zE-eu~?j}jD=`07iTD!IW9E*s0(P!<jsqyNugk6VLIhG1*vtQL+WAJa|%jHYAJ-YC&
zFKOZ07@bn_53cs<b1iQE_xH6|zm`_Iee09kv)`NTx*ZjLOY_JKTLYJABF|o^2=}L{
zgs%NyICsg19eze1j~o!-a&TI?TlM<2*muh^nwh%u4^3Y$5&FaIPm`z5?Wkzw8+XGr
z%G=|U-~IQzeBUK#cH`yFg$2vPLT6j*%sE%I@$!M2bta}qr!2dAU{RyuSBJ!h2Lx_;
zWi8nKX{ihI^F#c{Qg$5r`6}f4Go2pJmraQ^xj#;pv_(ZT`WJa#_3AzAbv4MJm*;0?
z$x6>GeZK6|fzdPM%YLM1dIa~Rv$g$MWW^{Nmm}M?eDe;U3aRz8tXg*N$r25>?Y_Qn
z(W>;c!icZR%+7V!zC4yFzZjODz^2S~`LfENHYR1R&rY8vb8(h)cTN9&xh3hT$-=HY
zqeTVUe?t6R-ko`F{dwuBs71~Xo7@6-L_|lqGEWzBei-uhm$>$4&+fHLb5peAe4Z`V
zYQ1b?8uP1u{;|V`s;_1I?mcN#+@JG{_4wVlM`Mbu*M0fib?UI8W~9Ag=vKbH2X1$)
zzwL5QQM4?>=@a+bOB<ZGAI^VO81?de=rwuKZ|N~birpWd*3T1cWzBR7e{1O`GEY|G
z6aQ51$Tczl3}pf<&IyEmRdDBGK6%3`deZ6tskx^;RvZfFl%4n^PI$$kueVMuSp3c{
zNux$qDz>sXqi#lH?$KEbH<%jwtnuUFmJjQxS$On7<GEQ23p5OU-gxtzl1)0?d#h4^
zoq~zTJzpMSY2m|%+PVa{ZZ51i8*pQSgj$?85BGXEx7}U0BIQE`Bt`D3w{_|ZA3k)o
zOK|IFm!(ZB=Bf)HJ`&uSm{^xG<<YA3E}|}Nou@k!9|nC~?prS{eYb=!eoaQ;Q)BH=
zm#ODHc(}RS7r#8d@nY--jlSEWD`P)~TFHkBNpd|GI(+2jkqrgQl3W&BiTT#2Xvp~T
z2)7F#KJ;V7!xJy`B(8ioxZ~lEO>_IQd-QLwJYulX-cV=G8i~NeueC*8c-MRL2zLt~
zKJ;nF!wVhKcET&mk1E?qU6qjJau+&$<auY}!_8fSrZu)w;VBw-Jb1W7g%2Nkvf|;1
z4(Wd?c@}aXAMQ9-ab&g7;Ufo+Y$#Ze<icwozEUBpA~R`5#54)9ZAW<3mF;Z)yq{HP
zVl@5rzSV1|9RIdqa(U>rkDoUDyAcpEQ9@)!>uYVZjHL-)OGB<qJbw6DlJmojM;2_*
zG}PH6T&7~Si@VEelW~x?_wT4HQK^~n{yf~~i<V`3|Nh-2X1aGlkn5E%M~)a6>Kf|!
z^v>$LUO9cy-E8+IVe5XaU2*JG=>C}!YR}vbuZj6;DXN_Hqb%t{S=371tRF>-A|fPB
zW6H0FA6Zv+<-?X6rY0iG)Y>{dI};!7-r-$#<-_r*T#MS`{dk1etF*PIKW=kwR_k@!
zQ~RTT*1uh^|NY<1UjOxffHyOX2m=EH2Sad2Oj!9znb2T8Mh15wW(Hmc28NLQ0^j_U
z(wtPiqLidJx63Q8RyW=M{`^bbx!+&zo%bv?d)+(PqVSgAZQ1j(=bya$`fl0Jw7GL{
z%+A?!?%l18!B;b1DL9A(sw`|{P;?L#5OP|er^4dIq^QWk5$Y0p*eX7DN5T6$bAQaY
zsgye}ZzJ>ZMabVT|K{B1e%b!&;-w|lY^U%4I&A;*vi(o@|2kiO&i^}k|DU7t+v`5K
z*Z*{{|KI=bk$AoCm%sMEkN^L3{{IvCKZp18{Joa{?^-<n-*5B(WqQ>75MOBj|7iT5
zN9X^))c^OxJceuOuH75nEp=SxH}#D7mTm8A|J}C#`{{W7^X?<_<?H?}zW?tZ|NcKb
znnq7F0v0*-vgulVkuXSNIuo%W>2M#jy560N504J}Hz+6`a_JCKKDYna{&V{e?mxNz
z=>D_ukMBP;|55(a_n+1Nqx+BFe{lb~{R8_a`Jd!J%75Pe!~ci>&+|Xd|J47HyYKk-
zpN#fT-2d?HKi&WH_MevXKQ!yx=YK5z=WX}=`;Vsmr{8~O|D*U{^uOtU-v7G)W$XL(
zf4={z{Lk(`bNw0pAM!uh|2Y3^`QQ0}rv20U%!&UlJ^yX_zr+5q{OA3jp8sL3KWYDP
z{-^yP&;NM-=lvh~KlA^@|GEFiUd7X6+5{!VP^VcfC7hB%+dOaRCAO!0p80;t25+Zj
zEjlOL)933dx;w3FvElqFROWp{d7;ChIL_ZHZ>9%mJZxxb7UJ`?&{^nlNRZQARc3NQ
zjMK&zA<m^jejXZ=1N0U;ZTfjC#B0T*f*_}@Ex|&5-WJLW-3~>beC8dZeY2+boTr8U
z!=@H1&aXmJDkfeTlP>5yT-4$%r01P6vB1@7b&DBixat<~9cl}m50!G7tCV=Xm~=sR
zp}W)i7CX+rLToCp4c7+kX_{Xcd}u+7BIkA$9`7Bx5BpjKIm=ahyd)+U_#dj}d@LmA
zF=K*(=EH?8nw<SAKHe2N4?A0y3#F;pOg7L?^gFbtg_W~gXqt-6^bI->TU(|J#d%if
zKJ0DTE)=G+#w%j-2E9b*L)M(0L6ep)@$j5FNli7@t8Ged&^GUzimA(|#0D8HP4PTA
zZIZHTxYxWXHJZDZzL^-Lkh*?KZO}Jw%_&6=ryMogm&i;DQhC}jWpPlSr={M~2(Qj5
zL7M7Irg&;j4^mtj<TZ85<e*hc=S(V!Iwh%T8g$NU<<ug-Q<a+HORjjXoPJ4RX`t8S
zDQTMGOJgP#MS68l(GH4Qy2W#+*3#Hhrkb)r>%4a=E)DjYJ|$1nHmGXp7tfc|jTD|P
zo8qYH9F*rNIjt!Al&q$5&^s^5sYYr~=S}$?bZn{31S7@Npi|D8!9jAKGpBuuI3=v<
zzx0eprCMs(sX0?BHMxVtmg;y`>ZL}W;?~q(x@Xd-s8iCK`AgS$L{8nLmKuAiZAy92
zq!g9Y9up^ODf_zZ>fw>Rosu(sqw_I8$=xX_r%p_osH^PmwywuU@~2VR=^M(69gf9G
z{!V!_Jy7FuLr=32-$@Id#U955CEZhHCKtxIZR`<}Tx#TZLSu5E-eM=Wr9E1b>Zu{8
zEmR+G=`l9SN|`e?Q2X(mp3g>lCss@j)L-oAwzx-4@~n~GsU0ehH}!}~hNo;fl`*+6
z)NOZ9wvkmz%=AKMx8*&0l6Q@&QofveG5Ml?vcoZ7$!4Rrlsl6Qbslf)u{Lr`xii&J
zE7|9mt>pLAp3@Zy$xg?X^t2l-OR<?`sQY+hkGj#kQ!^%h^f|Vs$5QgMkywh(sfbA*
zV~%a=5jToERiXNLYmd3ny;BhrH)<vO9^2K!EBQV}<)r7d$x7;>ezWG3SV|UcJ9$$t
zy?xHyqOy}Gr%YB=kM`@E6JuFf^y%rFX`9{s8t3dS`gZcB{_=oxjF#+AWhNCz_)VOn
zT-0~UQtkPUIZ2kPMP5(mObAw69^=<K$ICLb$nVt3X~kaWGA+}eTsdK>y*%ukrRDRd
zF%yE-m&f@v&vCQ#Et>T-W=gT=xl~KtqID-Sr(RZjzG_aH<@F~eCwA&RZ=2(18C$gL
z>6a5Pr(RZH9)GT3&g>$#r#vTjs-{Pr%e5?j(sN>`c6!LU8FQ+O-kp}5{@LMN%N$Qj
z{--`CD^=2?&Lvy=Ke3r=to(fDoJh;!qGwNaPDD=Gtnz&4oK#EwCwr!R_Bxksng3+Z
z)Xhriq334JDYcX?x_8nuXu=u=SI1RNCaf>Hj6`yRH^d&~W3?826EtC^g16(gCK=Y9
zT%SaqXx~_y(BH(wS}pn}ctgD7!X{=eJ}rwS4>mL@vAT=MgckT5EMz^(<s~vj%VOaI
zAIF_dT&z>MR*B3B30V7JO4DVoa~dlG3&I@NHWhQ7)84UQfuG~vCN|byu2~``+B;S}
znACKdD@r6L@WQGEz6V2D-*T<fd=XF(dytoPF4r!R673g(7gjIucii8^$Z9Uiqa_hk
z;C*mI(`~MI8WMp8;RjbV8M1yCxf5=%?m=S{C+lP`IjtE%A3P3jX*$j|PorX0g4;o3
z*3DecM9yeO1Z-H5;CZl^^)y$UM#ahpQ=6`H-P5R8o#1+KRg)>}Yc4a9J;4)}s6={p
zO$t%X^tv=PMdRkOl--kLy~?z1E>#KkoHi*()zYhI>J!bA;hUmQ%Biw?ebc(RJZ1kR
zCsp<-G9g7CClgg&z4|mPS3Q|A>9JSW)F~R8p+!!fGba_Pih5~H4bik*xg_eOrt0#k
za{_}FKUp&=O7;1aIpIZ)o^vNvsq%VBO*PTX3@Zvesj51C>K2Wii<X3X&YrZ|>(<nm
z;7bdi?3yI)Wi_=#^JUnj#Y@6H=TE9rW%kO`k_<6g@?_eiMAhw6?*tkxda`a(plY($
zJI$TzQ({gss|tImO|=OzTKZ(-q)gTRsWyS1LQeKfO7}{edPZ|*_@)IZfhQ+TdhRuC
z>Yjj4K_|6U*H7IO_$lmU*Q8L@Y_Drmb2KWKt9ZI@>JkxMsdXu2$?6jU8-0(KiCTxe
z3EAlFx~xk_^rlu($dgqkf+wy|+TLZW#TD`<bff>#I?+}wz7-ZL9!=;vtko4F6Id8>
z)KK(zsK{!Kz`#|HTDpuxpK57^gsfT-R2byCwJTW5Z?(nZMQ%qUMYn35TWPWWQB#+d
z=vOVN5R+9IK^NCNTGZvOrMEgGu+Y_Yb(fiFc<7eZJC-eSK3Xbj9#XREMbO2yi`-q;
zciD;l)nW^|6Jod`$>XT5=wYqA)jJj^xg3oZU95F1<W6v5{Lvj<>{@CeKC3ELBzYZG
z7QL(`x4L4{qm^BfqUS@-teO#QxIW48=%OxF(et4?t1A{hTG|z^6}P%#@uRg}qN1y{
zu7#{weIwwbpX;PqDW;lPL06Zo^bDW8Fm3j%w^?N?Zmvw5KFh~6GHcV-Co4{dPF}7S
ze>Tsw`ijVko2%32&-$CibyX&yIN+?JY5P@?m6nU1t(cXZ)wfzREO^nfkh7eosadD4
zhOAf_61?=;l37`%%dgA{D|YkkowYeD>*}1~;<&S{rlnc0u9~dK47t2yS>#z))9F`Y
z!Y(g*)-@~4G&gJAs?6|WU*Gmwue0)2ybQU#bXl}-|ExIE+N@t!c~<OPnHF$%!mQ}5
zwySr-irvq4%-WshcC}~a&gIYc%_=nIzv8oEX2|D&vr}fdXDz#G6ZYBVY_O?u*1VN7
zLyecG#hq=M<!b7kHE(rf*k`A+-lprX><Rnqb~f5nJ1gw!nw62^n-@NtJ4=Q4q*Rc}
zk}QwQ6V@p@A2#E?ZTjZIhTy|`yfdXXnWSW&xHw_8qQCRLw!2c_GBhr12tRDc``c6`
z%VNR91#Qk!eAyb83S15c@*X#lxgM})p^Nj%Hesn#CR4IkTnJdX(Ce@#?^P+kOpCP-
zd)lOU(@n2rSuB6Jr;S_cmC2Rtj0+c5EcA5V+}16nm$_rjLf6BhylbUynZ#VZu<qfk
zHa_0#rgtt}Sh>*Kd3&22?_Q~2CSS58E))bGUeKn<yWNB*d&k;`eQkog<)%GZ5|<17
z57+WOmXgbualv5a!-Z{{y!|FV*%fOZcD5~-N;9#!Y_K}f@9>^BR^D!@X(l$;H>`Qs
z+BRJ(F0*3o!``;-Qeh@*vLY^TSeNL0*qYZfYSPvv8J<@sEmMv4Zkv`HwJrPR!qnx{
zVxx?<revPHHfgbHxc9tiHM+aEzPT8*Aa(t;+Nf{YnpcV(PCM$hZ;`ncwB%{Ww8c?<
znU?F8MtFBl3({5JG9^><deFk9LEclRO^#Z%b<U-tsMC_VrcvjzR$eXgJ6)+OzU4~h
z%IlXFEDiLYJS|OEd~3|5qDb%VY1&ayTeoEHT(vazw5hIa)Vl1Q3zr6aPoI{jYa3Oy
z^-Jc<>qZNnE}Q14>l~GrDS53Z`n0UBa@4yl$*V@op3a;0JL=e0n+rw@Q-e-B>jp>3
zWzM|zDdM!SuK(6E8I{XY!%okcR;kM!CAL*3vvOT(<Y{hQ{jGa0eTq6Qt((7fO-AI^
zP0LbaPq$4gkD64X^4jC##8t|^?z{SUWN(+`T;J$?+)s9QNy@7emnN=Nc6VRbXCwR5
zs_gZR#fu$|$I1RKd2>B*#p8y)W-Gpz7HbxJ92b;zFO|7m7~{UNPe^vDmEQ}E%Yo|_
zJGn3I(~?y$4S8*`^zoKHW2>x^IadQ$Kc3U~*-G!lipzoP7dyHy?o*RJYo+&U$CAgJ
z`ov_zOSZhqxLg?OzPm5m%Bm#hdZDxX@;*J;yH-^tUtYbqd~toU!*O5PW~;W6JC_XC
zJl@u4ZRJ*S=c?hVWS`@<vfoR4URNwgb~?VKuia`{iOnU$wU0OUsawr^HRIw(pW|!#
zEM-4iiIwQQin#PK=J=*QajUpj6-ys)?K8K!_bTGz##PC_$9MJd%DyjAdFgp=@*?$6
z|5@`&Y$dC<y}Y?Dy?x%?s<M|SuS{O59_`;ZFUGdA>eJUZ*EYNRH_qEz_3h=&^~(d!
zGupC$mAO<L;XiSna#i0e%Vp1Z%uBLWt@8Rh=R)wZ<uU%P^So?BtNdQAyjJXWKGQb+
z%as?FtCxqJx3qo!HReL_^5t><&GX!BeXC}Djk!|nc|O%vw`$#s%&V7|Jzq7i%=Y@1
zk{3JIJ#U-mXB%6!>+6>nFRxx+zC8YX!@Sv5Y+rd^?p&H4aX#0!{7cV^ovYJB&d-=v
zUG?s@<n_-E=Ue7^+VX$(d0Dw6J?eb2t^XIBtHz6;&zu)&TU_<*tImtaE1Q=*-#IVU
zR{zVME1$j2XWQm~*>iRCqV&-7v*wlBN>|-`>1i|}N8zZ$szwv$muyBnImR3A9^hlP
z=6z!{AyeVB!?s2l=ACSxc%De#$W7>PWMZ!7ePg`gzQe*sW;Q-4i<Ac&8kLycd1Oor
z&KxLYKFa3BGeycGalsjfosC?~Q`uJW%rObbeK4i*GTS+c6@~@Z9M(1#vz?RPk+9&L
z!`?<V=3cg0JSNgRG9FB7Jk1ux6JvNGYr)wAq0DdD)=9oFD7bromw7JRE}jzU7ls$I
z7o2z4-^j>p&dVbuVN`JXz=p=#Z0{r_3=6IwSkY+6{GI2Hxk288#zs!&$!u~`GmJi*
zIIyMhINLmlimZfV2aK6FvpwTEBOPI|AtT}Bfnw&<Y;h75nGdEmUT3=}VfW$k^Z6ex
zKiSUm>h>R#U;2mr^Xnw-*Vdo%_m=+{e5<<7>z|l?DtE;x5st0F5&q2$3w71Cg#5nn
zs{A_Oyqtx9uE;NA<(OwX1!kr)ntX1`Nn^cl!gZ=rDIz^e;Cu(eN-agN*!xXuV-o#s
zo7%r|C+||+v(ouS@NR~cW{E-WtVL6~KUgV#Snc9)qp&e)D$|Lgeau(#xLc%z6-whz
zToH5q%GJ9|*&)ku#uf9B?1%$ly&KlrBs%|W>ix!T|7%9?Cf2_-&AnMIjB~~0LUg@f
zgibA3wD?fZsTTRET;5t@>q36ZmCS05%iZWVSz$?c(*&tjnXC0Fo~}&&zgpS9a+$pn
z^Sh#X=EbfLi?a_+(rQUOTifNhy-t+vuJDD=+8>v`u-%t)LTLHZ`mHi&>s8#Zeu~#~
zpM1YD@^%U*|EekK3i_VY0`~JNHe@?7hqv(T6ZHBKH0!~B=TGZ@>U(n}Y}&BaWMPY@
z)8$(%yJ7_yrhmO#G3EbhgV&qh2%kCiL)-9m)12*WVLWT3B8)fWB^*9r&FtwmsdtIQ
z{zuD09<P74+(h}Mn^8}W@us^c_>`@C-xy8GR5|UjZK6za)BK{|Cz2=4H{CuVr_Ad1
zP3mTP%KnK?%IrP==YP8F`1t?(A1^0-4F7yNQQ6h4Pr@?m$&87Q-MV_GNNAcC9rBnt
zu}E3eO{+IV(lT?&trMEc%X{Y-1|>gPGcii}c@Jl(P5vKIDT~!VL>(#*&S+S|bkMD`
zX+jZ;`dUq)bz4${UU#)PsB(6B)vx!nZgI{PR-3xaM<Q&KQDc~NSBvf2Fg1_YTN0M>
z=Y;6#yj)VS)GN_PwP}UjgDZ)NK}VhHt)_Cm`C&HwO>o^&)zqe?ecXq&gq%t|0<McC
zZ>=%+yUI1k>i=DfuWnNtmcO60Qrc<Ru6w7i)P_1O>s!5V(n{~9V_f#4i}EGTI;S>G
z*c2MS@T&Qf7yBM8HwitoWLtq&?9>lyba!cms)oE~_S+j&ce#0)ed}_YQm3<a9X``0
ztQ1w$?{;d6VwLFScxtKe;3f0ST*=5&?mDF(tRu3#6L+!Pe6m`2)@Fs2=Sv&rr8e4m
zH%;EfYF5hC_)0+Gs!qWw--yueg4Nzm6Q8xpoaJ(@QfYX(@W3)oM*qjFT6p&ghiuWi
zkr6u8U_nkP(*`z{w680LoJ<rK6u8YiusbBw>HD>oFKab~r(Iv?<#36w(d#yg!BXxV
zFVPPsD}J34p57{_$B`=&uC^pI>gUvnUpbV2M;!NRJ?g``Y^TPOWsWb-FR^M=Yn{b%
z`OUAksT|i{3MvJ!{OM9)_idJtqLF;W6Xp()4559->KrTM<|cFpGP)gZTDR&dXY0+?
zo)Mw*8P>Nu^-W{p(OtFw(Nvj4ThIBs&amIFT;uoS+_bwqd!}#V=h~)a@@mzFRYHsf
z?JV0vGxjkpJ1u`P=+*LT#V(UKEYEg2Wz`aKSLol?@VNoYx4&wUsa4pl-E?)^g9VWt
z(c3@l)-_!npuXYdHioT&jj6|5Hkoows$TwYS>ja=u{+8$)_YC3FBUkJ`+atGe{Fl~
z-`WXBbZz%6@|<&6oRd{T*sL(rBY5?LC1;EOMJ9?VAJWgu-hZi)U*JQ?=aWDG>t9_Y
z*Qj>({mSh5?`AiA;{8*#$n(T$cF&X7RhPV3^lbK$PmafpO5&@pc`^#K9x^R^v9D|b
z_pO%VT=5Tvt2`A>tooMyt!laNf#b=(O&&ok(^X#X+qS{nX*VC|-4oYp55?U-(06?n
z%i=l0Yr-^_Jf1V5kN?*+wKt2sEKX=9DwUgZ_GStE2~nT&YEQz-D94cArqzDjyKXJ^
zjX3GIFzvQ|(5g${#kMIiyy*R~pg;D_ss&q`51r#`UHn#fS(w5LUDt@{c?H{L5(^>^
zJ?`3iKeIC5G(I|R?K|yr+2WseL{FcvQgvYnx6_(mEbiYp7S9oW^VMg;)oHo8hEF#!
zthx97j@c5=1HsE0mPgN8|8;H5><#C%8mmO-f4b@ESf}2?Gi}fHEytNo$Ylz9R5>?f
zwyPb?y3BN|Z<X+bT}wPaT*z+p<vrB%szowZTqjgtW>sKA$mE1&)`uqjVln&5(YQ;~
z<W*P0s(g*BVt%o8`9Ys|wXoQV6n%-AE->FSwy937g!AJ~fpt?p&1-qaqT9>SqIE^x
z<kiLv%OxKMbMInN-+NiLBBS5#VSx1)&b?lj)J<Nk(@XTc{e|;()MeE_8KEbxl+`wE
zZ!6(UK6PDvM^@~PtG2OD(~q@woP8zawKX~*WLLv-L&t>0yIP{>iaja$U~4&*qf1Lz
zr!>Cdw05H2$+nhTOQeLFj1_G%d=6abn6Mymp;I$cXB2DrRIa^NtNyzrUgG6ckrL<I
z+8WT_%9X1ave{upKWkR^d?OxCr&S`8LiH0?dqmu*WQ;RCwEtO4Ybdw)YM}?AiXv~;
zB&;buwCz@lPcPSYDWSZp3MpB>^Cv8*tYvD8dm;R5v%f{)GDXKg&o-7=S&>~^Ts_!X
z)R%G;St+r+x=>v@OXyjtZ$$J$#fM?tzgV>Pik>TtTybsFg>{h!quY5ozs(Xku~jc4
zXT8B1H%I&BEn=}EO2(Q!%e)iLPP<aVbv9BU?5mST=+uB!;Z4)BSX@mxJ$5M>tadN(
zU&^rfH`C^Moc6aSEfD5>=egj#XJTCRq7a>)m)kxpi)Pxq%HC-yr=ss;rsdljR{BYV
zwSQPs))?u};(eCm`6|&GGnGHDCmmRmb};>G)0uNz?z=Su*2HhP&f4s^`scmp_CN3H
z3$vtNo3%}^{^wDCdvW`~Wmi`n-Cy&6^ZVM`kLvPz|GseV4b#^9_uzZozo+T)^&fub
z*L?Nd|M{qVQ2LU49?cWolzrW1nV+B16Ju0#)8q8BnX4v-J-+|@)n)VdQ?{L*9H#u%
zZJp#xgQB}9c$Me6?dmC!erb3qd&zl^{Sz6L&3k#IB#nwrpV%<*w%a=iNyDP@&r7bJ
zxbZA<(!}3xldmb{{rfBIZF7ZR{?Ep1KmN-9JvaUS|HMbfpYQu~@BF@mJ13Zxh27M8
zZA^?(pG=(i@@#O7vVV__sZsKinG++Gi`|~}>PSSIY)W~ub7HEpe$O70Pp3{~E9dv@
zG2N6j$EdbQ-~R7M{s_}eX(@M4v`s8`o8+T1+rw~TmeN_5U7b9_w|#QVHy%FXC%oGy
zW!4F!iMdM0UDkEl2>(<on|&jB(Sajz!oPjqm<MJ&YUpfM<C|%bv*^STL1A}a8RNn`
zE*m?AgqN!M&CoCo%v*HGWof6Du)1%^Y>U)KTRM%^vV7*424+8+)A?CVZ^jDa!2CrA
zT^4t$37=Kdo3$h5(WXu@;c%ZVvoeeeue$8+%vQ7Vi7_uc?6SO5Px!7{mCu)1FN`nd
zCmlHAE8MKs=5xo$Fz3;>PHQzcpF5_8SxIM(*b0C5?U`MXkaXzClFoLuWj;1WhPjV6
zcB-q*n>EAm<C!CCIxU4itBLvO%!)Ajc<0EbPI0xkSrw^|wsxAU-J2C*xG^i~?2%oa
zyu$B&R2+?#IA|?#v`RT(c7xA4LH$jW&xxj-6OAz^nrm+GRxe=qcF67qe`^5)-yuZ{
z9@hevzC(r<d`APAmKHFbS|FpfKxowim5{@l7QE>T*v>iW&T0N!!1!u`oYVrrSqmif
z4yo?o(Jf$&TFAT3$vUQ)ZwH_G0^wZ?q^lN)|5_l=_CSs2kiea$#2vil2`ujpY4jYl
z-NFC(1A|(EklY~=o2JYP9{&fLXAT(8XtkWt&Kl98TETbv15?}~?F!!f2fAwxXstPD
zm2<$_!)S7j+EJfXGfj+NrWu{dG2VRl44<*}**8X$Gu2M}Y?~=#yff|7nJ2Sv=BD+}
zWHPQk`^I?leV>IhnbY`YS*ASOFjL9c{fvxh@tHG)#z)h<&P<tQnYiqX&(4`###7T)
zota}2ocnCb%*$!#W~?+UzUH%bW^vlN**g=Ko%7i{lg+p{ZPpo+**i0yO`3T+E$U2+
z;pMDlXU~KhzfD^=^QA%Y-7~z#bJKR6DVhD!@N)LD^FI4$G8&to<(VaERDAl(hMBk1
z-p!CSEWUnb#Y{uv?`Q6q8|OW1oXKfCIZbZXOry^y&TN@^JZ;{L%B-|wXN-+Er#(A!
zW_F~(=8UwHXNrwar^U^v%zQR==Jm9DGb*#wj-FXH)71ENn%S8>#*<SNZ#s3ghHz(!
zUD8d7xRI8)yH!@KEb2z8;$^34tvTG5Vnw=7B2R4JaQl!PH>=pUs2k~t`&*s3*>z;L
z6r4De$n7fD7h#e0a7OE6u`b;y5gJ<y4mr(iE#ejx)6xxzw8&g|>yRe*a@{!_1Ck%E
zX^rB3t}|zQ!9l0FtySE-Vp6&$kr~?xE*?_lp02wkVn@=#t4_09SBu@!joEx5@!_sk
zX)!C^lE@d^E+j9!?lix(j+<F5FG^yILCV8vt%=;*b?<C6NP4)gHIO@5>|NxJ{KPwl
zn7M_;)O2mO7^FU&*qX`RuWPgM!<9olt?6QEx@RJ1Y~PTOc=6Dr*5_i=boXrdaOsdX
z_j=tu8$Vn-)YTfwoh^1vHz%SZUGb#Xrl}&@E5k0WSrUD6!=|&R%CxQ5yxFqpwAZq!
zI@&kGiq<@dI=OjLe(LtAwqaar-fZ1;{#2cIYZzaIWyaG9QxAuAt&!PSbmf$x_VKkM
z(V82BvYxg~HPU_>rnM#{YUQS)OI};228a1YTP80(b}CYPYuLF+%lxNJQ?0bWhDoh4
ziOSq`Dd*{;sor6F(U}{Ij(V-0YNj2&c1!fmw55knm1>)>DT#Wy=~C{}<6i5h+G+m{
zV_S1)i%~}EiBr1Thr{xscP6JEITfqDIPBP(JDZE{pV~2%JxpzlPgG?_>Zw!8+Ly!R
zqAQc0uAC~VeSXcEsF|CM@>36<S~OKv`}|s+=*q;WOQ(j1#YI;pKV3UjRC{&UwKZ#^
zZ*KT>&TCR{inOL#Q0|hO9@{4-CeQADYgTsSMrQK#ULWa5vrV~AZk*UUF<trou{`PO
z9FZG0va9v}raayM<K}r`ZfosrnQm{c*hMGp+;*(6xc>WXyPF+O(Mj|8ZrI(Nd)Cl)
z$Ir}d>&5rD@Pw_Ku`tKbvp7jczC%}9XmVBXne6=%mk)0{@-6pV<ixj=w{yuq`+eeB
z?`?~;$2)qHq*cwla_4LaOj~@%t+m%nI@HYX){1R~r;cSxr{`R`VUfM~+A&M%=eaQ(
z0@D}Yb8GH(llC>6l^e6A@Z_;nX<f5*H!`+fOnbblw@mtaPRWfOd5_zA{iI{fcI9@i
z5AW}dldd)UmCJKuM`rScV-tF#&DwJBh^_xGE3Ity?v}(>*@@Yqv-1P&f4@0>USf0M
z`D3-xkIm$6%-CR<`FLUP%Cq5jk8SBaZZ_{mMON~$W5&{(&7S3)xgD`#V@7i3=YP36
zw<{7KKYf;+_W0{F@gv8grM1n%a@X99*uF9G@!Vb&>yu?cZ<gHh+&no?{qQ+6>)UVN
zY}kDHoSyZ}vQ2MNZlByZIa~d_-@dtb%f8*v+_3rjIXmm$Z$)ldCOls-*SU=Ew&ted
zBj*CGkH3-G9-OoMh~LV&!eyu4Ou4;sLvZHuQ|CObua^1Uw9I|pGgrzw{q2=omg&#;
z%;he7_2$a$%ng?_mY?+7Jh!_{@8-^&<wwtnTCXj;^(JQP<-F&!=JHuze|u-c<;>-$
z{kG4Qv))_w>&=(jk{gOIpIb0j(R%wEp4&TfpZCobv@U<!b4zk_@%eML){o2NZp_?Z
zocVm=Tutl#H$Jy3bDnq3U0#;<#%8l|cKW$<d*-rQcb83jW3zp8&hyr})63#+R^~qM
zox8m(?9G~6k()Q?r5`?LZSBc7Np^{aN9lxT3U?jbnsfQKS>Je=u)H~z&qy}Ka-W@p
z-T$wQpI$RRxy^p!=z6{hN8U4}TAwJK@LJ)#<G$v*eBUfI3N~CnXvh9rR>aEU!Gi_O
z&U}2<8bt+14hFIxmyszCc(dS$<H}}XzEd(&tXC8Syj*bVpeOrPK0ix~w-0)nrP$MD
zuUJ_;f3T;So9~s(73++G3ojO&bllwB&8KI%<IRGj2SwS}^4*e&DZTLS!K`LJ_Up2D
z3NE}{aN2QuvmE<gzF#t5tR)HxE+1UbtjNAyhR1rx+XsEkg6!q8JysIM1?LaevOngN
zvzSp}@bbaJW=-~f86WG4HxD|Sm-D5`*c2PQPB?dPPctifH{UcFoAM2B9<(-3=Zmwf
zc>AEYc{^X2%o?kR;tlT-4j;5;_w<`IcZr2(>7-{WcRkxC=lX54zWFd^`Q%tXqq!-T
zC(9;1R=MsuZ*q<L?zwLYgC3-;pIqzr&04dh=)g%w_4YY3WkFA#bWC3C*Jo+@ZpjVL
z&dEXQ>T{-8YL*8*Tyn{C>g36OtLDxrD!O%2Qr*<=oYl(GqH`xJ)y3yrv0Pbx>A{kV
zo|7l1sf*8zDJr_@**#g?FKX@<%bl;5+&yWkF6+0>dgsGGzdro@bG`lidj9$KHxDm&
z-TZUj$IbI=e(v4B`{%Kb)%L%WK5M)xx%70&ZO{J6aq6{xzvl8-?0lJW;pBwL(SB`n
z@01lCKiM&Px1ZbG9?PB2pX{4lsLnsf$6{v5rwb>iOm_EMHrJ-?(~*<G>c)QaEN7M)
zJx{rJvT3rby0_mv>&UWChfaE{ub;E0?9;K6(dycMVRP45MwV}S_+;*674egLK`~2q
zc@$54r*!zJnfUG4Hw7CnAJr3|skbR6W%r4~iLaH;yYB10tM_e(M#0AGNA1La$BOK-
zc<^XJx3eDKZjGYCBS!<pkH^TA2fkT!#C2u2u->VdDZ5t`1ioB!>ZqsqRXx9*7H=Q*
zbW4e+$6ncG@%+)AZf?C-F;{kH6kL3<=%nlB?ruH3ojcwvI(k%8e68NCn3&Rw?;g$S
z<`cgjd#B*y%SESMw|C2l@74Pi^JTY0LE+`23%V7>x5x18-tqQPU$>xmd2G)viQ>ZZ
zM{C6&>&fkyQDFG;(ZX&`@%|W}-4$;hb#^b;ON+57Hhi6Q?&zLwR`G7VX)!kC8{a%?
z?Vhd|x3l8yqu%cAdSNkZc109#e3x|isI|Cf-lV%rc6gRfex`QUw{3QA-nQL0AEqsz
z9h+x#H)ZF^vdNFtuKUiLU1Prc?wi8k2WjhP*XDiOtyxlh;H;y0`yH9G;3v;IW-rd`
z+iCf3*$v;$*+J&&cc$#rEDwIT?2_-)*^~2D-JMfZeCw>FxoO_HT`NnA&z-F_7r%35
z=gRWS50+i@ojg0uT>NfKQSnXR?%CRTQFpiO-1%zR-Lt0VvU%%v?|iuIvhVcSdFHlx
zRd>Jad|7V%;Mua-j^@sJc{?S`if^BlHCN7iw@b3r_}R00vw!CuyK7Tm{4nj(S!eU$
zJh`1S%Rb*YD{St6_sovUXKB~Y&Y4|l&YdTAS7&GCyR@5Uxy|+O?kW0w>#VeS{@pb@
zB1<<vOS^lvZFYIyBpa1#kB<{xDV}xS)y~6z+a~AxhQo*b_;=f+RGs)V;jQ9v=XLEi
z{6FQ&s&71Ac;Ijx|8JW&-veGeY-n$m<EylIv+%@WL4J2zna>4xoHw=$@h_G0tI+ry
z@NVHD=cVmh{OYzL)fP`5ZfQ4`%d(mCHQ@EbIqje2^eR?-4tT%tp!4E(HU6`5dR04~
zJlxbS#vg98r7Gid!Bywo?b&ixHZk7|4m&Sz*W<q{S7r01>c!^^?-LIk_T_JuYqPoY
z$>7byZSB@_ZZ<nN^>cmu$#4Gu(Yp3P{$#m#l{?-i-Z{+7FD$2KYxBk6>BEWbnf(2>
zHXlD+Io#8pE|+F|rgFyj4G$789-h?xTyC1}o(~@`9oFVwZ@cH?hiiws+C%xX<*wQ0
zR8%}yJn6k@x`_VDxJ!GMRG<8?>Fnt;ed|4MzHB<}y==OU{>`|eJx{7mexCF`b^CPN
zIIcZ!zHT~yx=z0}j<3S<#nTDX565-wk@;A3<+P#x@x3C|njeE+J#Cq8r2jNdYfnhk
z%1=d?ythsdj`ORwe7yA7=}7&papx*6-#=}dZl(V<PHK-yRpzHlZ=Noi?j5IBo%ylo
zsQ2pWX8PfKw^Z+Zw)F7nQhoD1B~>p!U3$CpxcB<$cKUzg*!JA{V)P>Q#A#jq!*O}l
zJ0GVW`F(O(&Bx92^Yc!noi}z_VQ#!{=DV{E`-N{`)Zdn{`L=x4eyyhekN#TaygL+|
zpQPiqx2JOF^QZf!7wYrx@u`^kMQz*Th0`_l`}g=%SH5}LIeq!PBLX%x``x9JmdJHW
zR;j4H+&N=8r(x)9?oA4A=>a}BX3SW4mi3Ozl(R=~M$OFGC=!`uRMz$OgrM>y&1)**
zXT0X!m>!#yvCHMy`n~x-f;TFgyF9c$BD1Cbcu+~V<ISwP*S~YM#2*JKw=E0l@!Kk~
zK+DigG->ClBObZO%DkrRiFk9}aAT_bkzKjl_BuQ%-|q1-ZNluM4k_IM>0KH5&uZIG
z{75@vzPw=bEAJ#(u_Hk|ovc4svp>4`d+x2Af8}ql9N1o#RqVb^?A2k7H=@>`K3>aN
zclFw}7}4F4+Gbl^-@H`axVHbuma|=@+Jf1idNqIUxW|6)yw}g)UsnpeE)HI@FFs{|
zxR1m38O?r%yl0bw-HyDdiRe``G~`X<dv)Z?9C70iZMBtdXD)xuKO1(l{Qbpeo6b}S
zYk%lHllShl<i#gvFRkl}ogpawG3w3Hv}y4jtd)A#QUY~OiwfRmG8A~3q!V<sK&5-p
zzf(-{|5hCEydU|%WkvQrQ{mYw>$m-!`#Sa9={?h1&*q2fd&kYIuK#JGQ!N~A{ISm2
ze$A`q_Ys%G?;R1z>xjG~b9{PSTxI3kr@hm+-}9fAarE@6>8ARx?;ZJ<mlJo<ds2Uj
zyrx}H?UJ7!-zPpyp56b}uI$H_s8Xc_`(F?F>kXFO?YjBC?%!tnAFp^n`N{9DN%?i+
z)5N#R$KBWU+sOa4EBk%p@!|u=<K%zWy!jsZ;&DTNvmM`0i#Ll;92b;#ua)^+c*lKX
zzmWV=JHH<qp99}5KIFc%UrS!SHsrU()5lx-jqS2(=6nr&{di9QXFI(gD?SIlUwqJg
zale}USv$R7JDxn=)GsC<UbE#_#^=JT?z{W5?W}5Iz84;LU*4}Lf7h<6=F6`apD(^o
zK5*PuzS*v==FTU>H;=dVTidzS-1%zwD*4QDTlw#`J-;g+Bp*7yq`%#6S&hvn!?%w&
z_N&{?`!(a^$1}&*^jpe*wiBz-`4#c$<DKK1`o-<yepNhuytUul?%uD6j~iblpFO^-
zpI82Ujml5YZ<8OXU-h3gzr<d$ZrjhB@6y}n&#f!_dGgETr|P%;`{u{kSJr*{`{vu`
z<Nl5F_tt&;dGr193+EZ_+5gIXD!$=AalUe0-!IE&&v(pEvRAG1`a9=C@U!K2{9EUH
z*@xEo{aX30_|*AK`}99oeptR<e(k)a{qw&uAA+ARzvtgP-_72)Zr0zJFU2R%r`qe*
zt^1Mr_42dltLB&4U;k6`W9Pf)ZS(!?W9xSP{qp1G*UQhB-#_0le|8<)U!I>kpQhh9
zpKD+Kr{~Ac*XdWz&zN6b_wKjk_s<8;x6Jpn=l|>Tv+_y$t@FwD{(o$~8b5wMbAF_K
zaow}OIzJ-6Y<}{5=loQA{XcuYd_HwP+dlu#p0Ar9rC&WiYksM{bltt5o?H`T6jU9u
z8n!UJWHe&R;oe|-ppU_t`3=_uNd;|(vW7VfI~hMQJz>8go8aHj#8A!rhI@m(Lt+Cn
zBOjZE$b*80MGWptGQ0&k2R<?!W%Od2!e$}3K*yo7p^ITE<0_^(JOQ!~LK-eJo?}_T
zSzzXn+fdASj(vx~0zHS?hBk&?##u}z>^meL1T~yyjADx6ydbqe_rO(#w~XspUvL!I
z9_VG5%eafFg#88Q1?dI)4)qO<4Cc%{Y!X}r+6M|6ZZp1Pk>D&aKakO|k>NYj9exA3
z2aXM$43in<*k*8j&^S=iaGY@-ONCT|+JVgsn;D-monepQ*dURhdEhg{X~sB~3dskd
z4c8g(u~bMWs2<2_*vjyl(Tr&i_XH6YOAoIJR}?ZGFEyvI+!RZxo*?U3#&%Ow#n>Zk
z!W#uk$D-yZtS9+5S)Z7v!0Pyo?WTB2{e(jb>`gK}MH(j_D!4lKu~<qyiJ0)%v8#Cs
zizaW8l1Jo(PYR-rTFoJ>mXb@XPOMZ|-aLmhNcc(4gj)*Fo96HrDSE_C_@%(>DAjDj
zn#osWcw(u-^yV!rJB5~*dPGlH?Rcv>hWnD>ld1{Qj#kYjtS|X43IAELE;?Vdy7>$1
zOMWAPCutK7DmXjlu}bn4S)Z7zpzQdLO_JA0>`B~&-;T$cZ8(esQ;bd=RtR>KW1Y$O
z$>PLh1^?zVER|v@W+!4ktlm|(BK5fY!JMl2>tTX7%mUA?UbJ_)i<AAk^tl}GB`-eD
zn)rIHUA}2$(32AF*_Y)@i;OkPT|?e?9N_D|!PogmPVnqaof~PBja|N6a$yK|`MAq<
z>2H^`YL~j_t_kU`Iq5DH^E=MeS=Y`E{U5NuDL!<6+xMft-QG#v7k_`H{K-16E#<~9
zr!KlW``{k+rvEW(jxExt-^Wq9uA^)l$B%2Xa*oxnetYu$?R}Pv?~ll==`M{B^xmit
z_IPT<gwVjvq8E1s1|Hh3l(F9RPF&Xo-?~|6^UI68u9P~7eEqrombJz#8--tY)T8dI
zdEL`nbx&*2E)6DY{UmGcqPxmL_jQ%-Yc}nl`S<hvz-^cOPnS8Ge7NBL^Y7~2v$TzV
z#Kzicyt=Ea6sga(Q|;5gh0oV6nykBM=5!Z(bM*zDEXKiGYBzt7PU72kYQOi9|Eo@X
zmArUfZ{lT{i&tMS+IZb%!fBW4-!59mUCrKi&gtu1$Jf2+o@nV?@x5<r<<DnMysSC#
zn&-ru#}}P!UUZ&WX^HWo72J!~6))N{d+*;J?UG8J?_K<^yGDh(rrqy)vQO-1t!B`k
zKX%(@7yc8toj=9Q^-Af#+Sg((H@97yr7yHg^h{LX(X}>tQ_BobTzaO<9?ADf>BMP;
z^-X*DKB=9E4!m+UXI)3gI<`peO>!yDD&^Z(yyF%8T&^_3Q*n~>k`@o%iDF(UX0|SF
z9k~nFTkWwu(kEc;{Dy0yq>}cQ$jA3DX9*SleJOtb_r?5)vP$YMc^!KMekzu=-w<A;
zaO9rAZ>Kl>ffA1#I+_*vS}kN2X&jj-;O;ELU1;M{*fB|9=|Xw6f4d*5$N&4YeE<1Q
zfmFp)&LJ%;cmhQqrF6U!Snf23uTag!w_~$nmh&9$Lc1faXOBxQvU72Mk)N~o;JrPf
z9}j#w+MLOAQDjl&=ZX1t2L+}(Z|V4L`|oP(f8VwL{!ZQZXYc+0kN*D^tq)%P_f?nu
zznkBM&j0l4xF(RRxUMaOzfjl3z2miFUds!fi=w|?{jGnLZt`8ieEpxx`uqM|?63c_
zS>JxKwTpkpJ%L)qU(P%&J0z0~js$c>E4De`;VV=>;?c2N(apK1b%*$)x{i+m{7ybC
zGk88490}=gS6t?7!}n3;$YlXz#d)nWcn!sq?2b5f92M|ZoYx+~_fhG{X@T`ld-y)8
z9l0%_tr+IKrZs|pqu`_1jwJ>s6N3&dY4hZsET^V?W}Ct7!*4h?8=qNcFf(z}p_KNM
zoRg*1^nL1P+)ez}qRFw@{LDUs--kuoECrq=%y3TRYuDr|RylLg;P@dK{$QD9Dn6Mr
zgcDC4n$o_KBUo~o)|rzAR}=kOEoGni%$Q}6e)vk8rTDX&8Qh7l4qa)_<hU%cOw*@$
zMt7oK>rR<vs%NGetWCUiD2Dg4+_R_|eFoPL-{H6{xlG%qe8xP3y@|gLeQB5EC^kNm
zFk_*?_Cq}FJ7u5w&6sFVez>PilDk;{%wL1YiE=G7IgBNrCC*rB(0|CMy;A0x=Zxiv
zX@_jMjiuA{&eY6kHRw*9cF2Z*v&=Ks8PgNvS}SFr`Oes$7<On)TO{{pxisZ7yA3=A
zCn+y+@#vi(rfBQr){-l@&HaX8VtPxgppkNl>xsSz!iwfjaV>v1b}PT>3=l}nZ>bgh
z=C09Gpm69QN4t_tUx3I%kCw%PeXbUA3oV>HTP|^^D@}3L=noKFXyg>yGFfny@|>;$
zt3xw6Oa;%mt>`V#JM@!7T<MDIiv9}%3k{uuTb^-<E5~#dSUP#PXbVOuZ*korwb1s^
zRt{Ogb?!R^7aBW-x4h%96|7SJ;`*ZBK;U6o%Rvrj!8})qz5?q*b2*d+-?>Tj8i+lN
zYxymBOxdQxKrqqh&|!{XK{?kMeIG0iP3G`dKI2j$mS}b;rsXFGx1gA^j%$TnqUE7(
z4t?c4T_3Ct&F08gUgHwcyFo0`_K;gkx!|NlD(;@0lcZF2y{e}4Xxv_u)4xgi)H#ja
zi&ET9c1@C1Rrku9vPa`*V43?(;iU?v?rHp9^rk;Z;;F-w=0HAIOPQq_rzUE+FP7;p
zvhgaMGD%};pr4CocaYptC9l*et2ERXhqzmcJ}sGI9GJCePH&L()0ior1NB^1b_dBX
zRrE@pvP|P_pq|@Kk*7sdrfGyP+TxbkU1aK2JtaHPYEevok+N6%lyw?+1FIH&aeLW)
zNj_EK)LD(@z_vwqx{PF=mQAq^bX#<%*GMW==hR+}?~8lfD+N-OPNhs~4_vm$rprk7
zY2g(0z<F*nJ3r~1%9*lL<8z?cA|1ELu1_|nil&GM#<^9BJ}sSM9(d0!vU8JEs_v<(
zDZLu+7pWZe=$j~{Z0Z)(^F>0^aNE%va>?#Ja}CRmp6Hn<s%-7%*K<dr((qIAo4$?e
zZjL>B4Zj_|A-~w*Sfd1cvP@T@g<D{cvSHsb3$e!)J&z<*4ZV`*bOeemwsCXqIVBNl
z=yz;IU!m5qmlEkoSB_XnFE%^2Q{s7YOh=&jVmmkIo?{ZehO?4mdI~j<J(bWkTz4d+
z_oCS2te$TY*ON+)?2vox)^koG)^Jzymm@EFFN!a=KjzRg+mJ1p=jaa6WQ${OCCZa}
zj_i<5HaQm2Q*HR}xJ3U)g<~!~CnflkeU4U$BwHPOEa9JI(`zXFII`!aM6uzsWSt`s
zJsU+HSN1%W&`;Xa^HJ;AYl-}%J-r)+l1-0A^?a3(HoSM#qieE^x~gB+oGlhF3ymJ-
zbZ@pj*Jok<_)XVjNp)?%vN>}sb{2km^yK(W*>wLoO%~OU-*j)b_e-3^T*!CKQsjBT
zoJAJyk7Rm_b<TaXIQr2)?BnP7^^ewvf4si>$Lr8PU$y>KiT)3bb3OZP=f`IndGpd=
znVsLWU9a}%%^hLcel-&woIStq&zto62|sM@y&g?DW+}K_$FFium&Me=RgdQM1j{}T
znRB`D+>w=?#b$oFbBYVk9p5RiT+gp|PMbw<;jBj{$9GCR51MnjFzQiE=Vhtoy63K1
zye(XJ^kqk}?YUlyxrMtPl^lQBd0Be7zF+;EMho-DJjW!vinY%b%(-3o?ucY(vH7`-
zIU6m$Kf2RzEce`TPN&7>Lb+ozyFP22E17e=aNdzhsdTk-n=LjMK6`ZLcx1<BiFD0>
z`ZuaC&tG%PCEi%=uIGC%tDSzkE<Zo)XEk?D-TcaV&nw^ArY`?g{FmK!&b;(=#zL_W
zfj|*Qwp0OE7In2kH`kB?7m<*F!g;^r%pY|h-o1O$Wy{^GzI|S(HFdkjh2vZ6zL&1e
zo|*JaeBS><-SHJax!2b{{Hnj_XY2O;AKr=I`+ulC{>KmQ`E?IJ>+6JU^f;O%>K&pJ
zy3yxok*Icv$O?^+z$HmbyOKm#hpY+P=yo(p^mWLZ@Qsc~-9%Njyh3w=Hbx#56HV1R
z6`B(oxG-sUmzU`6&^G~rOOuv&#cHhzeG?YAcv09<Inh$BSD_{=GD0?nAGH(p)tVK$
zWkp8l#(3A+UCdg1t1`k1JzU$n4r^J3maMc`^k_v_vR2<}i-n7#UHiM@L~FHvh0X~v
zT<~a0*JZ79D=bz&n$z`JOK*ilNMYbnRnh68JS%rBN{TodDtbL6CfsmQQpizWQS;C{
zL50yrWkt(FdsgmPo)mMmR+K-)XT^+=j{!%OMf*d2R?Z0f=yEhz^nA#f6&33qwRTO{
zid$K+_EB%wcCC9WE7m`1?&{aFTlr&6(!yD;Sz@ar7p1M7m6|neb>zZj4!#R#DVb_t
z6<Hm*G;QlF)2wT&BA2J_oyD7Fwn{TFcvafuS!c7ht<Ve(UYE9d7MJPmD<P|Hu1jm5
zrDbY;^-WOl+O+Mnq)fMFeOs|IIC%XsN8iP>_GW!snHh9>%`#Wt)wBL)-MRu=^X|KQ
zmUEWX6`8QhE0=kn6*P6fDid5BbC%QeZdTQmFRNY#Uta%g$t*_bn)kDjrq8d;2`_d$
zyI@v#mfp&pYtlT<ikcqITDNNFvS+(y37Rg>%3HB><+EwCZfCt)v2%5r&skg3$64=I
zS1w3%I=f_6dzReF$~9?TXSd8!&ziTYa#@<&*<w@uD|<pdd!5ZT&A+lI^t0dDYE$_u
zH6fop&!(Glo9bNJ5OG+D*V|O*@`jkhT)eDOT&5zK5i1u)9M<ApZMx>-hOom{ysu4j
zE^G*N?raO<oo$kHZG)@x%(f!lXw#648_O039WLXwHVMhRu`+RbTNdwb(<>P(u5NI5
zp4(Q%%PS>i`sV5ef9J_<X}r2pQKoOMUs(3Ap{-f!mZ{983kx4^X!GNZmFml|SoLs5
z+hZxej2G7~EM6GyJio1uSKX8+!(!>fEp5h9=U_|T*-T@u6nGv^<#m=?mmzVjAoQ>)
z?{(9j42i1+&WC+@x0~F#R^Wa(mN!}IU8cnKg80MCzL)p^Dom(9-_qvE%WrCP=|jZf
zDQ%g&{w6k8HOiCr?=i1_DrIw7!`Nu?!<}v7QgK-oOCN4+GncxTHN|>Mq~Ae1OYZAW
z-d0B5+bsT6_OIXJ>fWOL-M@b|xAjZeW&T(b`0h*Vt@v=)oar~rH_m^g7q=voEq&uO
z)u?G%k;_tdP7{sd+9HxQ^=*`H_&Sf%UTckNk4A-MPK}IS`?u~|n!AtA4XL$7G9@Pt
zJIP39Z(Va)Yq{rM8|7=+Qzzz6Zr<;B+D%tA%4_SX4|ziOBmV?^oV)eZkNAn~jS;_#
z)^0i@|73&z>EuTf?-Uf?>6rR@;_@?`m5(}#(^pU9T5I(0(FH;89lon`7Dt@$(!IU)
zR7Dr(-GxiNPW$QZ-V&01bL~<$@3lWPwwyY4Epw&#{)DwfPMyW80#C=SEvk5X`O=c5
zku|;3!alCuvL(}U!P5oP%5>YelyuBr3SKp@9MzX)x$NnVX~I#vwtmTYdG(U|KG2GM
zwk@C)`F})o)3?sKToiYjRkt}xudCnVbgHg%)Vhv-r_-Uj*SEx6H(Hb$a++7yeCwS{
z;Fb2}TYEBhE>Dd)U8~E##V2Ftl}`bul|Ke%%)Df@_G#y|<x%r8XI}gia~fq?{hrI8
z;!d-F%<Q)3)1ALn=gLNp<4LmKB|29(`W!Ek)h-cvp>ZW}N%GRZB-zy^Yp!i{J02zb
zx@67ujgH6NWL2%aN^>r4j65zTn`(8cH0NsI!sOX~Ub44K-&_b>n!LO()@oJhn`?oK
z7l$2}lP$G+Rci7g<I2YH<94#XR<laCyvVq^G2VT4AF~zTtBmV~9`5aZhpntiOI}(m
zdc2}9*{big#lpqW?)`mnvb9#fO6OcLT<~~G-({<FFDzgy@+Gbm1|C<HonFfGa>t_N
zh~uHM*Gpoq8!k!?InFC<UV7(JVf1lX+49n!mphgx#~iPf<uCDhG2_a|faA)t{iQxH
zXI%T}ay(e}e94&?73&_i_D#2nds(seac|#tt9vgi)<16U>$kFd`D0D;!g;P$Vy`0?
zrLUZqS~cx;<ih0+{tM?R*=m0kc^$bled|2as%x(zm#6QY$6ICgO7mjys`Sb8&Q@)E
zp?NuYUHa;IT(-BrguDVT$k(#9{`%%p@Y?k4^Q3IIR(*T1@^bL{<&OS~=YbaFXI{Fz
zX1S~X>Un>wZheutP#oaDd!BQZ)fbs-msc+LJ}+qN{#E93am;y6+q+d&U%tG0dHM4C
z=S$`>+AjYx=UTDb`AFO6U*=pdc09jeUU!w=%bjb|J<f~T9<EyVYUi@&yXFbnF0RUZ
zv2*3~Y4dJZy?e29b-K@aTieG~?_O6fNOwBFWL|rf+{?-}>0alz%u}zL_o{MPy4(3;
z)YbK${mxh0%73Z3^4arzx-B=aj?so22ZWftd3B68+&RF-%*w{aD<T<@x!}eDE#}p{
zYYaDBJ7C59nm5N_!$pVA#vta|JUM0?jylY2EMku44UxE!w&2o%GG=R@5Xl>v3DX<1
zn0NDDkyv56;rN0%VWn(OZ>%!Ekg(w5fjH*hJSLJEW*3qcTs=_7oXhs~gmuD$1&)?q
zk8s||dobbQ>5tbFA8cs!V~%C(>sW76;8Qd6M?NofWxf9&k>;X|c_s!40e-iG+5DuZ
zEdKd=X7#ZHk<8C|=9p`!e`0Me;^LQruGDYii7_<Dd9bQ6n{A!+l;F}MAD(*1nid>B
z;M-i(S8w|+Uvfw8gT9Bei~p~4wET6P=hVge^9Ob`{$`Vtm|<X$`Cy@AVvkU#WIvCM
znL%p8tpmb`*Ef8;vcTY&F|#pStHV6$io^#?8^hV+q$`pitZgi3yC*GT@iXDU;zoZq
zJL!mwl!+6Mx=oXa%u1O#@u^#wgr-4I#*z~!yp)f+g-J%{{yAij-LuAY)43B>%F;bK
zCP7I{uAER)p52>c7?if;&WSK(QMYZ9D@Fc0D_i%3NZ!m$nLaT~d3Wy>iIt|Cj(g0V
zSf$MCCe{1Kbkli{$rIC*b={(R-<V%Yd(tqm+3i*@SGA1MrNk#2Ci*GIB370ANxU?>
zl)U7+$NY(P%Idv55|*h?woEj3J0~^!-`AR?pX>iWlwTq=&$#H`30CE1H$ADHDNi;{
zR8$V{;gQ~%74qZB))rw$HK%%(IUF7pnhT%K3S^ueDZMK%M91^Tln3kw6<a42yY<Ac
zN#7?wcj7Talm7I3o|7a^iw>{wIHhxj!(>-xNWk+cU)C^Yn$!x}tXfjW*|aM)MCZ-6
ziPmoKq$GvsPnuY${Jq!4z$h{0(g|nf{vI1MqtujJCxky{n|(TSqUXn2$;!khODBfA
z#YtBtKUq7m+>Le4v&HA`S!(||ck9&tr>noa*-8A&crtn7c{j0H5h+O<J5`tImu$JR
z<i}T|$&ZdYZJ$0{#7BrpPa$jC6c+cj27g<opL#B_?10NcPsSxm!rHzfvm;W2?tGhi
z<@rw;Re|iSFT?v*P1UpisvA)1H9bE07gONeOG?*gi7d9!db=rGE|`;9bjMY-xm!Yi
zy$pAVP5;HT;<ayAELY#HgrvotLX({$m#Ue~6ycejx_0uXzyoQM7tLAz#JFYsg{$h0
z@2rpAPFi%vWoPHwN7plxW_NlC-#%(?5}2B_yfap9mG2v~z~n_?e_Vu1A2pj^OjvaB
zNZiMnvonk?<}5n8<bwB7mPtt`jn}Gun=Rs^Ic1Gf$I`P$7=_t=x6HOkS#<bF;^d~F
z#X^(Q0^fEm(LAW$w2Wou8IKFEOf)Z;{?YZ~w5n46`F3B5fumQfTHg$dtRRNW?v|y#
zLUX)STx5+4?;PPoTFLlm$&NH1F7tUtnnyHVyx!uu@QAV6xmhATdlV*qn$!9DQNP0<
z;g6|mdNX(AB%L@S`Z061NR^GY;Fm2bmsYE>GQC!5jy2XysJy%6!n54Y>C06TuX)M+
z?#fkGb31x{#*WM&hBp&hHZ}{LVVM^@J)`RMk=)5mxlH%ob>wG**GfKCdpBDoE>_7i
zfBO=<#!4nf*7tj-2keSfdb(PEMp*`5w!N2-Sc?t!gte1GSk>(fG%d6owm*z6(4Dm5
zma5~@_@YBCo{X~vIVG;E<nVZZkeDQ3r1dgm+AKYfh+AqaGj}gp$u4AhOtsEuRz*h8
zhE+a7CuXQjww@HE=b5xqvGMIPmJ@-Tldr2r#7&)0>93SJ(PcMNXC>1&mfd<&f0!%2
z{Me~-Qqyy4ze*z4Igy$l4wIhmcyiUyb4j_%T)AmC%Hlp<jXW8(T(z9ngH3vh%{A?t
zYod#86`ss#y2H}P^-1K<PoGUM+)pljuew<7r{oXa$v4y*K2P*qc=o>1rQ>SLeBu{u
ziEw(kWrzEbyD5)mc1liWns!{}*FTX-td(}=nooWnI^r$7{>X)y70Hj*?#T1qBmP)z
z+Jz(P!t;G~Og5i5lVt3DM#psXnKMPk+Gj*&Xqp74q%ED9WW4&!8neyE&O{l%KC{Mr
z^T9K2#;R#vXLF1;-#jB`oSJs(Y>sJgV%VId*)zS2Z=Zc*5S*H}d}eIgs<Us*v=es5
z6+MVL*|J(yUd%ge&e8zJc74@?bBCTgd(Qj3vDoWV+2WM<RVN=-t4zM`VJALCuj9+c
zmfK97(^G}?&Ao5wOnhSb!}#pWdCM(p?`NI6nZMUFV@kvA*h$~^JI5b9+|<RYetm{$
zPMu!iubD2{jYpbJrrWN*c1F&)H0{+{lNp&No3Ed-GxklJb#}{)Ow-NxeP)9ffo7T)
zpYUmqOgw!!t+mhUY{^W^q-QH;CO<MZ*FF-hskq9CY3hMh!fCAwSdvO-zckdoqIF~I
zb)We&>x|XUaygrM&B&RcvD)Upp|(rysWk!Ds(6>5jd%FoGjns=xtXFTZ1SHq&2-#+
zXb!(h(Rq(o`yeYtThD5#rgK%Tnb5!Ol}am@m%LH&(K8z&AI3Fim78*Hjy2U*vH9Kc
za#m#GwlL3<rq}CciyoM4u#92zfi%Wr%4u$A?-*#GUzRs(XX>+Ukq`I!an{8O{d_k=
zly6V=Ke>6w&)hLK&I{WSwc)i?=ftMTtU>q8KOb20pnPgdxZ{SVWY#Y3WpfR*3#>E4
ze*9TD(><;AD(gmjGwlw$)U;b`W*ikZ_CIT5tbJpDtk9Z(e#y;F8_pk{njd!L$<oM;
zlfu(nHR8IvkF4qF{Lr^O?cU7F{IEMI*Q|dny;x(Q&GY}_ngid|jpv{3N}b{{?ViHU
zs0&*I`nOKXWWA%eMPthD6Ni$xy>+_!InpDu0;i<RY<(&g7NM~rAY<W)Pp&~}fiV-d
zZIE;Pp|1Ltdwx`A;EDbIt1gJHbd8sf5{niItkR$Awc~)+>Gg73ZY|R26cASAu$6MH
zY%yB1al^GkR@|?3b2e<a_-S?h)Ci5O8%`cd<Bryu61h(za%byWF)f{(%^FETnc$_c
zN0;zri1@GEwBhoi8QmYIKfm;#EYj`YEBB=Tdp{k?|H0lOH$@~iH}FU0cGpUkh~$OW
zKC#YzZeJm?r`##5`Df4e<DiwU-A$EDYo&GU)%Wfds!cz*<BNIMv1|GBZR>osHcz;d
z5xApvz3WD{hkU<v_FJ`HXjkiA#D7-os7{p5{qU*xm2U9OT#=>vqE7W~829g^?++bf
z{5X5lg}lHUKPI&DeN<0cc-d+Cjx9PeqV-><>3^uX^GVz0yj@F;^687(o)^`1xF&Nm
zz2BxGV8^|2dFsPitv3$dSBPjlYb)0Jr&{+*<csYEL4S5eSfoDO(rPSrE=nX{D6Zh#
zr(W?l6SE59V&00e>Bej+IC&`bW4FkDaqh#9rt8{ia9`K$iICV@aQKif_ja8-+X{{!
ziUlvhl@Pzap_N@sO~)syBIDu2*5hK!bbO*Kk{+)7;k?lx`Qgr1@kdHqK6u3}6}uNv
zk^OLP>-R@pn?3~IaeOpAD{<je*D$f@$fVSjQ&YpHMMowsJ>a!)s*<+$T9N3;)YPq0
zO~bB5MW(0joyr?#76n>Wn>u;w*|2R9nwx|2Qddvq(!RYWB<f~fYWq|zZR@pfHU;IT
zZl5Zpy*2Dx#LCS<`AZLaEuOkJ>{}#gdF@irs@lI{x7NsPD7xUad#ZDo)f$;?mok^0
zJ|(E_zE);)(VbJA+IPdM)_jS2x%pE5(<M_GwU@7%v#kiUV)yx)Iopd4o?0-qJ4`Qf
zXHM#gQ=;03!`4OZOnbU(s-X7bu)K(!nNO!py&d*0VrO>hnNzmfkHg+YS0<z$I<;hK
zdzf5gWlrj;Q(LC0hs}$sOiMksN+#?4+C3XST{@+$y?*VUji0WavR=LE*!#6L8$MlJ
zB~$NPw97tns<6u(<@sw*S#G*;Oi8*sS7+nKE60qaPv@@L5SXy|!nda<G&X52Kjt;N
z=vG&$P<3cd@>E~TXGc~VR{!V{UK_J`PT}g@Q<CP@C0&ux$;oSbOU+UzT)#a<UFgw?
z+X=~wdxfNznwj0ak(1o*8|i1*mTH#jdO9~}tLEnj&|+UN>D#$)Hk{SJ^8d%yfbg5(
zb-!7&i^|Wpy=<Jn_2o^WSpD(`OYgLF7s-D+t!eRBI`P+voXhS{a&Oy-FY~Xvz3g_%
z?0LP9jf>dJZclMsu`w_^xxd%y_WFf8?|oA>sh@P&&_4aV+jF+rLFeU9SLv~>x_B&3
z`ge}W&5UgqlY+Oc4y_3=yLF^qEIw!P-D8T<vSz7eUC&J){q&dKCzfR>Tm9_m%SHLz
zrJZ;F<Zg1Tk9{-$+N*mzRsU~nJD*qmIRD8@=g(YU@9lK@n>_E`9PKNgKYuwU&$U(J
z_WWlrxr<w?o`|ciygyB!|IU>6oFS{Gh_kIb{hj?-h}Zr3ynp*s=B*3RKDyKW+U@D~
z+E+?HZ+ibE=JdZ0&fDS)!&f~2{^IE8sxLV^<BZqG<OgU^{d3%DZ*<v1#+x0#Q{C@b
ztq-ezdqp~Rx!&}-Rdq}9W@_JByUDctq~G7z&UaIv?h4!XwKZ$$=K4uzYooqqpZj-f
z)A>_7{v^+noAj|NLhM!cCa?Qb4S#Lpxon=gL~i2QU#omBeNUaYPsjSJq~x;tHz(dd
z-}Lp&`AuJQHpi{|S-CK7Y2d2w{jR^Wcg~AnvHhH_mEQE8?T%)zBcJU4w%I0DP58tA
z_Y<og^uM^Wv_59}K5gbN*PU#om$j$v{};9T_>q3G)0vA;o9z!c&zrL^;5m0GD)DuG
z-ok&!-*bIk&~qi8`)jD=%J$Udb*h4?(|rD!aDP2xAGtLD{OU{JpRM{LDD9rRf7{Ds
zv%cH^V!6L^E_}F6KeVbU&g8uKtNQ6qcRxAL)0=EtwfxN$TeGDB*H3uFnywExpMN<z
z<y@ZG#q8ioTOQ2uyFA@#uh*nAIh)u0FbjG=pZju?^SavG%hFeW$vzpbuabSXE%RVt
z{Na*^d(3oqWYlTerTx0J^11sfZ?)D1zkIm7I2XK{+PdrZk_q>6Hrv^RpW&Z1MaXvc
zs{PwuE>>F_xqbFNlWfNse~q%{|JfFKe*Li~gZF=RO!`mntMO)g`dUpjCEi$*^GEhw
zu`}QNK0S|(Y+0^aY&Y%C&wx!YTAp0mUfi<NebL<pmW#L5SS`}MzjqwDWq9*o{tOYO
zJ()o_inga5jpUG-<XSy<iErb2S0VRk)#-ZEd$c^hzLJsVE)kibs}OAPx#rv-FA?WS
zwyU0bJl@nYM^;GXou}Tc33n?mEm7I|^NopGWpYZN`L_QT7OShS=<#|WxZ+!MXw|mJ
z|69DyFMEEU>$0$s(ti^lZj-lD4}43WYNsRl=Fj<6Uj#k#*z1&ixE*pQmwZp2Avfj8
zx8qM<uFtJH^+kJPUGJ5Al>_qA@BF*$@%PPtZGZjgy*m#4nLTk=l-sNRW%qloM4$E2
zsL%U#)<bv8BB!u7^W9$Ax7yVH+wPlg7WDnknUZJjuUd;MtHi$6_gwK!W1Y&%@ZTip
z{bbu+f!khIZ4O%fI%Pwg{(Z)bX_dQN#a@*~uCPv-XQ$Cz+UnXl`*zB?YE9+4I{Vjd
zsqvh7`I&xh$*Dg}d*4lI^e?zu*3DFURIK&2*=cwGrq|P=MYagXZ1*#BaV)o;G=Kid
zCt4?dE{=Tos?PHe)AIYLCsc(z`f^>}Lq9cq?KBtl9eJ&;D{pMJ{JMMEt}v&^oS&!5
z*k~44u8+)okvi!+%dN9&Kh{6}n_V{Fb)V@Z%j2m=dh^<6g?_5MqIh!|>nGmXq7Jn-
z?k863#w%0?Jo~EWd3t%OmxO`#-&lsXqML=pmpu=toHqG)YVrP*uwTD>a^7v;v+K;F
zBQKOrufOr%l*Qh#-t)j_t`CRSJbBr@$&uUlhW^xru6Eaig%mTsO{uxOp!i-i%kL-2
zdtYyg*WXyP^26KWzkeH++_|}_{_LOZv-wy4M19UbeVsFI_S7<+CCR-$hr^e&$y}&;
zspGUD&2+i{t;ri^^NKySWmy<<d}+|tvp=UySgH5Z>u^it$Hj8Jm-@6*^~GZLdW$_T
z>RuG+v~1gnJ26>-cUGmn``f<z)6oXQ&5!iIUP}FTqJ3uhlE-%pw6}U#1a2>xpY_w=
z^J{B{{xe=tyHw`e@_A+MQjquPn>CedzlYe*Rx#E${nF3HUs)#o-+O89YTf;kE3<XD
z*3X+=ZLs5eyN7N?{x<jjH&&)|mrm8Wvb$b6==z@z+drhqv(=rIjIR4}y8N@^&I-P}
z`^8>8tDLyYb6ZrRfUZ$c{qzu)Yu|3Z*j{+)TekJQ^Lv@M#XtU}^Znek*O6arQ-7az
zs=akga`vHP>A7<@7v4L@D&72O{*4_ek2m!y&Td-SbaJ<DyuzQBr@uXP7wkR$sklS*
z$)5M@AO8Ki5>nx~_^J0oyZ@U#{>Cnpo4B*GPDgID#>OALIhVJmESKA=arXNzpBvv(
zC&lT_F8Ue65tsXS(sKFpUtg>@+;w7A%bU=!r5W|T*EhHRYV*0rx=H-~2Ca?NcNUpE
zO`5rCzxG95Bj@#7v~2&b>v`sEwC23ntJTx=TX%)2z0_KL>G*P;`ynq6ReDx!bDw@v
zVc)S|OP8#lp?zg~>b!UVl|Plt_qlZc=!?fj(eLL^Uwi)anm+~WbnGXYR(i3$DBcus
z{`@s(qxAD^uL~nr$e(VxYjb^lbjsR)Q5)5t3w_(0&%O1=8SzK6Z%b?|y!x$nx!CSq
zN4_mhx_+Mf^5)R0g}$8ESc?;*daee|Hrl^Av}!5O<)cOs=lPaJEOfd({mI|hh4bbw
zZ-379)p~W%3h)0XJ#_t845w~3tlbvS&AVpK>nlb++FPq8Pg<4zulxSr_D}zxP0co2
zcUxkkVb<fe-q~h(H+SSd?(5zCXu57)S8t*8_gtF|hKb3SjyX&B=h$pBOijLZOjz1K
z*JiU}^5dPo;*S<@{&?zGwxNjGy;~LOkN5sKd+UdWovQTwqsLRzC(b=uHtj}aR{G4j
zPs_q?Xl@A3SbpN1m-W%Iu$z&&>AiEemR-9UnV;S~x3|pe&6Ha=Q_?rj)dlZdxtX>6
z&^a^fsb$-4-%MP7>YShT?l&Q~Z{{vP=C^jPEqv!n`ue%GWwYLx+|Jy1IV*ktTr+F-
zH!@o;=RKb=m(RNWZON^dh@COThmf|gSZ1alIHzg7{Oz2L#n;YRT0egqv%xsy`J%br
zWqP+IHx(Z}w_)z;vUN9i=A|Dww_>hzS>COksn55~wJv)H+2*oi?r+347xyx`+cP&A
z=YqGn%)2>r<L5i)xUKcy?%DMD);VeG{I`2Hf4+B)-CF)_&8E*c&pEMo%jlGCIC3zE
z{j`iu`G!M|jm=K%u6$uu8f6<!9n50SmRVD};oQM0c4?WMl7L4Gt{hZjpDmkH81QVt
zor7WQqI}ydSCnkHdytPkl&{R{#?uA24(73&@@2`sDGYeN;GSc1vm1N0?3>~XPZr#C
z>~0QY|1G=461)SZjJ;i^#KPjug3FH6o0a+ctSp{A*wHM^w@dbm#f#Dl&llW3=*iB^
z2i*a)neUvX#rp?M&5rEivN1&kHxEu|*5+%IjVUcSd$5#Una@r34rqUiF8g-bJB0<;
z56)<=<~t^Pr~Jc#gDuUT?EJDeMIUY)oYI`h?k{6g`r*vMp62I#aTXOXA53k&&UeqE
z;`M{M&ENU#EPlLrFuD0WpO{tTla!5<RsE(}MLtW}Ia$<?YmSJOX3?fgo*O5J`h{6X
zK2BLXxzz8P_00z<izf@IFZDCCy!j@jd$N)GQ@?FiD+@NA^;|mntzVhN%A!q|PwJ^(
z^((VpSs3&>rGK)O`d2@xIVM&&U#HBUtfqd}FKW&ftDEnaoIS~?&OUdGwdIo~hfgM|
z&-L3iN2ajo%1J}@<8$W}Tzb3Yxaa!GcItoq{H!DkicX!(R8OBX2etu*$7<(`CzB?h
z_G_CHQ)u+&$*RfOe(S6y3yoeqX`4LTFVAx4+b4aKcl#ZibEnwo-IINj+5Ob!_*hlG
zcrtPFald7Ae5@-UJy|(9-7js9O*!f=mG^V@lz%#S(p`Q2oSL#t7mg~4cgN}!ZoG2T
zNc?o{nu5Rwi!QiM?4GLE6}zTr<E^7o;@PoliZ|Xn$|f!yJ7tGP>Bf^s)5N1=rtH)x
z-+0xvwL4c&D>kQm<6+mS-E;NIcCIMfc-ytNJ4(Dd=E}|$<rg0;x_C5B{CAAW&Wy5)
zj}~1$S|^^X$G5}c#iF}M6~$%s_;$Z2x%l)^NB3gAzMU5D9<_AmiQDQ`#eUiOqP+0Z
z(T46|J-^)+j~}h+F4jA@d&h&M3rAhWr^m#U89sT`)tx9F9vf3!c=u>Wcc8d=%$<_L
z(?@f~%VX}88a{h8ue(tEd#p`?;lrd$N1es{V{FO{pC;WpDlG0FYg26a_|eX8alN=*
z6;B^+?KaoDx2xj$qrKhydUm^hJbARayERX2XXKl-&e==zrtOS;m)1I)$-FhM>&}{z
z;5TVgXJ5^`wj=U&+T7V+^UQYKe6j3^@5<S}d1kwBK3aChcjxT2d0KaJii2OK?Vc^0
zx9ZNDlFg@mx6Zzsmv!gLuA7gSo%7v0o6Wp8@7u1M&zGI_-8@^&d~M#h-I>MUeJ*eF
z_;$W5D8Avle72ss`yH9m;xlIp&DHOe?0#8z`Sr5%zWZlS&SSeX2eQv4{qCIN;(KRV
z&71S|cI|xfY}0H-^YA-7yLY~NHf#3lJhwYNyLLW&wrqBEUf%AVkDslZZD{`ePS5V0
z@1Hf!<}{z2C%3Ee$+L~KHO(*Q&D&A=>e<ZMlIG{{?kV_u>8!T-`n!7yKVLg*ZT|jl
zO~L1jXVuN;-_`lD;l$x2es3F{uN%%BF5=g=5vkDl67VE(X?qg?YMV9RHXJ(~#sAu7
z&G!ul54-WJ%6Zx5eA;mHuo!=;+$r0fuK^DeXSaLt-?n}8A>e7^^7dG{Rkm-w1w3AO
z?XVnwsoX1DlZuQl8?GO=<M)-DWxJ&!<Lid|&a>N@<@l;Hz89QuZf`#<XJuPbY4Pac
ziuPo=zG{ny3vWC3x5x3<%KfsP^Tpu7!zt~T<<3=Dz_z(ad?~niSe1XeEl=f+M~OEM
zhw@*yiTQ5uDDlc+UVd}iJD&<}AC~1Wx9zFi@jUU);aYxv8=s09Up`zotjynU>r*-7
z+lM2EgZa<foT;dI_pr5nx?Egk#oLFy?c3$<RaU%z*xcSPXIJ^-P2$4ou5n`3k&jYW
zPEU=SRvr0p=>hMB)0OnK_li_UK26;^-8Al6Rpj&3z0-N)%&I`!P*NvPKO48LLi2Ob
zyVTXwx%6-E38}jIF13BSmcI4gH=lyurf#1urN1@qTgA%HLGPCy^j<uDZ``*^(0-Jq
zN4-~1{~LE}kIaXn3*Nh@JI7h=k@<G%<<irq1@+zc%6u-mbDC5CZd}!#FI6u;UwZ#^
z$#h2j<$LCQD*|nCdA?`P_o9QR7fkPt)2rP1CiTQ=QT@Yl>#BA>d%A17p#I{xyo#MK
zpH7>8JMLY@&ey4DPTT4~j(b;K`5^Vs=_S+K<K!wU-=v;8y=A(3+`OvFXQ{_d7whZq
z+4JSosngl|`Fr+!{dDehwZ8nGnlGPDo=(^2uGRUp@y2l>dGA`C&l~R?=aOf&<Ej<;
z8S!%QjpJJKt83SM+<5J{mHg}4oDUl>x_9;m$<MCI`L^+>`^^3#`RLk^A2*&YzI41y
z-nu5_=Z%-i)BCgJch_F|vEu8-<L-0&tK@m@q-x)M-FV)8a(|k<u3c2^o9`E&f%ik)
zs+IY4@!{hQ{eJSXc6~oAUOk@C|Jcs&$BS<lA1}V{KEJ<CUcHv*2XsHgxnCB~AMfes
zwqvV}`BHfDc&fa!-MSwV-wLlDH<iC$+w()>YvJMJzVh2^?tCjeemqt_+3wv>iSLE?
zk2A{)+o{#seEE3dc(Q!3-LhJnj~}lbH<mZHoA<lo;p3(K;dXJqD;_^y+h1;X@Ar=f
zj~Dm*+u8k&c#%GF{?WQ=KO$eH&z%3XF6@Wqhu{~>Pn`F%KUx>|GxBYE@BFQG*M3I6
zPj8;zTj%v>%CDPG(l^i7tvmH+%I}-6mLEEAW<RxV+wYqXm!CTCXTSST$nTqPmml+A
zJKwhM)t@WBGe2B@k-mO@ZQZOtCciU3UVfFnf4-SL`yZJvm)|{~FrUx9{cp*ym!FD{
zoDZ}={zv9}@ge{1^X2UK*8TeP<+tU_^aJNL?U(<Z^RXDV9m4p<^F{N$>-2t0ekwkC
ze#89Lb?biae3yRY{EGR~b$P#bK7GDzzIENZUy`4T&!68h|973-kC`8gUp`+r-@Q)m
z_smbmZ=ZM0UtTxw=gg0v@0{nh*Z;fc)8|{~rS0?o?)m)r-g$O=`M))vKHoflh@qQF
zhi`+*flCahnRNI!C^<Mb9Aa=~3}e&a+n{ye6+<@D8r}_h2YxX~Gv)9E2rV!<u#90g
za}H;K*aDja*BC?@x3R9^0q=$gWh`U6A-cfoz&i$0#w_MHoB`qs>>QjMj)8YWTo74c
z>EPXPjo~-*7FG*^2MG<|7}}XiSS(}~7(0YFC^Po4S%^KTXb@)H#r%ck1@8s%1@;F{
zGB7jxv0BJJ@M+l0c#hRV{()1&K?ZT=7_I`#0|5=%jBU&@yal=kzA`8?x-sA3C@?;-
zmSH>d9nJ#t0}&0?jK`So@PAM^;L>oCfuGri>x0FCkcO8G{!BK!A9N1*G(2ZS+1l_R
zw&6RY9m@}i2f+>J8O7KlMN$eUs5(w#ixf+#oFMAR)g;2E$+gMIqi{l~V;Fm+a7ylk
zQpaoTHw99XCrnaU>S)G#QzpfG!X|~Mj@#H)a%|G|NS*N3v5aLU*Cyi=>lCg!ma(to
z43bXqpRh~etD{tt3ENHSl=unD6wW$EHEm(LDZfPbM56+G^A`5!aw30{|2aCs(82k4
znwy*t+8<?Sl|A^Uxd{*2|A3uUwf^t!{}z9L*Z**~5&pY-zxThh@;Wknmn4^HpO~oN
z-YmmiWOJfZ;jUv<(-*dv+?V8^q)cd3Sl%>;uSo60P3R_z6A2T#9raju%A{zVn5uBt
zaUI)Eu_sj%CMqm;%wyRp`6O(@ZO3;Uq8#9D6CV}6BeqTSH`(wRiKbYAw@uh^8wo$D
zoFMKP$5tu&q;!J0<2|-Y@h7zt_#N%oeu_LPp3tf&)*2y`<k_)QaawDHT#{=?lR&Ft
zm(v=aK$)b_j;o5-S|X&AVmrPnnzh`JSft{T+2N~b)_y~1k&a7c$67@#ryTA;$)xHI
zS;bXOZ+JFpyOeg^Rm^g_(so05k)BI!N1H&e;<vUN;)^t0iaVwWtX2Hhp21zHaO9xC
zTSdOs7aWBaF6kZX1l*lucnfuod=yZ3DrtYgc~N?izDs?_WJNZoIb4NSM`jA7Ls#1i
zG%M=0?GSlX)Ui+?+=-`sht#8}j@OEAPCacq1Rte!L@VaC?+|{J*RfIHyHij54*5rp
z9i0M`73JD0L>?7(tQ5GcIIpEb>QQ9JOo8*xdpJHC9a$}~-gyt_N3$cl1>QT?aC|g8
zvRq)kvkuQ@jWdr7ybtN{Zq_;T$w2#%NQ)*<ut-|!j7J8m53S+btaj#>!Rte7_%|z_
zIcA`m=yf=UYqRB<X$Gl@rw-@v1`DP|&o~8MCm$@DmOdjkan<2Be8IxY%+Aa+C{28I
z*rX+s2fR++H*wbCEiIY6o9%s~XD}!7wPo@bYxua&IGkv8xTMum=vl^$<ix&qOTlH<
zKK?WA8Pq2JIy{HRSm0U6jLV7VS}diX#mxAesMjLNQ*3x<sloKaJgqy0(k#wgHMo8#
zhTm8y&E!n4f%)M(T*cOB<{FeA?rGgAo@R6AuL1udpO%?CpAF6|Ht0X>(>jyyv&xyv
z2Imi*X{nS$UG#phwNn0>^NjvPyVjpFX^Abaf@1CwLW!9zse;qoBLo*JI3>0$;?PzW
zagPv9ENw9ryyg}mo><$$D`@7X(HS6>7~FDJaGQ%pcYs`Cc1st>ZKV*m8*+*6Evq=J
zmEUv)$R?Jz%;MN8_|0WScYyptMW^JJy@KCdGrBIwEL3&MZuu*COG&1qz`&`x#aYlw
zNv7|D<U;L36FJ<KWx5M&4s~+e6|7SF;`XBZg8ajjmPU@{N^|-O)DGR`c&;?3zd-R&
zLQA)xp6d>oM2$mJISvc1bK4>Iu&QMu$6~=emmQK1!&+_&zH`|jov3qYFUMoSckUGe
ziAsl3TG|EWTq|S}wGNfEs0+?>s}M_6JM@`DUujRz2dzV|Ir5eE^nTDg^qWIosix<H
z=Aq{t+>3R(Hd&mSq~X0-r+bslsV)uHK(56iu91>UEl#b{SiN{n=O(jLyEI-e&gs}>
z=;b-(lE&;sIenW{y&|W4(uiIh;&M}LsnMx#8rF+KTyILIhEI8=v3v0qmzBMn)V*S-
z{L<hJlv@0zcay$X@RVm7x`9!P-}GM+123DuwOFR>lHk*VDd#j|1N&SorJhDic^v5H
z^0M!e@KSTH_$mK1)ED!(K$p#*bF&nGS~G<^kZo~HPm$)Sry9<I>s%!JicC*!)wsU6
z$3?QYNcq%RjqQu>^cAU}x~q{K_|8?bzsUYnvxab>+G3lYPa3BlYXk=_TWr($$>h{#
z4dcLh?v;X1Q>TOn#<^DtKh2#|9(d3Fr@+(XDgJ?W?vWD7fjvhJryYrqN{;M#Y8ZAz
zqa#pavBt4e5=RZgjz-8P`}S-#ymmA~KH0ga*U&3z%CQ?F$;CaohNqII9KRv8Sn1d{
ziK&L$j^7Ymtaa?1#O|b!<2Pg%tGVU&*c!e{x^g_D<Dx`zeow98tR$1;8J!oUlIwf6
zNw6o$^jwsC9MIDz(Vkp#>_u0h%CU<Q$CG6G3zgi;d*(^(HT;$I<+z1pvcj>I63dh4
zbQYRHSIrwrJWlHIHq<*V(N(B=te|JL;ku(c<dRj6W%NiJ<{jH1`nase+VI^miS9!E
zV--EW4dsr^=rELgoY>=TD0h5Dm!a%q&z|Lm^N!Bw{AhEmTS7m1PuEAQW3wglllOFg
zv^&-=A)j2+_0jU!A&c%uI(?f}&Rw!N{Ya;Ovyz|VoI@6_g<;1u`!;Kxdu5URXie{C
zy>q`Tq#xz<1Pd)UIk(JW_T!w+V6o*k=dM|Z7H&JbvIo3qKD4mx*iF&pR_ESXm=<O|
ze$yE&zTD2wdCoELqWQ}r%Psx9=UlV+{dmh!OM&MJbG})$KPow5DYM+zFMN)2Vc#)J
zvF8<YgbQ~){&M7H?`84j_UBGoFc<nAwUmACGiP(*xucfy&z<HRv=DzB(^YJFE?|y!
zVcX-F-eTQzUoDgi-5%fRC^kN~)?)kPJD^qV5p${wk3GKA|5@Rj%bb%I{EuzAK3kj%
zne)=Z|B+4aXPt9CbDkH*9jTOj9y;fG;k_f3($8b(d@r;+@>Ak@@SO97Vrmgn5(=AC
zIj5;bOiQS266NF)5>eCe+F;~Z*c8ecrXDdlA-AcN^P2jN2?@zflUSB=nyKEHli=O7
ziRCHhHnkNV8+090o8EGksjTqYV0>^L%T>-Y^%b50vlINAcCmcrloB#gyD>W<zG)fD
zS<Wb-EowLBFVH>M$ignXMcrb`0_B4bS>|%?5|Z&OFgdu9<+$)1j|+1bs5|C2?PK}N
z>8B>)QJ{73B}=-{9Nz-HgFjisg?Q9<%y<ygbegkGD8|!Z&V#I`Y|eG+5}pRL9=J8l
z=FC&wG53LA({9dVLU+6k<~^uuV&_y7@=>dp@gT72IOj4UAN7h!4>Ft5In#t}{68oi
zJk7FRXpi3qwS%`=-V5#V|DbsAILmyY8ovz&Cl{%7PuB6=WO8zo%IV2#Jc1@HG4KqW
zG}W_f@*1yARwrkvWKUk>y~*xmn~L=0DJq)2n>0^8Q;D85MOD*(lc}fcq+Cy}$vOU;
zls!Wy&Gjr(UFo;U+S7N^EtTp?S5#N}Uz)JQ@Z>#}-;+#KGyN`2T4H+gpGvMLpNi#-
zCAKFQs>pisslW8OH1&zcq{W_ns+RMfxJ-JdV(VEo`HSjH|01K44wHgC{nRZdKgpR?
z?0HUo=Y$l4lSfsiPm1v~n)1YJ(nFQ-$uZtVwkJI%T~sljbjPPi`{Y}d@=14mjix<`
zoAgoT`(zsrqlqa-Cl9OiPqOhdnwnyDa<Yp5WE*dz$xkXLiF?MWRZe|UI?3Ggo?7Mf
zC$*FKJ?+$fPI*#1sZ~>KX~di)&#tAK)0RfeOLFaM5^2@!3R>e6I43Ez>#F9pB@wfe
zV!OU-nk~68W08t$W|yy~+438c7U{TFcCFRa3d->goS9VJC9AnA=#9@tZP(JSyP8=+
zSC-wFyhzWrwyRB~SM%Gl8`BqQx)yg$6IrYIZFz=wp~BIFB5yVMmcH;Pv~W$&x*h-j
zo&9gO)Biqfzj;LdpW4h{wfD|{s+;zG^Pc%n|LVL?ue3k?I}%Cg&Hd%`L;rn!|L<#m
z-M9XmC2IN^m5!&mqv9@nYMLg#WzT}Athz`--`<B_*jK;);;-jxQ=JaAOfFqocXgBU
z%01JbZhaHKZknv`-@vFcovGJ1fkbrHr&j8o&W?;b{V8hN^-c9|y1)PJb$cCuqPiE|
z8OSdC_WW7;{r%T1UZ>YHzBhe_Vn3>rZq1*)p!R><;<x&ar&}h=`qy6EboA5u*>gRf
zZq-?z`d?`4n&17GH_gN1mOaz{7H8f3{@(P~zeV@5&!7YevRkHo-)t{$|NGzGW0Cg1
zyDQflB83I2TcYAF{HtMk`}@1p+j_+)wK;3dzq+3O_2j+<H~{{!W<~y&U!JP|859!e
z4*GU}W$OL?*E9ZmSFbn3j3b1D{`vfQ^ZUD0`rkMDFZUipj~rwN{Wccd()RyF`TogG
z-@e!X-d_K|oM(x})Sx*zVe|Z!?-O6<ml=NO#<?XHvwwwNIk!~grRDrbPF)8@#DinJ
z3N4QYbZKk01;_Ym`jy3Rs$aaNckw0rs3lsQnmg6@DJ+e+?%^f4=Hiq`appmHd<wOX
zzMZm2$0_FB5)ntixFYkT5na`q$AY^o@A`jKIO@`Ma!OLBQ=Z&1k#J$5ZyHA*iv(*f
z3$_uoS2}9^@ocb-|3{^xr$yEu`R`J*@yAZhdrK?kKXUHs*R<o@=)KY4>>`uy%Q~K$
zP0nsIIemGJNAQGY2EKu_re=0sUgNdd>g+6&?8|GsH`|?UGm*YLWr?QmX3ewDOrkGM
zS*q#3+0@r{R&J)&<sARb%D$nq=4O^HUFo;k+Shm1EtBd?SC+2yzdT`?;n{m8zb~0A
z&GfrGX_@KSe<rz^d`m26EVDhk&_p(qZ~04~%Tu3u%vzk;x72dpGnZNKOl&i&E`M42
z(!bc~ti!C}Ouyxplb_|xD$YE&eCLETgR@6XreBKjGoJFyYt}=P@XImY#kOZXW?eKf
zzjVi^So`c-lk!V<e2u3)i<|Y)<ojhC595hxMrRM3^k1^^GoG4eb#}6e|79C*<H^q|
zXNhOVEvuaRtaO%n=DlT=)1TGO;?J~O_H)X!;#sYdVyO{x5<T0NN={3Sn3w3<*2L2)
z*=4lmOu(GP(6+0R*HR*8C&spYl{8DaF=L^Mb7q^bq*?lnNegur&xv|upm|;+{dCyJ
z_mWRFgxz0n>)Eu4^%KXcGaBjFzx5P}yg#AgzQv;V=(f<*8#4pT*2U%BtE;T;{GAit
z_KIh>@hPDzDN{mMoYmO=!>ICj_wTqlpa0&U7kK#75rOB&=JgacyqQscr6YKS-r_kt
z@!#WozFv1;Y_~Y4c;=m#XNyi8-TATfg!Pl3*Cs49JbbUGD5R{*_QZuL3oV_!+ph8a
zHr|qIF(EMRae~{-OWsB2Za)#&mToa6&}^&n;xo@XVlE$+G+eD(|8@8OC)c<B*t)em
z?S+thP}^e3zEq2O4_(^wK0Zu+arVOWh4zO}@-R!L#!l%ySzxuer(L4+=HD4pek+Q(
zzBwiMGsHuucSkn=>D%Q2?@qPIG#Bm^PB)r!wm|Rj&mJST{HMi|8?D5Rc+z&vco^h1
zlf_Um$>0cE$4UX?;&{HP5>wxcdFXWAn7z9w)9Oj{jMI{-MMoxd+^o<@&(u(sk3OL*
zGuivwSHsCOmab3Moc5-9PH%sa<+l@w5i0qQ{EMQV-9L5u$+{~iZ|@Dd_tE>=TJMYJ
z+Z^AVir$&Bw8*k+f2{1rxYpvspQ9%$oB5x)5xle2xQP2`L#M8Y^Vgtt58lYkn-ksi
zy-4)j$yN*H>yM_y^eGp){(7?L%Zbv=soupt>(0%UH+%eO`|QHhJmXnU4gORJ+y8y5
zC^_A^=zY4gkyZZoBV7MV%&wix6=2r<_ISgbGtNbJ{HHW?CvU!`)E;)`Qq@kwB#$Fa
z=kiWY<vCm&tu)Q^&E@o+q4H1j_D(idJN9PPj%1^l^9GX=L+*G==B4dWUALO2-003(
z1GRN4cpifS<6eiO&|&3eE1V9ki_qNY^LWz2{kIoRpCocruH&bmcd(AT$C*plWmnC*
zt++AkbGb*)gc%weE0bQa)g`y5P2B#_yzE6?8@ps`TAj?o^(K|^kG_^GZ*lI6Uo12A
z+s(HVm2atCFWDb6OUyDbX~K~t*;%ufo-V3RWB2j7?z}T7Y4wqkvxRXl<Nv<1|C={0
zP2R9xb}8dd`@coqN0drWWxkqbTpHtd?alk_vbkx`SA^TO*=d)*462*{Y4;cPZQjC?
zYWwdl{n_(bKX-as=Oh1|>3M(H_x}z*rI-5u-S<=bC;gw%b!_q<{y)+Gs{dL4i~cA5
zFI-GN;Ubfm-h=u__0Q^`)IY9&{{O-MC;yXfGELJ<ILY)+{Ga^4e7UrWDGv+VR`Ohy
zoY(Q%;P7T1W662x6^a*353lA~Z@lN^2eZT0j$4^ZS-aAHsMwVi+NMNKNiCeF8aXX3
za$0KTG|@<|O(JQUr#2aR7fuU}3`>ukoSHkWH1b;d%?YW=(<bRGjWkQWIVaV7+9sW+
zk=xQ%p4g=8ojUDpWLe6}Q=5!WuhY32S(d)?WYFwX|7p8)zD7!IGD*8RJ2igVGM%%L
zQJc1;-JHKv_jIEU`{pg_mQ$80pMIz_H*(h|nUh5(r#I>x-#q8UrMXMhz4NE-)A<|e
zmnM0lNbB@Vo%Bs}&KBvN{;4Cri6?F6jHf}<PDi$FiaBXC=V{io?8tTLk|&L3J$0Kl
zJ2EeI=iH}$({@K5+jQr&(Y&X1)7T@`Hu<Dg&UhL)?Rey}O+M+Blb&WyOOH(3WOM$L
z(&^JW>o@H=_et$^^v9Vx3BQ@N#5YtkigK@rbGXe^rn4Z6(OP^%He>zy`$3x<>JQhS
z)L-%AeCOqT4b9vZ8yc>$ZqZp#$>_^{V|&A1?u;0R!%VNlH&ii3i*HD0+{%6AscPn*
z*{5Ig*B{=k^L}&9iBE>7m+Q>mtaE0g#_>lo-bFfRH|iY!B%@s<@<iiI;FRRlzDF{v
zi`JamsCN98%<G~x=Qk=IKPIDU=~bL_YNO@xX)>vnr;2mV22M<l?mH!OyZFtCz^TdU
zeX*9Sir<_IoV?iV_&k|X%U8uFPcqJIG(Wyi#@BLI@s=kUXE)lrNB1#X@;%EqU#Q{k
z-gns2s<`B-#iYj>eaV)6&!>1VjfxBW|E1MjL4QyEuT|QUr~B^T(^B>H=@ni5wU0j;
zS!=C7sr>2I>nYb0+xMv5e)_f2A#U}fF58KVt=;|m?#a|z{wkhx#&E*pkiN^7=bl*1
zejL;H*;4O`#F;|F<4a|x7xO&bF)7*N_*I$fMKR|MCncL4@0BqxzH_S3`uJR#^5UMS
zJEkYw9RDlBU*z*-#+i=>#}~`=7yCS&aqgqa@yjyji&#1Z5A^fv%iY<*A*kjgqMkKr
ziN|C`@4o+C|EI@VbFbQU|MTCtt(WiKeEaU<-MPhnlXk~E(F=dMZb{I}IaVj{?cII;
z^BU0h;kb~cTIHIeQ*JUABnU`~2uuh}2@()-b#R#@dNeX5L_mOXs!m<bytkX@r+<Gr
zXY!r7)-fmkZ@>2a|KELf_xEeK_DP9GnbiE4*ZMy4&%CAYfBvvNegE^z>aczBKW}~u
z+WgBl`~K&j)%$ABUw<F@f8JZ6GLIXYim^^@EwMsn-Y2F^P*sd}>T8MNtQ7jB`ex#W
z@I!W-zg0y%G^PZoATD8yaO!Lc;!G9l^U#=H5aQI@;>8&%v`Q6xD`Rg<6lbZBpT~;n
z7Zer-9`fY$6`G~G#Uo?t1+|5-hcr25h5Wo<Ou3-CFxsiVC5|&)WzN(K>I>r!S#mN@
z>UF#MW=8U&>MWP-bARr9mnppWl5*7B<+)dOUv-;Zw6(i#l~`=Fs?}DflH8py9vEGW
z*t$shKf^&s&gZHz6AV-yf^KwdQ;nHspp+PLXhw^+&@t7RsRoJ<XSH~8URS*{p&<B>
zF6VaDI};1S57}~lSM~9%P<XhoC6lvX#b(+EmqWpv=T&T`e{eeF&1np~n=z6zUv<yq
z4{?X)v{Z7+tLS({Oxd9FaB)j2=X@3Elv0jOVJgyPYrP^Se~3IJ&Ka+|#`}g|YWtMA
zL6?@Mc-&M?-9E)fGcst?(kC7#r%qB=jXx!)S-tel<W2Fv)^Gd!)_m@BkFWF2eB7+R
z>i^ki&-QQpl>b*=xHs(k>-xPncRhM~w!Nu0{QvIU`hV~1m8V+Nt^H@8BI2PrB}nCI
z!<6PAK2J-XyW8GQf29A%?bmDZ{WpF^ErZ_pm>SgQp*g)M#H)3Rmu6_ts--fMi(<Tb
zr$lL%2Kjlc1Yh)c%2U%fXx7p#9+^`wsV$8?rKu?!<mdfz$|cpM(O&&i;xyBj%$a&g
zeQEqDOHJk=wxx5X7df7qFr_<44|LIE<f)D+fttxd>pUc<7loc`nUWo(wlrpXk@G2E
z&FxF>Oe=Cf6|4Du$(`v&s!toIv<EF)YBLFX^`p(?Pcf&sHT6MvK&n37I>kIFZRwt=
zpZrc$YZeDRTUs+=lR|3XsYz4%gQhL5nY3vVm#k)Xke&BWrKhW>lxp5ieKTQWup8(S
z$lWP#rcKmT_IBIWBO|%f=u?XE{u|qJSD#z?=G64uJ?k?+%6&g^L;Lm*8U7>go_oL7
zZn|GT<=0xT{AoMC9iMt{P2p^f%IPag+BLpypDHI{`c7O`UL^GXnlBqPKD!2fT5TWx
zMtae)$&2m@-!E~Q`C?+oa*_P4Zbz@lRw#AZYIp68d=|dwm2vdcxIfD-&EL23OWMr&
z-CpPa?znV+nq}zMxr@%cjGlT<=%|?KJ&m$$Z}jWJU({dFkiC@uf5E@mx%ImLKJ@SZ
z`_?|=|K6zQKjiB_ox1<`>9+XXeV^{yYsuL2oU+gCUAa*t{-1{0wtfHSKDu6B_i^{i
z-+mwO-rsC*T4BVMDss|7;qihVXCuDT8q)%m7Kgaa>`^v4l`1neP;qgP+ti*c$>S+9
z(*q~pnwfItq=n+*IJf2=H%awWlam?KE-Eb!b(`H&Cb>MN<b;Lp;$XMwJ$aJ0MpdbE
zCKiUd&F`s`e4fH{LSjl`+%Z;3XYkFJ$q~m=C6$fbQhQGBP)d$D7Ah%hwC?1LDTT4e
zdPCAr?N9|>bGdTcltTYwwUWU`awjS@lC7?uG?F`Aq4aoVPrA{((-n%3m-a|Xu20!B
z?W5bVH9gyn?wzdAPxd>ur-$E2407RR;IU0T{zhRbYfeQ>{%BdcKDB1*M!nzm+yBng
z$XUhy<jsW5!G62u@L2AC@@Cp(O?7X-ZF6KScNTqm^5pbQ<@EJ)YKy*|)|?QmvE1Vv
zBkYpPjdO%7mlmCRA~P{q@A-;3T$WRdRy_$hz4-PGn=2o)Tdys={OZc1SC)^K=oG%n
zEA-iCQS^C(Q{j!eLWfR^#U69ER~D??Q8LqFanj?SUggu~efNL0Wc8i2)LZW6w|0(|
zrTUXAr!yy9)_A^Tj+*7!qNpcZPGwHMtoMA%96rnCPfJd{oOD@txx3%`ImSijPQ9Fb
zS^xQ*IgFOgMdwcMR7m$Y=W02<?nle3e7nTW7RsfU_}@RCVzJD1&ULLmOWnRVLg`<+
zKG#{SjGnWx@&;?+hii%Zf88y}S#mQz|MzM6efMUpS^NHF{n}|cz4QOc2jBb}z3uPS
zV>|xV#r^-#x?lU>(QW(x9e&>Qf0F%U|DVGDxa$taf5`uF{g3v)Ik|r(?SHcVNA`pK
zAJPA`>yFl+tv_6Udc&O4MQ%@4suqGS<<u@Z_B3XyvEuVtb384tKfN=dIQX2d<@Tp{
zCKiXEv$gyVx~5a%`NBDwmi<pws)o#qvQl07^z-g3Lcjc1rheJJa_N_PueEFXpRSbs
zdVguz)&G`TH~-ULdG~9*@7oRkqqAn$Dm`EMr@ejgf4i?MmU!8kt_+sW&YJVYX8LER
zbKaK5MR6x9b)Wan0UbxaXY%K`b93fYTFO6x-T}IJPHN?Qf3L;=rrS;ae}AR>$N$-n
zXWD#CnO9}^f1$%l-52(Iol5_2dcH4YXV%f+r-dIABK72qwCn!l{JeasG{>f3p4j>6
z|2>b+{`+*B{auYXttC&^oQRydS?&4eIr>HWPDM`N91FfSbcyzffDPUU^H^tcZ4yb*
zJ`p%!wSvFnz9w6)Z(28&C+u%xVr}K>5|Ifg2so(7+Ab=htq~ZoYJtx|LDr{SeOfC5
z0#+{Ya@^XK%+;s8A~0a}0>6WttY5jLL`<|SRxa>#+}tF_x|Zvf$QEsjr3;ip*^q7r
zebCdind_Ix7j28>2@VGrG=1jM(~t-%2t26DdYDU3TO!b4Rf5k!QP#&?>$GMB6!;!o
z()5}uPh-c*2h*BB_lWLT{a{|xZ?0t`HUS?34sL03=aSQ|SoC0JQ##i??TUpDmNrSU
zt{2%8_QCDonx^es_cSZkC-@!Q)5OmuCZeMi5%eMO;HD;jt}u}`S`on?A`gnQ#*41e
zzOgQ)ebQX7OQ1_cm!@o=<f9ttwQ1@Tjgz61maD{{lvAyq`X+c&yl3MiCskK3J`Kwi
zPbN${481ZmXxWpFNkyveQ$sXXh6F8L5_Qs0_4w2)8Y@GCmOp8M-V{0~<kFHQk)GX?
z!c=p;ZcUvNbZOy}EtC9IW4(4w{i5+Qw8-sbq-w3#uc>o_jTWQ?oUBw8pTeWLbH$TM
zlM+>@Pwml=3^iKzq-#>4YWP$ijh)L<f=-sInoqeCQsjLySG9b~ozNoxleMbBUUC|h
zD^j9PD#Pv$HC8<jxhk}0Qn*)~cID!fu#?fM@2BhuH(H(&camL|+e>V!j^@u5DUm1D
zRkgjsrmoTax#mgtr1hZNM8h{aAN3P`sbv)MCTL?c`t_mxU3;~@t-P^*k%Q~PE+tX+
z5SfrdkE4mAN42~{rmWHkE_89-*|k_p3w&#6;Mzwsx*ls~g<M&+BDm1Wb#E7&Xs?zZ
z__EMNkUK)(YWb~v5l|TAy1YwI^sZJ_$d^?Xiyy54-w}Flr9^<?ibs38xV73sVnPZ%
zk8bEXt>qTdvq~bk(Dmq!uGLy<Au+**D<AFZlGe&wwPWd{ZC%z{?^f+t{%Bv9rYL`i
zO^D&zM-#h_Yt36xvFg#xuIE~DD=JndxgFIOT_3t9&~WvmwO!?_baSul2{&Ax6nB(e
zlv_(IRA=Rn6-kjt)vun{-ohC2Dq8DWNKM#B*Q4R0ueG+VzPT`M`K%IC$*i)KH`l5K
z`%ascV``aIboI%~li~R*K5hQ-CUA53Sv%9;S4CE6h6FEp)-bC%i*Kdnnq?8bowI^W
zQ?vS3Xolze#Ply%5PjnFe{GB4LtFkUSiG-0H)np+WF^&TgGqWFCxl{BxI(#F3^a3t
zG(<Uz_i=S!Yw^}Tv`F6O<V_=W)^p1eG&}4#cLZ_Ch6XQO7PPm~r)!p$>D84>{r_6$
z_N`tSm~RoVdYRweMAuWj!qD4D^ED=3daz`cn(5iBs4LPvTUMEiWCiCNTv~T;gHr1;
zO;gz{ztxBfNxz=wC{`<-Fw4&L@5<FHB?F9C+*^?LNIJ#r>`ajvHi9Sa2p+N#Ik87z
zkBu;kFL!s4z})cs3}bx;Ps1X`ug`+zb-%J*;aR<NO`6Nu6|)RY!>{x#zqf5x=arpV
zYFA^zi=EH<nr^=WzT-63^!t_l#_G-qlk`JwDo@b7VYIw}dr=Hy;4*`B;hDaPU98sZ
zEROb9m$^1v);e=@%99xzQiJCSGtK8acv}8P@t^<Jx$jp0KYS}EXtGhd*I8y${;NK#
z&7V{*dA9La`LiVlDi=LlS=Br%J!{_T%89#_UrjMf-GAfT_WPQ3pU%JhUzsa5JO2Lp
z(C7B~=l(zXwRB$U()*fqf4|qC@0k_8@>}p{x3g<zZC`oMn|01COViI;b}J$SHm^wY
zJnL?HI?HZlWZ>piX})LEP2;cBgl?WUtBTj!<jocEy{dY=yG>KFPF$L>R?*#g-Lv}p
z-&UV>mYwzf$^R{dZzBs&R2M#bw)Ofpy`8Z!$)A~aK3e7d>W}^XZ_9%|>YG<eeKLJ>
z5q9%xhQ^hEB@aQz(C}qitXUWVKG`N!sxL$1dO?VDYnvBusMIP`nac$+&b@6>yroip
z87r<|Sg<hguqUst)GX6285vhEEL#|RSd&*)$}juHl?zK3MmzVn#qp+_%(;4D`NH_a
zmb}bTY^HOr7dRfC&<47jRpMGf<l&CCK;C4~$u-vtLJzmJWlO1<##}FOMn1XbyUCsF
z21_3{wzW$wgB({Q%<FGzbNNHeVQyZ1(=!<rkn?HMO!r*<;CHy1w^-_#Y0ZTV3la@O
z-FW3qb+UgfNpwBDs?C&lzG=<H521&5wY`lh%ec8x6?6-0Y*bnH$t#nVsz!VFO^ea3
zjQX_o&BaaOr|ooqZxzYVyb`qJX~VSUD85X~HPAa(Q=|GaG_Mzhc(+dT(hZGTwN>VF
zQH*!*v?$%uD8CHIRja2xb$z2|ZQYWQdG*q=rLm_qb!DUcvR_`gv~+2-cmK3F-SjPU
zu3lQcH2$=uE^`#y);ZUU98XV})*YpnDS53Z^0Wt+lWua<x(vzdMWLr#re#N|ZH>8J
z<b2v!cl(w**NWUv$LfCHa_73y(x;8n+M||jwYdbneAVVM^iY^H8I?<)Zk=Wxm9}-y
z)lYt>t96T`o^7qUuxUYR;OR-z`lF_8t+}*mQEKSvS<}u(?aTPNDmC_WnXGlmn=2F7
zDLWt6ligj4cK_<P7dKWX&+q$d#Z@BmO5;+Y!*NI1_7a(Efom4KxUcLpl65bc^4ek*
z^xD;{R(&rm)-85(U)yITt6p;Db;gB@D;_Ta-?kc60=i`N;=0FE`uJp*mzKPGap~gP
z#qRFw`;4v5fiGEoJg1LQw%O|3>m3V{J&wD|PA}nky<<(X%kdR`hO*%$J+F7HN_IMK
zDm&R~-Rm6-A1~{Rw#s|GWAWp4ebrX)UeCDn(c!qW>}4ytmlbOsclIr}n)ecVB1~Gz
zo=YF2j!VmKwz~JKV)^5};2T-(UPN5jxFXr}xV!9WE4!D_i&)cT<4bC;Zd{kVd!B4n
z*(=ZMlNY8>pLe&)=xfT$lh-CMRuA`|H?OAl`TU&QY8JP?==e93coqby&&U+2$axf^
z5}_p~B`e4`m4n~y=#g>`KQqOb!gV>1CK@T2cE3NmX8(`0Dfc@$@6EYYYWPJm$*1^8
zPg-Z?S<%hEJ<fdZQdr_#@^MqfF42n(rb$(+j#}__Tzn~>ToTq3vRC4WkztB&l9KmP
z0rT#MuSJ?~D5+)o@Z9N;xKL)eX6>T0yITeFk2=_h94>J`b7Yc4E309X{1Jw;orOP@
z+!Eu?JX$j2G^gR>>Mw7uZuUQ4XUkf}^;P7h<$~u6<~jfB^|N2IJi@=TZt+L&uhO-#
zy0?C=c=6in;<nUZy>59ze{bvE+T*_E0PjT?f7j@>onp~qxkj2%@7KLJo_8_+^P+|K
zy2QM{M(umi7xI4juhf_?6{|XpT>95^=XrfSJwu|&&QPp*(O>;nc7KX)$LE^$eY>vq
zHsAEs{XZHPPSwvzt`|sZT~xWQ%Wt)K?ziKMazb2gZdcqEza+Ks#m4!(8_(AKzA3O<
zN9o<PjO$ax%w6JqyWY$a5H!~_%JpHnd%~RaTiloD-V>wxU7B*;Zq`l=_l~wSQ{MIK
zw%Y#hzEgBNj#TUYvbp+s<uSjlwYxf2x(a5jyy8__v1~?D$n*7`Dba$O)4R6HOw63k
z`7QE{U#G{aB*EZzm*?xd9-I<34pO<a#zEz_-NkQHmDVhCb(teDv%uRWY%0f=vm3HR
zUIywOTjkhuK3H(l{bj*xCAOq1+0XA#sZ=rgaJ$83tEfWtQI=ZihWve;2LugE>|Jh7
zIk6~kV)gxF*I5nUI43de_dRrW!z|&?TP5RTleU-(mm2TBb|mLtPTAW37M9QVb8eik
z7O{+DW6s1VdzBk$HK~7Nnnb&QSg9;}bDL$g(BqmIpOV%YAEgA7z9!u;|GYN1C$W9T
zqsh_9_cS)GcI~NOFR&o;NYrkRZM&llzveplc7EKi{_Oj<j@{8p&YN6CmMpUt71ZDE
zl=<m-QD*Fn51S9}(D?KrJvqzm$jdaJ-foFMDon51H+Vfw>GN2^Gcj=Go?V(8UY66k
zmQ4wnJ#ngjQkJ&R^SYgH*Y7t>e|+7_-s$-XdH3Z7*~Rx<p3fIdf70K5Sy5o8f`g>U
zjyb{?zHpYD<7lX2af>~)>{tGdpXqBP@7`Kn|KQnKF^$<T{;aX+XEp!MVqU*N<$Rvw
zW`#R9b)CMjX9WFPvf!uIkx22GzjRGz@BYZAc;(rlJ(8DhMqgXg6?k7H{qiiK#Iw)1
z_G+GEK6pyae5unkdxzyt3pSthJ#7By3U_4PDc_kFbmJF%{vuuS?|#wdm52FX{BbXy
z+?0^(Jtu$d&QHhJdVc(PIreXKVO?47x&I#z{gFTD`&Z0-_Jy29#V%{aL!<A^5x8cO
zxASu$_uOr|NoG55E?Mqwa78cW=SmCyR^?!)Io0+X(_(wRdvEM6$t^Vgn_L*A_s96r
zpN&T=g6AYQp4(CI`E&pE$Fq~x-rVwR-G;Pcd;i86ns;{oGk)>MHOu<mpRXUwi{9SN
zy<Mjod}9A)tt0uHG_MzjK<{i`6>NI7IL5zsUX*QVmEVh%*Do(v9(djpd{y(7C1w`3
zxmCBm&bf4X;qxu?{A^=2zkT`g;-&A}<f?O!lcna&W3+9qI`?|#f^?7bM?)IF@Vwr+
zCf((HMwa8{V%4qtUhZ6#?sVSNc5>Ca<#wT6p|;zD<U`NTy>k3ovH$s6+u$m>7nLj0
zqs}Ya_J6gx_&MafvF-V<dpzzc+8VDEdQrLddGEYP+x)M4E`N?YKWAR0t^5}q$eqrM
z=cU@t|FY&q<kii~o^PI~zcPB>uKO#Cq;Di9EN?7fmSiiFypgML*<o5^4znd&5$_Yp
z6XqL^9{`;Z^~O9PVZjB5iHHlFlbBW6ym+TbS|lyFb0Cmelue5_L~?~0_(o?_wk+N&
zk`{>z?m09!x-qNs!j717nB7>$yqu>*!XkIUWryjFdCazKRlIXRS3J*etYd!8!y_SK
zQgH78E3-43p45(%gc}D^nU&exczYywBqiKA5XvmfwoY<}3HXX<bKW~f1-B2#GMDq-
zF)p}&U`iu9=mKZ64<`;JGyC({n0`2OpqN>oXOD?NGW5RY8Kxhu9k6D8&wEC4hWUqs
z2bvmPnZ4QUq$12doIKFg7|NW@W+(k4>A~v8Qs&#eZwxkF_SiL%M|pS88?#B!+k=&N
zx_#<-B7HMCW&Oljw{OzWL!=m$*?VP-if(vpoG7Hc)a_J{jA2mTlNA%Wl&89_>Ispy
zOnb6pqLlJhw^u!L41)5P9Q0T`akAU29uw(I!%JC9&U);g80==%Gso;w=91GM+b7B?
z?{zyTVF|hSvDl5RheygX|4GwCMP>2c7$c*cCz~b;Dlc|B))QlBl=o!SL{8=FJw8%9
z^PaR#ob8q;xij}k-^AT+?<9BTr<^*$tjyo*BVC#DWaC72w|P>PX-{@e6nBf0nrR5V
z-tml7W%iS~6TiDX>p3I+Ga=>RiA57tmF0VNq<^NQ96hmWqN(!y-WtPCS5NGk_*SiK
z#*Iv+yDn{=v1(<rPnb+hRl4oc*BK*RsrJeDjp4@YN9=@u`-;raFbPa~)BwBZ@rFxh
zXOM8JTHg!}^TI1Gt({)Np=zsqWsD2&xb${L374w*%~)X$K2ph3*jH_q@0J-ErWex|
z-94fyEUV@>`-REH)J3;l`a9!<(|zWcUQAzf|A?h9vl^T49P`41M<#T3tLe>@Fe|)y
zq@y!XI9YAo3<>kXt4CTov(?mmK{r7j@fF_gbH}Xk_>oxQ?>=|T4O1UAg6?_rwK4j5
z<I3*b+xKgnS3j07y<h*mTw3m*VaRFLRpG6Eq4h_uF4T=${=Z{sto_E4y3?0L_Rlu2
zoqV}1f5rSi;yZTf#K?-STjp~2&4j{<OzAEHI-JkNS(d-wUgBGNu4h4M;0s&r4Bwrz
zUTvS3ALDBnt@-8`<6f@ByA20c#UI%E@_D3V#%zPN?mw=nuCdm=W34HWC#qt`%|Dx^
zw)fC0-@V1HD=O`e?R4Bx6(SL>y=U$Z&U4nR4TqTyA7(msGGFBGl;W5OD>b3S=^U+R
zU2gRB)HJ@D!0>I7M`Mv7yQC6dP}$NPpOvP%Dn38ADOJRX$?0)Q2OnFuphEkPkLIkV
zcT)?#E;TSy&Gnk39_=T_@jWPNS;<{>l|BEHuN|vX^1OS#(sYArXe-0pISm;>3#K?#
zJ9%7HIwK=gH;r4@eC3@lTJ9EQ;S&EMEB0yK@aLSbZs6bQ;q~5HDQsR>jD(0#8i!D@
z!Lp(!{X1hd4#<cXeh5&xGNoh5aivbP%UZz??rabhyZ<V7^V09~7iwKvj><K@Qa+f;
zb#)5=W&1Ky*8GhRl0q&_{Pealz~pI4*53%x7Cp}D;9bkE^;<f=lYUs>^|;J2b(uoR
z^am!fFJ>Jo-fgfp^hcQfi`BwWaw0$O2*~(y%~E3tZfBXw&a#=c=}v9aecMAD(-gmL
zcaaxcVV2wYjX6G^_3L4#8*Yucy#+g>X2fXL=!qVy>;9&EFsEPOYiFi%o>j{+f7V0H
zO_%raN|;By6DeBurzY*uPxF>#0(BFAMNWSAJ$vPw-SszCTwB$#z~5=^*`hjugJ1n7
zOk4G|r!!nFZgxd-(zPSe!tZ_dm>Z@i-8;fA%)RXXpSUNz|G)0n{3Knt_xhux^+$NU
z?{2&Dw0_5>2|Et_?&V%`=<)r3|K?_jdWre!%>0p&bn}S1u(n#5@0yuEavpiFnxu9u
z`1?ihZJbMH|8Kv)zxj&)|97%FUGpPEr{C24_wLs*|Nl3)*-w1E<WkA;ZSh+5pYP@$
zt(%vaHht#ZG^4XAGf$dLPFB0_GjC>%arK!urkl^7sWWCx<2oxc(=y@Nf|<@~e6uyp
zf|HhA@tHYOIqlS08PnjzWtV)W&df4Cen!STIC<GMpSd%Ip~pWJU-FqeGtF2xE$Zx+
znVIIrr+nIHUQV+*TQc*d+2!PA*Uv~Ar=R^Y^QC$5xibwj9gUx#jWIAzdA4Y#cUs%o
z7&GIfv@2(3%+yXhb~eV;IPux6nV!bi&)zX8zI;a4c>CEqhQ-&<*cyL7>oc=5;n~8O
zna2HRY|K6%ITLJr{)~<J=R;?_jg8adW>)4t>zx^CoPTzY@#lMI=FF@#mOrC2BhqAZ
z%Cp5YQ;p}JSu-QjbaUFX%`^4W_APtYGV`riS;UP@#k)>zt+8Td(I>V{NL9S;)Ylrr
zT`BfS_szx)*ALlo|JD_W(AW}?^01+`S&T2zB4^<Zr_R<O?o_e92#xIpSDac~y|_cg
zR_V%YF1X{=+Zx4PD&`llV*7=Jg%=Nba{G$S(%lk~vGqdQ!n=nwxn;%tqF-#ekh<`;
zQ-5n5ce>7;tryZ4-all?%`C>I3%cj?(1g}*F}+BMZ3Q<Eb+m%6>0B2fvAy8xp_bNc
zF*V(o?Wjixeb>3O-5~X0V{5zEGF_WZA8s5H=JwaM+5F+oA#QGc-7^stsSmfdnv13B
z?%Dd`+@WgjVzFnsH5;IJdiIM=)2-RGAt~|dp;@ix#r8$~$V$9>s7%{>&6_Qg@>CC>
z($n6(HYMuhrb)S~$Gz4~wbA|=_ATOOcIy18f5W)eh(u{_Dmrk=QM-MO%(kGMrANG0
zPBqeYUo$1zGHdA}uccG9w6BKsMOx-9J?6D`s+G3-nk&(n8!lx$T{2Zo`)pX$nk`Y8
zn=j=(oideAd-+<V3p$O%&OuHPnlqJAyE*J!^v;CT6Q^9Yr?26O-kFnn<kX6(hT7q4
zdZKq`r5-wEsy#VuUG&bxr^}{Bhvh}@On$m<YIWGV=$V^79XRC-y1O&7GUsXM)a7CG
zA}jNrwoaWMmbPZkrcbv{No#KoyBAfN{&eqDOYP5Lb`g;qHf5xqJms!^I?OIIa^t3~
z)U&73wd2>+Y~7TXy1Q4_tn3!}9?$8$cg>7)Q*NHvHZfWGy4$?o8tLksH(NKJKUOEr
zYQ~i-a?>K=@q%7wGrrpz+X9moUvZn+t88{ES7vKq;^IqgQ+u<dkLSp24@_Qs&24V4
zu-U6zlN%XZ3NN`$?oE@{HH*sKax-Il;VHNF-pgiIxg|GWY`d7e`1&zP>Ga$$pc5d@
z9c$=ylm=bUX_)eOQLndITW-uY!=&UZ$7b|un;pxI*=m^hcvi2c^!4038wxKU)0N(y
zduL<e^<%cu-*bI#RwO)L*qaGHh2Z0nW5Lqrb8NPMJao)k+Sn}aW<~Df-rh**{M<d8
zKi)ewr?*mCK1b(9#FmXIj~Dl*O3%+(b0cEw#<a(qd-cus-HO=0@$NZ3YwNd5ZlBz+
z`SiIw^m{gK%f8*ZnV!CXE|Ya@S=Sqx4aFDEDO$I`6}hdsF*s}anR9~HPs{plt=s^*
zUej;u+~l&p+bcH)XD>f@j??;UnbaGTTb7yNTQ$YNmuYUfZJE0K@VQLuxn;ZFl-z!~
z@pAU_p1GUBmuXt2ryn@CVD9HKy&IC7iZ7m1wLT0wf?%U@R{EK9qSlYg*4>)9q4?~%
zC39bw<=xns`Fz^k+hy-=?96^XZ|?81Wp8XYe7<mQ%UsYA1eHn8SI$i@n|Hf1@%hrZ
zlGf|r?AiAD*ts=xx0l_!S(y*Md6U0P?2XQ?$W5Owp4&9nA9~^D=bPumt>fRWxqb6p
zLVNREzDu$x7B`+IY;X2qkL24V`^4fz>4fJB_YcZ}PZij3A9`K^pM}MX2NRkP^L5Ei
zvCt?Dc=n*9xrp6eHpF5@NdV|j0z>xWvR5otlm<M1(9&GR&dVnyJEsJGC_ygYE!jCm
z7al&?((K0`3q69M;Ml=P_FBGQvU7?J9wb~iSjjFf!(+MQ#e+%BiR{y5dn_bM4W2#d
zYA$3Cm-VsO@hsue!BTc}nL8x~rw`_`m&@EK1>dU~%qM42@gm{YL1p%SS)0NSR}LDp
zpO@WJ@Zr+Ip5}1AIO~eX3D*urv%i<wQ*Q7);od=Zc5Xf~SslwCFA{DZRA<-b3zJ=A
z`Qy!l?&kG;*JN|bHyu9dr~cB<XwI9WO}9OlP1aGr=~p!8iPg#CN$*qoC-3$9W_k1d
zk^`O#Co8G5&ygu9I&m^l{ivVUoGDhC#YIOvcTQgHr!^<UYGqN-+b1(7KlaO-bH!?9
zanT{qy_4D0d;R>ZGK(&~S#s2K^<*>kw|;(>FAIupc`l!<r+(M3YR(rc%g0aFfDa)!
zXDL}=^y0~$$=rTzb7D%0PM+K_`Lv(goE|I5;-aG`cT8UGr#2_1*y!bxU6ZB#@~n0~
zeX?z`wck4{@THlW>ilzTN{rq<0i8ZD&!Y0xlbMsB`^8yQzDzlGQd@of+&zUxub-@)
zT<&+zdS<cF`zOtlS=GD!rp>7-+4Sbg<jJDytNpIcsVVz(^klgDYrk!~Z#+y|-d!Rt
zsaLl1##^P!uG6}6#4YuTVxR0hQNHo`QP6<`Z_1%Z6)@}Z?Xr0CXhV0BxT>C4?3A4r
zj~3lI8YnKRrxhErb46L<nWKf`rg~YiS9V%FTy)R1x!X-#Jr;Hzf$Qw<GV$dxB|9wM
zF1qYG9eVn}oWjCuuJgO=#Gl9T?2sraymypU+*wa=*N!JiH;$%?E9<$%_Uzp8DCy48
zP;p_sbvtL26y80`D{dZpr>OAuQCacw*gM6A_m58LX4jM3HKXj~iKEHl{xLSCAI}^u
z7T1s2Q)2k|(bVqidiQqBDE)ZtsI~a}*fTq4lz%*Uw5i)w+*{9XS47#zlSjL{L&dZ8
z>~{Zn^k{W=src=?ZwfYF_T4p`$9(slH)WGws-5=THe1GgXWpkfPj=sY47)9}Ao#_y
z6K5IC+3(5}72ojPI9tekY2K+jGKInKo~@Y8Wj-};)t!*tmd~E;m@Q?#HSg7(IR(M*
zmmTz7JbQB9tUD&VGYc=jT6WfV_w3+2t2=YbF27uM+IRbGIrF`F=XO{^&L1ewW4pt%
z%kurRrrC<-;&)?;jNd%lG+WSoao({zF@?tOo~@eAX@32V&#s;Cp0&-MotL+B=i6s}
zvv=pc+qv_7+Nrb5=KObkc7qQdP|usUtMb{iowLRB;&#m}{Cw(cwt4=YGrKBZKbt%I
zd)~7<XLkR5kaqCwqS>nE@^^K1|9p~m^z5qHrsnhS))aoedUn_Bw{m3_H(n~<b#7~q
zl`E@0@nynO#oNw(?J@k7a-VG9d<35*@Y@!0N&(`^%p1;~?Lqvha(xvV-wUodx3+un
zhsv#j+@aaq9>rfO=U1`f`-KM!FCO-UA4PEC*}}VrHTh-b{HkAkx$qQv@IboFoUa$2
zFT8))lAl?Q&34ZBf`f-Aw0FztRZ4s-xOupv9dse)x(bQ!1y>KZv}eny*@7<BJnYNA
z-R91>g5!r{`M=xT`EKy^VPku{+%j96Pakd^7UuW2wfX$v&S7qTecLk?6;B^-Z8w)o
zv)%Lc!@0xN{Kaz5Y->J1Z_n(Pn`T?{X~Uz$tA}T`pO@QL@#9tE-NTSOGAF%LJ$zbE
zfA`*$s*|54y;VK#y>7aV{?E8?6*pg}&Y%7_j%$xdmFB0S1E(GJ+xN(P3wpEki1*6r
zM*8l1rc_(LT6)NP>2xjqt8smmmhYAx^IkjMN?(1?mFmn7mtH(wGF?soY+TfyEmfJH
zFTHy@Wjde!^1UThFJV_<8poZ396c~+I-`Db+_~zV4^mH@cGaK0ho^eyo75wxS4=n5
z58u;Mz4KM-q0^@NljGJ^?|k@l+4N}erI}CHO|Oo7S3UF7rvs;*^)JWCRYGpQjGI?k
z`R-}!^yzVFd-i<#bnCRV{^q!QRh7@5?wxL_|2fXCBJ#tg7pW&tyX&8hv#X5!xan2u
z+0*Iz@q22%ZhDuxyI<C>>=*dL#p(Tb?Tl(uexCR?@v-uC_j&y_^3^q<V+4-ZK@TXf
zc<^{Zzq1|RZ;fw(j}~8XpV_Z$cdAzAYv9Ahm)xiJXUQM0k@+6@c=0v&x&6X+uWC(x
zWPB;S1V4pf%g>DOg{R!x`!CyB)t3B(oH=k@Qa-)*%g-0z3(p;I=y#NVUK{hl@X6yv
z{oZzMwK3leA0=NoKBHgT?pSTiSHp*oXZ3r^U$4FMq44r?UHR>`cRm(g2Vbk`^Rwc?
z<Awd1^8Gb7-##8W9xQ*p#^(FSL&v@4jqT!oR=j=O+aD>PU%Th?$9u=;^jFHu*XaC+
z__FcI<Hh}{^7Cuf{D}Cv@!8|e{rYzMenouWc=tS?z4hNEzfXSHeENKz{mi;ee^P#*
z{5biw`g#9-^KI+C{kr))egAwW`_{UyKQbSRFPvAjZ~rUuTk~V^tL10T3)(-e>-)9x
zL-5Pxr~J3hPp<3xz4Bx5>*eRpbJ~Bcllo)w%kt&&lm46Mi`lQOyY*+wZ_B6451-Gp
zpIf)<Ps#6>A1}Xt-ZOtQ<kW%Z=?Bg)nE$y>?}y~4;)~~1?GM-K{g(V_{3`v-c~Sev
zb?bi3{7`)M{F3>v>+*i=eEEFZ{M&W!e(ZexeBS)ub<6(PeE59f{FeFdb#lKeA3a|=
zKfP|=@5+bIm(G{8U;k&%x6jAUubIES?%vPJ_vz=(@0rhEC-z6@SLCP97te2+?_U@8
zXU(t3&!2Ce7q^f9yXN;zxdiuyxr~>XQ&?_@CX_dvVTfeh#QcQi1n&fK1^WZ@z$XZ7
zu!o*az{g@C@gSh#Fk=_<6c!EM0I>%i4WAg?nL}7s@C1MkAlS%oocRjN3f=(m2QCf2
z7<d__nCI|Z5P=>*kjr?Bc@EbF!3QM`=NMw4#|;#y9k|I*%lM0V4!40og292G4B|{Y
ztl%3LA2Lj5?qQMOH4uB?)$oxaoY{wEhggEqfv*haOm}z+v=6*xC}+CETcCg7FGDb+
z97}~ng4Kb=4E@YDoF7aMY-Tvmyock1(E*=^aK<?H3gHB^1GgF8GwtCw5Kpi>(9Xck
zD8{VA`a>eY^1yNiZN@O>HLO2m9(XsbXS~Lo!@o)S#5skRjz&#yxHegPq)k|(aMQ7<
z=?U9O?n&|~{uA~(eq+5UzeK?!al#_RrHV%#y_%-5X>u2-cvMbU?5Ncg!nTqtNcIWn
zmc^{5D{L#di<CTSC$uT_I{L9?a$S;HqUw=7VVlBRM?cn=97R?h=@ZsL4+pRWUAwqX
z;jiO4R!I&ci6=D^xE<S?Vt9%)PZUfz?daCj!zRgHq<W%a!fHpgrWkG`N$|Ccd2BmH
zpOj6oc6`USQ~XKYgp~^XO*TA6vQGjh9Cw_@QYrN$a>8@RIF?Gu6txqp71lTJ;WUzd
zk~^W?@gDn3ZX@|8&J$V{x*exA)$nYRc@jKfs={i=YfUwLpHxp=S9tBXt^I~zQhLW1
z0ZGNO)*G@)#x7wUZv-qAi=3adp5Wi8egt~Op1>jlm%t8YMZPu*kw*m`j|5Z|y_~1C
zS_mz&IdV}zR8h-0q;&;fA!yIPsbZG%l~xNu&^3A;#{|@!O<FVfE($F&b&2lyCa~P8
zq{TvZk+Dm7$2$RA#VY4HoP}mC@g4sJo;&fhNbrE};1h6G)N9)zl4Nn@serN~`1U)Y
zB%32w1%wsXwa(xvv^~-*VD5Z}tI+z$T!C`uJKTl#M?yN-73JDy@O{)c@>sy%$%gl%
z&XLao`c8Xz422(sc3fAy*D{0mBji+oGp#fDKPp16%Cl>W;QOd~#H-_~K(?Y?`wyW<
z*&Sa6AQ$5KRL$ry*nQ{?-(*QOZJ)9ka}0JSemeA|{ibkQ{*2nhZ|#~K!4k_f&NLdZ
zAC}=Nw(u#OG09+Q;;BP2oWXL>GG=rcOif&MD5Tv|>{-Q(Sq57ZUmcpm5iGw<(I<Jv
z<iuHrOxiO!FH0@c^{Ji_oM?4u4j<CJcjsCxrI+dZ)XykRWIM#uW-0&7X~seW@xw7(
z#xl=}W=u3#oOtX|45zW&v#c4N2G<YywC$98<~Cz?VqWV`&<%OJ6W_J&luy$-(`>+h
z*r&Zx<XPbi^~8B?m155-XNV`pwaw)GtaawKLH?mLZI#l`VrP6$e0Jze`%i&1#WP7W
zmKw+()@lDKlBRklYsOZC`G;#bKbxMZn(<b!%;kopBItU&Siv&)6Fn0|6|J58TJCUE
z3Vu?4)49R?&_0ge$|5crJpm#Q9a@?N`CKhzpqJsL3ii2Z^cR>oxwf3*2o+of-tO<@
z+j5JeRM5`_atGd_lN`Q+vy`{EWb|GTTWEV|C5Nn_pZklR3!)3Ho%~zwailBF>AfJn
z(EiX)4rW0%W$=Lj0WF{d0VMhgEDw3KT;xa=T<0RuUtoI3r6pTXO*y8&K>5&Fj_pc!
z`U=z!-R1bMbf@1y^r2%*yWlcqo30O#Tk&kVKiC}V=FkUSk0<)Dw8dO7O?eOGK!9Sw
zXUa7l8w3&!4+XXK3r<t6>DnNaXnH8B<-FiNmmgAzwueA_{NMCUl2cVawN7LAVzi6#
zzPa3#PK}@PH;`+Qh?{0tk;18i8tsc@`hsMZs(58i*`(pVXo|a~6!bp4tATy4mU2tg
zymF`P(okP?#XYm*lEl-LDWGfcq85Q}zq=&&G-OJj#`47_ZZEqo$u3p*%AaB!c+Tx*
z_a*tKF;f~fngh?d?-WSYICWHG`XV0poieE^r!uB&)CgbH<GxcWRq51Ljmd%Q+;<8-
zO`8%OnCHGz_-Wph>cDsIGrK-1oI0#=IZ)2EQs$}Wl;wf*Tr1_Cx=xuMn6_w7*C(q}
zvo$sc-gB!Ie_A^QbXA_6OJv6;iB!!~$2Cp|+POk+$a}64zo@2nlU!<bkE~(YF^~R<
zg2~}McMXk_Q;weKn<%Vo?iSbcN1{6EP47niWB(*r4Y`s<j#>yjPUvwq<U6j>7bvvY
z#4WN%+3-}dOmCpzVk5WEo>vl}o&JHsi_P3(dxQ;NC7T?{=qWUE3+{O)p=%hGyya*{
zf1#F}d(UM<tK^cSFZwPDFE&3mQzAY2%h4D8g?h&vdJalFPmbv@6ak+F(3Tw2XDE~m
zx&Tkx@K|z8uc6@MsGgG&*OTvb6dE5}E3rNKPG_O{vAq)ClYNd>2s}>gc`4DKWYhOi
z<=AD3^GP=SAC-=smM}JqJ6a+8*th2<>@0woo}Uu(Nji|*@{)U=O3Y7Mb0ng7quAr(
z9(}`o$0GVSLhi&{a{Oe+X6<wDEM^vNdX#efWangQb$!3OIktu0j@=Ybub<Oo(OTH`
zNT#FM;M_us_QxW}H9Lc)mg}6GXz{eL@7T(YV9DiLex-Ag3;T|*><pG(u6M4};%lMQ
zBa>s6lFK#yiswwTSX+4O(U#+uqRW-fy+pdb4txN>uSZ{wTZ*SEoJ*MVxlr$jWLL4_
zxuq6|3-yjmb{b2i>ztcv@wjl^v6&sky5~~nye`Z;vQzST82FyMozl<a=KL;P_Q<B=
zv%$HNIqrpW$18=NXU<74oOirZ@OkQ-nHK9G?dkihb}nbm_QHEdE9KMm&ehD}FBE&E
zb1bs!v*Ed-IsS!VkJcQE?EY+dZn{PM<2A=`&P#A_n#*}fI7Q{g)P(Y;Gc1vun}naJ
zoba76UBUj~JeF$VH{KiU9UYqvvAA;bsaVW-5YTj(vrBl2iiU5%v<DtdpIF?5LsVAy
z1Wa9E1>WR;MP-F=!1M<$O}|)pIi-Z>_*|H>z|zsX=^9He=PltmUKb`lC}}#!63e+u
z_>0O5-vYIRH(6>qe+kd=HkgoLa1eY9fa(s=F#r!)rVIC|Ncb8|d*IddktJN%M`g#f
z1fzprS<Hp*_!MX#e9KZUbjP<q|KMMiU`{!eiWv!32N$#S3)^^pFgduH<-G77j}Jx%
zeVW2K<J2oACzwHx0Wg@JV0W;cg_~1MSV#58j0DSr%UQHJ!-UtU{+RQ?yJ<b=HDS=s
z{*&iaUV0i$dgHap+B0p^8kL)#MU$SWo%EhGKgEC2Ue9l;H|H-=@JyVvNQHfpj8Boq
z$%iUOJ-sGPQPcD;Qt_;uwAfQ?Qi$40ub{b4A|^fd%$jsXZKZdSl4tFtHkDpaKebG+
zOLLZ}dS*}Brt;R)PxYlok(Foqq;)EHJ*y^tQ3LP&->34|^PH-rhtZ5DHIukK+a|^M
z6ltC;m~`6HZBmb#q<4|($%;v<J=G?~cpJ@pQZ-51Gf!>j)F)+=tUceU?VSFkZqiB>
z{z*1IMsuG8PCD*6Po;9!lgLTWJ>yg=XQrr~T&=Qx@*Yp4*-vsOm3!V(pXqHh|B3UY
zR+VngX_IPvHqChwJZY-RYR_wvYWzN_p1iK|+H>3Tjmo`qGnXu%IPuszld|O#XS#(+
z-OPNlWa4bMIH{kRZ#<LprG8(!G2hKmsx?z&X`w=IbEb^f;{vIpnNyYo&T@;Ca=)bE
z|2RWRG&5vb;8eF#spFR{=DGPwZOy#0v{0${mx<J+44=m-Qoflc%P&rH%a+Q`+_Jn-
ztGCa@Z~4WUZsAgQGrugkIQ!U4lXJ^1PIs%9dVWd5BiW$$b7qW3vPN%rW{g*|Mepg%
zo+XB}jzvg?U-~Ei?Vj<SQ%cd|v4YRIbmGJ^XB}MAv4G{I1cz_7v|+=xwcB*NxYo@4
ztkN;5xk-rSOvj|NLM~@CJOm8VkIEQ44^Hk`6`6c-@Atb8?Viv1edlkot;qW|>-Vh+
zR1W{KVI`mbwZvlCZC4VrWw%{@xYR0V{oy{VH){@`F0EL~xBlA0tyX*D+Ra~mNRz#{
z>hSH-isgLouRYvrwI{xv|J8>?*|Mt-7hBcDwEMsMkSS}o`tbA8AB*_nuRUCCRTJBO
zxAey{zWdi6?zXClZ<l}dAz8L=)#3A{KbG?CzxHsu)t|U_`&R}=ErE(Xe2G3B*INwC
zTC$yY=(Q9o_V6b<aR@ul5IOWjU|EZSX-lS}5C6ko0(l&XZXCwWI}BT9JIxS1^hV%W
zi-CE|Z08x`hyDnNwG?=BY;P-w=Gfj|;LcIrRuIm??YzUf#Z~c+@S(kmI(&(q9Nx|m
zVuwBngtcriYWeN7!@h;xsluS8-KoN&#oejGq$N~w4PT-!N49f?PD`nxjohJM0`oW?
zo)nnJ_3)*@JkEzV1?F)lI&++F|KP+S?R-PzP`6?ZU!pfhwDS$IL*9xx{E6-y*3K1{
zE#XcTrY+Z<Dr{TKohpo5wmVf=x0E|on74d)s<3b2clu$_602Ava_G2XjnJXxiZx<~
zo-5V}9-6LLBYNn%;v2q)vjz6?KHM#^kN;u2KpoG+<pOnl52p*%@jl!xP{;qUU%;)+
zP^;%+Vh`uzSq8`23Uei{w;Jm8d`$G=NKTTNd}xNuu_*@2+6;AjUM9xKALC6t!;zdO
zVcu34EV22}4B2CI44$<W7E63SG(-MalR+Nm<7)<a+>gH*yyJL$&fpzaa-u|e`$mnP
z>O(usdyXdRa3*I;oIVsGcdW}GtgSF!;(Pl?2MPYRj{y?=?H@fP`r9^Y^;}I{!<n2b
z(cijJujlyT2>D~ei8hkQf)j0Ij~OT0NFOUs%;9+a(;$u`Iay-%p$fyEvxzyJ$=MRO
z4^?RPyiI(=k(@4}-~Q1{Vtw1kD2es$AKfJK+dhU#<hOtHlX&0uF;3!r`$tC!`L>PP
zJ^hD%SoHWG`eD*@{?HGb9{oc<jC$4|`eD^`H?c<e*!IL4@nhc;{|FrGPy8ct?0n)M
zp=0Y4|A-xXpZG`c*a;3dR|BgiL%|r~gEu(V^*-3eam>}gu8C97rzatZWwP=Np@UaA
zmbn_(HoaEfq1YrTc&6vUF^;^hgfN!P$}@xy-r>mWONe9ntQ;Y5P*?Dd)WKZAJ8}nQ
z1@A~6j1|=BdGL-y%(cLu<-6MkizZb;o!*2<mea}+f(K7=yz74Ok3+8G!9fnWt_Ke}
z<T@W*<Op-!VAW(QxTg2PMviN)A1YX0D_1BrsVi4#H7!@JP-{w8uFz}Z6||8*$Ss)D
zlMu|J@3z6RNmnqZHzAru-*tm+ldRyIo(E4k;yNE(<%sKk@Rj3U$AhyR_qrau<+#`R
z;4a6#?gxK4>^dGC<|uRh5Xd6${=t)FzT1aLmig`<Tv_7XK7_KwyKk^=%2)bf*7RQK
zhh3Ar(htL?`AR=5o8pyzm^R&4`eEB-uOuOPbcKeSyP;ZF_96-Cqgw-G#E<UKIOcAs
z*wq>6)0I>t61-@J)X^;(%iIfVMa*3cmAhsJ-jO)!8+fKGsZ6AJ(G2OMX9Lf4C)J5?
zFWRBn6}@<eZrAO_JCwVu7w^#SY7M+2dvuY;yUs`VG{oFDs&p+4)ag#D6wzK3A$hbm
z@Q(aZ=0F>Xqt1agGDnpIZKRH_(g<_ksMfVL&_?X&E)BW<q*@VwmyanT{;nTOM9#Z>
z%n>>7x>2#~`Qi%2uHL|$u17~T%-lDscC8J}>3+0SW1IU%<*vDbdt{Dk2kwzNnjN@D
z?x=L&9?7H8fqP_+S_kftK3W~PNB$^#V2#AlbsBbEj~;8-bw0YRVb}fWv&O!TN2fLR
zbv=5mQRe>9SLD9i$5@g3?jM~+?A<;Fi`ct=^cLCg_Ay#yzxzjb5#?kF(Zeq!jvXoR
z<w;K7Vb=CKNkaVa4+*s+28L~(hCY3XUOd6cGsF&mkyzLNuuUTEh=FmNbn*_(wn)P>
zeTjZN#mPG?+bRvu^d~y<Y(G+v&9nVzK{ZeLk%Dxd@}nCJ+IA;Lh#a;xyd!=1k;Jqk
z8%)|#4R!hxU3s*Vcj&kMPOeaBV^6NoXlqZdP-$~dju1WkO5)m)4Q6e}lOn_qKQ>&`
z|FBbHUeCjo67%{V&Xkzf`*5d(*^v)5Jo-mA7`FKu?vXhBOk&%S4W@0mhI>Q~f0HOX
zvcb4*dUAzoTX=GXZrk<b3gtHQ<O=P!?a3ADZRN=o`fcBne<-x^C*Ke~>~2^ib6DN5
zM(S|7VU65jal;zP!{LTCvWMSE?CX4ZTVh}L!`~8h9S@I7)O9_4E>YL{@VZ1@_rvcJ
z|2h&=WEMY?kUc)bVqIr)j?DFAg|RY^A4$j`Z?RB2W~kYBve2h9IZNj9qZx9?zZTvR
zINoECcC0X2#{8(EcHhmyGo8tKGUmq(_4|Gn>U2DwW|7zXc$-CD|KmQ3cRi2SS%@7g
zESKqiv_rkG`f-HJ@ktibj&0QGds?`rBRN&(`_aOB8U7<56J+?0ek_pbKk_j{X7!^8
z+2gY;t{wXrA@llCg-zerLL0&3lM8J`k6$jd5k9`T&_?`to5j5T$E_A-$2MyAi9fy}
zb9|b`wqqN0`=&p-A$PpbqU_kmBAN9^K4!_RKl-ssCjZFCG@1OPAIoIkANiOk^Zw|^
zIvM#R9}{JEKl-83*Z=s3N}vDZA3A;KAOBG5(|`O!t8e||8?wjE3u~m0mlxK^ALlRp
zBXQin@Q=)K{lY&|$MXyS$Q_q2?D0tmVOlIKG5LTgXOHiLTP*9m6JnSi3(uHv;0TMF
zs)1#rCZ~^YLKM?w;T?93(n2#P9yr62=ks70OPZ>Ib)zNc8Q%xbSe~gG*f+9r-kEe@
zE$5wS2i|htnRs9>=bfns4zaxRO9*88u2PWC)GZt_>A)qHX{sA+8bvwR_&nIh^3MN3
zBa58RgM}<|eh((H$oVFOGOZSln0(+Ci=1~tEK|Sw2D?Uep$enM<w6x!jp;%aW{uB<
zZcI4vnRCyC1IJj*R6is!%@)2f>A*FXZK@xFm~IQ-n0VkEOPt?>sVs5654N(z`9J7o
zx##m>Ez3Q>2Xk5O`99doa?k%kGmD*1LO7GW>W4z6`6?eWndYm0sAP&)`H;#Kulk{s
zX}9nXwZ?qmA9{`Ng?}hE$_xL{Y@9FrL$xtp_=j%eec>O<jT1e4ypl?Uk|*s@>)hxW
zGy6!XXODMMjgau988eP7QBhMjRP9{p>EoSLC3IcA&{@cM(u|o$dOhz<IkHA2P2Etv
zbEoH>IY;)WJX7DO&>1~xhiT{SNjq#ittag;?%X{oV#blXo;qHS=Bd2%O)3=fo)j_b
z$R?F(>Km0hr+VI*e&nyG&4eS(o;FjC9QL%CbY!vT8n2{Mq3lT!vyUWuuJL~KQRSNY
zM;9S~wT~e}{^}ongwCsdj1iKaRAJXCKIz7cBg<6ce3FWVq9@&$b!3}LoL5q{koBbO
z(^|7Xs{Q$Y=4gJkV#l-<PQh0eaW))Vaqyf<oZq9VDsjG#wyMPWKk8Mv=ksW-%00hF
zb5-v7KH95t&;L=gik(kVxRAW+$3mg`Djze2=Bs|J6pB~*m?{*n`mt1K_v9aHo%xf0
z=ykrI{6n!*e)12^&iRvnsCLFr{-N7>fASCI&WV~mUWp}K$w52RS~qIO%sy1A+2fs9
z!zCOvW5%H+B5KPGR9jbS`gkW+aa~_t;LK$lG-KwWUd=mG4y_SMTW+A<x>NJcoI`s=
zo-N;?&>9`I!?g8w&<@*H>!2ORt-FIFW*oY!spIu<p2$1j#6m9bpom$AHi=AIzCo#V
zs^*>PhyH5XOgPl6X*1=}VNIJ!hZbwD@k%V^$_|Q{eJELTjrYTkBG;CGaN+V__92AJ
zfB6R=uJg-2#BfOmRoJzP2i=%)XqiZyPhv4wbkL1ihqj5tc_mhJSqI&idFZs}o=JyR
zYwnqL=(XmaiHBxu?wNY%w&tG6hjwf3nSSWEX3c~{?V3DC5--GiS_;m+u=K-Rk?&Kd
zOfF!HpZDDUigCmKyO%H5W<Pyff7bqgfB56K9~+nJ7<nkS#%k6~IdojJX40YMnl;l7
zJ=d(6cxbw2&D2BJHQ)F>oGr4?_u+1lef|&IMe2MWE*GiudpKRB&iCPVkvji}{UUD5
z47GYMX7+eKo@H`uSz)f!^`(Y-y&p4uJd%^7CSRH{=hzgJWy=h8dtYY8%s<APdB!6-
zP0D;(VX)NZOEcykn`829Sz)o%=Swr@A8Rtn^L%{GB+vWtH<NcBkI$LB^GZ&XDqp@)
zqqq9f4)fllnL3`ynNp`OMa(<aWfHcmFkb5W@{bNu{L4NDNbxWK=pog=Y@=51)yy@X
z$+=SfOE>EE9={wh|Cn&5&CFxLnKpBe8E4weK31HW<MH^XNt{P=vefKL6^6ZMGjlwX
zv!!lds?h9xoB75gIbBMB`A09Q^~*j+Nv&W0(M>9U*~c)c{N*40q~0(47$^09`A0`7
z`DGimd;2f_u;}%_^uwh0{G}f@z517a81=5d^uwz6Zf4EoW7{)prXTyBx$9s7SG<}=
zeC4jyGxQ|)%+{CLGc#Xe&vbpIm_yTr^qyODg@3ATRlljf+-8YA(^ello>KPv?iJGa
zeJi{RLsMCeC1fRcE!$~p<f1;M&g1cMlRB@*&rRw)A73}A^M3r@<ex`!3fp3%ErrEG
z`Hy7g9-P6m?qos^+x4`J&Ci(k9R9S6=UA$NT{EZTtzt_<XKCB`r*{wR{^u55bo|4&
zJAvXC!|vX$Fn_MQXLIqs%$r;CU!@zEHfKuuoPY3(C+|dp8=JB54#VczMl(|HO+7e=
z=UG}oG23UO8S@V|@#LL+aE&ML^n-6a?@l~8$Mfz~LLysv`UZ{WYNH+I%||75P9|is
zoi>V?cd&~mY?fbIK|LFP%7+9t{?rczZ2c)8GT2rdMa(@oi|1O}hX}UUMin;AUnOlO
zF0?)CpY|by%|HEv58L^)4>4@gMiq9=;zl=S99+f|cP62@jqh{L$%JgS+eQ_d&2J^G
zayB>m@2NSlJpSJE$p+?g`(-7q{unp&Gxa_cc3FIG`DK@So@3{D;?6yo$`fb0{@jDF
zJoipKILmYI)PuJ?_f9^z%X9DagTFj>CmtN;DNFqj$R?lu!IN!%+J{KC`RN~A+2Yea
zgtEn_Z?JC8H~L}L{NCt?U9-H=md8Jj^UO8cHJx8j_Yt$JcZK%ny?fSH3chcjS1MR{
z>H^=(nR|kN8s6Kwr>)$e{^;$k`yZ^mJ^$mp_w$W^s5ZwN|Ilr|Z~Q~Kd17SGsiYFI
z<V`!&x;I9~%syHg*>gInMof6qj2TCl=%}R|s&=o8^f{eWC3Zc%&{@oQ(~Ox*g*C6o
zI<(h+vpB!`c%-=Hz9M<~_{vHA_g*xc-2ZI%>}t)o2Z46&8=lQSxB5-toW%uBj~{Zs
z3^^&s?)uF_R)xLp*vEgrm+mR7H+<iD|KRV(^B?to^<S}>@$}D}hI{Y+pI^?G68vv{
z{nBPJ?w>M8Z`aIw=xWEYaU#E~O}*k=hM#l&n`??0=kolV;@^4aq`PU}b7Q&dpDX(_
zYrZ9`&gb1>*gbpGjHyT8=sZg?H1D3hdB*gke{{rB3cba)rxiwvZBH+B7b{OI3>V|x
zyu-TNHS*5nqkAKD&Lw$@d2f!GcJz}@Sjt8t@7?R{pZtCL{&U>t^2*7-#O`hQ_sn&R
z_@Cw71@Y6$((cbc${cAk<EV3_&77mkkv6lAuF?rh->BBTHPU9<(#5r{n>(uKT>jnb
z&miW<v+ndYYnC0yEtM>#OkS-oS(N!L!DimkUpn(nJUXc}@6@Gb-{kc^r9b>;ac|r4
zna3o*`iGyB>RG<ILe2Z)t<8~Kw|!I;E}kfk%sKJsr%v37q-3$#n<@;u&qn5)Ov)C!
zeddMF{LMEe9zCZMcka<tow&0>Pd-G6txx~xCYGP}F-$B!{iC1Q`?Qa7V(-&GI*Q4s
zZPf1W-}J+x+kev!lkW4Ie%N?leDBvc!My0qo|a&t_imb+23Br2Th?1{{-ByJIjwk6
ziso}2v0|YVW_9a%Pmdhup7ZJUftUNQ&RKQ)VB|b|i^rd()9arVElV>?&&}%!dY75r
z@~P^2!KGKxesXW0xm*etJe5~-YGz-*<?D~G(>Bf5wh*k;Pd5-RG%HS&h)??%Di)u<
z(b`+{V*aKdX5H^M{jlqnKa-OBF<8t#{iC<o{<M$LV*ArSx=#!0dS6^sD5mb2|G4F)
zZeq`w#1Ov4#S)Vbn_BjqeRxY|-RZ;_zQ@HgCLBH@qxRImvR%{C>yY2s#3;VY#XIcU
zrHf`vJnYl_ydaowbJ2{shv&#Vdsa})_qk}s{KHK$c_$xUlgT^%@SDuL6A#bHygQYc
z$XEV+gGPIG(GK(Wqn0`+6Epcv7e&lF+$9tCtRTMc5@WT?OW{XTA}6FA%>6IoEq>_n
z@8SxDcJ|^5jrR893YB*E;)tn-U&&m1vcatVcu~ak!;g1XNw$2ow3&E#vZc+`!<Q{>
zCLi8xX*2zBo6NlP4_jr-o^8-<7cags=kPR{ZO=C7wofm*G4F7nOxd#!MSSa@e8}Qk
z|MWu@U;dL1X?*!lKa}ykfAS%Z@BPycb$s$qJ|yz(F8ZO--e3GfrQN^yhfe$X;vY)w
z`o%xA+SeD~n0wgVvS#+-a?6_ehxsl4%sA|C`De~yeak<y4(D6`nRi&;vgb^4h}`1O
z5|fXcR`#5Ie9LCt>Esx>$De0RIDW)tX^YxZL(6{6N}sdIQF50*@38Ba{xoCa@iR7g
zXC5!JNqcH&-EUcW=IrBVHm>{Cdge?&{>SEM_4$G~+vhPKVy)!rFE8Zr3Cxh&{;V)s
zZu|2>ce(Osh2e7CpLbaIyH?(re0*=E&beeyIq%OA(~f_#345~9sQ>q;9rpd~pDGOc
z+doxU^t*qmFzFAiTyrkjS1$W=g^s^we`%%7yyL%Y=AC$a(q`VN$1iQ>oeU20nN)KA
z+3Th2=A8~cmBY6DjM<aibKXiHo`0@T^nbbY?ws!zSIV5clY1ukSzhqIIHPw*ZBzyJ
z_a|>&m@XInd5T4abz^Sjo+-z_**rBZd$Q5EfBNSN)&B6$6=(OD_nUvJFwQ^xe*32i
z>-WVP@1JNYf6kM8|MX*>oct5bzZ+E&nSOYrHXA=Rdd>g&#?=4(v1xx7?>_%)f8orn
zd%ncSrTtaj{`Y-c+TQ@z)Sol|yX$ZMcfw%V!Z5k{&pt-V&42#URWAP7$Ek^nY|5U0
z^p(5+>|?Cl{pTN@<?Nq*4E|?dKJ)AO%0H99#%9ayfBN#?-us__DF2t=b*5@bXZ-%+
z?UDUP|0Q$(9h<Q~`^@}1z1G*J{4>~`{%_~GKfT8Fk5?XY@G<y*A#~xh`JT`6H~pOa
z`nTHO^mW_jCCSas`nPQ6{+G|*SA8yT{y97GU)rqw(r54cKFgo>b9U~(XEXNieD*%=
zv-$ZyXU*%m&)nC2_CD<M_BH>$R)7Ehe%HHSW}n~PK6_vG*?Ygw-)}kGN&Q!utROb4
z{@$<o-s|r!y<RR|q+?Xy|L_0zTd&1WX=z6m{xx5}_utH`=hOY3zDe^{&N`OCEp%N!
zQdgoiV8So`e{ZYTdp3Da@?50eRU`e>X;s6OL$Ck8`j$P(L)lkliOQP)HH>DR8lCE$
zJ0{vp3YZi!scO=<)c;GXH+U}cIOcJ0-=F^vLT?=TC;xYUnfSM}qEk%|`Tk%2?$Euz
z`8OO4`~P2JlVbZfOLz9ehpqpA?%vQ_`+NJlLt@511dDg2{i%Phm-DDZnLFkBiG348
z|HS#sORSp2W>m}^DRjbOlF5XPDwi{kv^_Z^dEoz{vo}<@Qr?_6ang2c%B?4hqKryZ
z!czq1HY#V{(o=H3<o>O{<<0!}C)K=vo)=G@EPMCzy?g)VckkO)P`Eb2$tb_|clLLa
z`c~^4&#?bbRk!K?J3sg4|5t3cufM)O)Aw4@ar@Ym-LL=e^WN;a{O@JfZEl;-u3V9`
zX3ORO-=a6$r<HzIUOn%9Bya6$txWUP%hyMQ)*j4yZG0{1yT;Kk?=zM@|9^Gu%|%r%
zwtv0vhU_rCt+C8@&&T?Grn9$93iC`^-g!bf()#4xo7JmS3J-WH|5cmSEi(B=b&&s}
zsdZwy3a31;T$)o~+G&<4zkH^L_e8c^!Oj!Ylz5+-2(MILI@iPa@8_wvmdx~E|9jed
z>woSpPZJME+rQ?bm;c{cS9`zyeOYc0>yqs*#(TfkfBkzaUF_ZUwvUUr{x5uQ8Xm^>
zW54>})CDUoHhhR~K4tW)W%JLO|9(c_|IEgwC4HiOjx@`?h_4sQd%c(!dwVl)pE`x5
z%bO`Rw9)>bM6p#L=ejvzG8`fcW4J_Li0#RbDhy3{a$@3JLA4Dg8yx0kX3W27{^L$b
z-7+7prxIc{WxFcVUY(eDd~x!_pJ5Vuy=HFgS6{rm^g{PiMzfRqzI~0-x(l6L7brdx
zXWy^1g7cqP&D*NrjF!hC#>y*ZPIA!Qe(BD(P5sh-Geu4n&ayF@xT`Fz;JQojJin*&
z4#unP%;V$z=aYI&T60fd!K(v|TrwI7&U2L4`Yu{N%VVbY9Qi*5i&h5YdSo5ox;%63
z(NCWvR^18<-8*Mh)SmW3)?UI-Ew(XiJ3f<T8r!q$%Wpr-J92huKxcF2kuSHt9t~M^
zf0x!SUg1yYC#0RqHFjs4Kg}{%qtz%zqNa_j_k!JygjLlQ9tHnGK7R=RRM1&lVPAFZ
z+Kuj0OB*YXeyyr3_z*hlpi%>u$?nVDsX^Ynvh$aUA3XCe`ugrPH<tT*?wM@fR%iT{
zV`*AcK;taQXdnKIGO?;>P0ZF;EW0q<c!|09tIKZ~uFswpw8DgUzuQ~Uhmp5+f4$x6
zl&`m>YH8!{SEtYY>r3-p5|Fv<^!{Hym0u5k@;P8vyr#OeSL9UT$~_xSiBwEd6E>ES
zonvv-(E0p>7EK$$yW9!YUlcc(6cqoBv^n;5MGTj!MR?lATU#DQCal<Sz^8JDXXE63
z0-QO1t*Z`Hyz(&np*nSWK;?cW*Qmq|CHvXVid4*L;5{4gLsQNxtSX?Hd1}%QuNhkf
zf1O^>%M*RifBz<~%;*OeLV|}2P1c5X@v?JBuba#mBASuS9-!PBWL))*z57Mrs>OF#
zEEape<iq1shN~7QJ{}Hcty>ZD=R*9ChoTS9y<%eAer_9!N$aWuELr<BcI29E-?Djz
zm&ujKD-!NoG_4Ag*lK<BUrf{HX8nujFS}^gSRD_2EAc1A&+w#YH`9%K0k;}d8(GSq
zB;20(?cn<sfwL<DL?zU@-bZT{2OA#J=4pH;#$6?R)xzM1@4{oD2k)}(@p{P2k{(*A
z`S5CE_R5;v!Vi_RPAr&dqt0wnd8VzG=e12d13SBUz|7e@qGmmdyl?-bDp5&~d!J+K
zv1pANgNKaHEh3Fa#3IvGX0ffG`Eu1<aT)8$2aA{1&U?Yk^{REskJ+qj87n_<yRHur
zPLxPJmcOv7Ui0g`BVT2hR=(Qu=z;T*GfR&d><bY1?|86R<)1u9x5ed3j}N~pZH#-D
z1Z1UrWYfAbW8FQ&{WB`wZ+Nh!@{Hl_u9Ydbtrab5=Vj(+|NVB$a$5Gn2)?fU=L-Iq
zt~(I#+id;z{M(P;AN1W^cGWWV>zAK)U-Y6EyWRV}XwP<6$BTQE-s>)G+M1xw60f#_
z^_$+Fg`)pl@>fjbGVWUG7W$y-mw(d@8-W$3HD~{v+v?AiC;owjF@MRdrG71Dw+*LA
z|9#PZBRJ#2p$JQUKH&{_HYn_$^xV*|$$9Pez@)38M^??0+$z5MGlvfEoxu5e?(FrO
z@*Jv_uP@8Fqq*{7$OXoO{3i+~bNy)G`EF75R`K9>?rF6<9{BWGJZy9bR(?8}>z19|
z9G_eJBr<<)J+<Li|C53%Qc=6J-hLEc6()5<<MN}B3Tt!SqaiE!V%*oyOkR0z(;ZLW
zvmZ@N8y&nK>%0|V%GX>bG%;5{bp7Nj+U|Z*vs`&i12$i4PFs8E%LNbK#eY`4%d+})
zqGXGS)vd-9#qC0$@9L?PM1Ap4(&=3ow!*gSmXwOg3b%CE(}GTKic(&LuMX@B-Lhv!
zN6N=Mu70lG*$bQkzmzO|ao6c$)a}`3eT$ZcDD)?<Q+s}~%g46$^3PmlUBzgn1uw3&
zzDUWAIc=(A_&t1yRiuZgiPh!iX4aghB{oNeuEZ676nOY3>hQUF-R+#>`XWr%Mec}s
zKUg48A+vSs_6ZYiTZL;)d3e5SUAx9F-tdy#6(2T>)-5>XFIaVb2AewP!|#0ef()KL
zXUkVjuG{|Q(#B5{Kk;m2G(WXhC#ZLx*N#w~$K6XGN-3Au$vi*(V~3mDyeI2KMdSR|
z-tl_pD!h2Nt%b*dq{WMWXfFG<@YUVJONzIqowH>=+R|wDW~FhSZqF7Ew_Ta%rT9f`
zHY;gOXR8xYEAe~Gc#uD{=%o7J32M{bO*D3NAINfE5X)-zLpxNVG`~mae@xbuzw3)*
zKR;)!pPfDH|I&TG>$XTl-)=NNu~|}8HX}RxjdYFsoCQW&H~3VV^Mxa_n|5#KX4~SY
zwLvy(;fI4Q(XA%yBkCTU+!65SJ6qee9M|mLDHYkbqia~FZPh#F_kEhfg3gV?Je%ff
z1ot^P9XnF7^H6mcGox`U&#ehpck3KhotC(#`H+A3k2)@SpNiJZ3l;@EeaoZ_#V_-e
zyz}&bI`7B{+m!~(Dnd50oV$L(IQHs}_Ri^nSwE&Zu0CYLc<5Z<%pB$gMvKqb?DU_`
zseN3O>D+?n{h@VtW<EJS@#^jE4BL*gvP@&!x8{6pyVX1HpoLM7ns!dxpLOQIo>@|_
z_Vjjsdv0`?MPBsF#Rm_LFX<PXdM$bX-<Fj-kHv-P@$ONy%?SBmW;b1KipRn`KEKny
z@c8FX4Qghd(_hon5VJ6LA@|w~{kt4Qe{q};y`{nVN^5a$qKrKIHg}I*TjO?Jy<7I0
zV`1XNiyz;J^iSSqGjX?9Yf!R<WQv*H6pKX$XXC{`%;W0kJhyqC*qqXU^rJ4y9i2LH
zt3F&l@_nu8l<yA`-U}3Gzxg4z=E<V<C%7NWio`Ad(8gsS{qU~nz0;O2npvN49bM&=
z{xzZV(Emjr(zy0JJ@gf=n^%2N;p?I@CBK-3@~X>q?nORS7P;sBaIfl2Q3GA$6QWWc
zA*V#EI_9PA*?P!Yt46=|yZW3>Oyb-pE*5B~x~>yDee6dZ*L(eIOAq;oMVl(AMVr<g
zbv$*bRLDCvSL4}%Z*56$X7-7t*`6`Vj$VFfc2`^4_N*Bz3b@woeJ814RX*p;gzK91
zf}-d6&u!6~vv+#!l`S&rmycFVJmf$1<to#C;?3qBtmhXl@A6x7v?a-Dwd-ZS?Uo0G
z9>4kV!BpjAZnAL9hxMUu?G;xoB66HJa;oj<*Liex)uB$IKZOl*MczhcAF9^h{?~Qh
z&x2yG4tg(5oza;%;rZgJN_R6CZPT7O{lNy#n^w=%#6GS&mB%yr!j7ee_wCZZgrAhG
zRSJGCv#reUuc-Cc>B?WjbvNak7-k#2F0S^y=57+{t$be2CicJr#S`vNG({2@*UrnW
zDqpHq-MLD~eBGSzhs!&-_HWzAWgk@{cwJ*rQRV|V$>#5i-%5C`Ops-~TpITH&euGz
z-geJk;h#Oz&#d@5>92)f+2b4jjm@k&=FZ=rEnJ>ezQUNllJV!!<0}pMCm3!wxpkm_
z=j@)!tvxqq9^KpAfBumm>xzKHDAPH+^TIZYzVL3|oTszw%5g)l_4746-$@-l`z-u+
zjfTZ<x66Ol{hGXQJ-5xNB>{OiW**L-v7<Mc;o1Gip>t}MY&sIYW5JG<i_YI~wKFhl
zoxjQKD1Utm&;Dx@Zt?#&lKdwnS=ajZ{=>W<yh{VpL=5}qwJus?U{`eX%#RbHhF=nA
zg-D84^UhqHwK!h3@Rs!7U#6Av`B(fmm2s?_JSA<ah*r<O+C2&edpUJ@bp&qh{B!-@
zIfHQR!!=8UK4^>Fi+C6-Qa9(2yFi?7-mNuBKO2p?)=nw2@V&xy{@;C;=SBKOIk|JS
zoB6%&ZSG~-%@vVa_jGy0XW2DA!SRN^TY4THwAz@gyz-O6)MuOSKDJ5^+~4`-v83v`
z>_t^I`@EOOubj8POT=XF8;_-H*9F{rzp-nf{<NdBq`ZtiB<uE0fAM)qvx@&N-(|};
z9k8-L|Ma@qawkRqU#DZPxm$OyRH?iapYz1Y_=EA^7)L$h|1l{lZ}_!LjI?g4Z_%6D
za*Z!>QQEiOcGmbwjoX$q&GlGZyG=MM`OJ#P8by1rO?;-ObanIGm^V>HY1;!<PC4{z
zt%*h5KaZ~=@l~fD?G=r4uP9C6d3ZUudFhN0nHYcJs5FTymw&h{G~CX(D(j=(rJqK|
zrF=_TXH4(dq|coi`)<kH#W~!rks3zx6h%+<vKZ}L-8pZKf<=y|($)<1#^6-mf+<1s
z@4D1ZlPEu|F4;F(<#5=-9nl@P?+4m=v~pek%{lGP(qntxEhzjj=}ur5!`&k)Y&~Ul
zZ7YtwdvCLBYUtIsqM6p5CnfFO9*TF*%gB83?BI$9-yYTn*DT~-t?0NjdspU1KCbs_
zk2BINjtkdoG^#f0**pqsRY*0{H0KIRoBZyvfu_Gy|FSz7y{|o<nwqWo#M|kkniehJ
zC3-S(ij~@_^;&u=6E&lspGrAr-lfE&RiM$hty7Mx-}|Z5;}umK7Kc3R<DJkKIw@^O
zUyiwXVb3MmyRMzrB)A_P+|Mmx@KJg7r#81EKUvpU^>NwhX!rAr1$WkLNM0E*W2QS-
z-zP>c`{sg8Y`WYEM;G-6s6A0nESS%^k>_5J#GN#!2;~sz*)J{sGOdhEd~tSvm}Kr_
zVa4aST~5rad>?4OTgo&<k2fZGpL_32En~aMMvu39@bl{$?AvPVdt}-Mi}?z-wznE?
zi!1M&ppY*Udcx}K9mkc=FCSg7K|o$9Cb)%tjhedMhfwyw(A^@&m!cTN=39N7AT53C
zN9sE6l$qUP*LGUxEaMB>wsi6hv19$AKaO(AD_D3JR;QkAv&b&%VcIPmk$B+e8~)3?
zA1nQQ)BGhU>Velqrme@eRednoe(2VPiw{+;z2u6vCS4RxWs;I8D^IBAnSSP2Szu6}
z=wpuUWpWFeo-SkDlyUFK3YP!><UajNT9Q(@x9be^|1<M4qnBp=>WoX;(|*WZV^7pW
z&OqO-Y9fgjmX+=0dZn_e^W!=$`?!b4wf<NHMs8Kx^g-)2*GBRASH+h*&$VEkTRHdi
zv#YC`=bb8-(VBVmSjwK9w8H$SD)Y4c9zA}fV&z@0(J8q#Uays{t+`Hgqs{b~3t53|
zn{O5_JC+_TzVX-(dpol?+Bdtiir7vbePVuX;{8ae8=AqlZtUB*b+ff@i`C;5fB%Y<
z>J?uz(N^I9;$SMTe#*wMZp$I(q*FIpX9fA#2e9SOF@3u9SpSzdmt1cwJ@#Sm_fYnZ
z^}ABezY*6qTDMVrsyEZ}E5!}{9D0jN4=O#@E?#&kWOwzx>LvD#OB=sdA5(jCTsXOS
zVdKZ{iggiD`l?!98ILk+7G0RJt-3<0z2#Ur*YzD2o<uBvl;v`CqvOkUE*ts}S!?_$
zX??%^!@)<(rX@9o=50M@|8o-87OAZ8N98xB{zzIJxwpM?U&RdltM@qz8n~rqolY?l
z{}MF0a?+vO8Z|o(S!>(q#U)xE`*3D5|COktZ~v;~-B&6pihFLD;&engVRw?ar@IhG
z%!@nMYp+OMs(iBVQgxb0u&wl?^A82)mIQgJOuD#u>eknqO+MW#<z(I+Xo;|^Ta|o}
zOGq?AE4$b3SLmGgy+@Vii+rihuI!39t=@W4W+H#N&$SDNH}AKcykQg4=^_4*RV**-
z(+;Nezy)Umla(iNX_*-GeUF*+=a^fCO!zkQn4>3KvRgNtJ8OID=_W0e=||sveLCrM
zR@%JpbFM~P^lj#qTzTQhhxPudu{tZ41R3k+3(s$?m=Nsb7<~To&6$VN*K^Oe^t8C}
z@#emD0ct!)%yu^?Ey&}#@BeW6$>yhS@<u0qZAdThJXrLNQ%}#r*OcqMqXnnOYNi|a
zeBLD$&APYl{^@Ui>Sn=K9|JhuD(WHxi{j2t`^h_ZsoCRDE_>fu+GdBI9z3|}<zf*#
zA1(GC(a*;;)c$=|z2m)o>cbBYrbX|V&bu@qGj7*UvFk_AvP_ju%~-gV=jOM6j{Z|v
zzO7QPeLLriXz;=Ht^F#2PgX2s_gwHc{rH3TA!+k9{df4@+i0?-WouW=R~^CCY8LME
zp09kl_RtbJA+=Cx*S81#n1bG&<NkK%5cjUt;$J3;v-Dp5Vss-o<wNJMu74cr&AfAX
z;+CXrSSYk(v+ILZKCG+%?$)+^ty=W0sP>rU0YkrHts7gfMYeAEsbJXT8ga_&;yw)}
znb;f|%V!1?&c%4!Z=NByc*f(TM5$xn!+xy%bT4SJl<j36p=B@Q`!o59eJ)SpVs(8V
z(JaCcu3`8-Nh~Yn%eL+FWRF(ah1zc|U7?(1DXOvAe3qzzrN-j7eC*5KAMKm6cB<lA
zjkYaqJpl(N%u{UpviR3*#_!y9Ds5YWxR$zg*6I`<Sn%MyahIl@dEK-2Pq!C-k)Bu`
zX*f0KwEE@6OB<KJ=1<JO>F&(Okbm~U6P5Bgwhf<MEW<Astl59ni}zucc}tLK%jFb~
zZWmGWt@>Gym#hg{(i54oX{yn;(*9t!bOytXN2|5>%r<tZWqDGPmaQuE*F_~t!u@J<
zbBxjBtV-G4X{max8;>qCI90er=&-g6kM!b+UWr#cxgN*Pl%2lm-u1J7Get7e*UoMH
zTE~|0@$916l1t6yLVwIOdEnl<YOm<z>ztpB??pUJ*ZyPC`aP&3yLJAfmJ)qco#$N}
zo>Vx<a+e*Lbv7-@?08^s`zq0SiCphjewcCScE?<uL&<aYDLCqfhWZCfv#_m7{pURO
ztgM>Z_Dg5JZJ!eM)a4t`>t9<9(ykXjI=X4Yfj<{KZ+?Azl(qF@8qX$+Zz0--&Z(-)
zCeGyIFH^p-F2VQNyJ%aR^%M5Ca7{ixA$C#BW1CeDKPT<1a$G+9)6c_sj?WF4)*k=W
zv*M82s(U|!^fMgtHgWXd{u;=aYQ55Rv)!hQYX<_(Hy=)E?PWI%S^G|Y!3pDl6V8#^
z{Bary8#Ax1@BSL(*`lo~>l4YvzRkSu;_M@y>DPjHv+ta-WTJ?a#M<*wQ>TAr^7>yU
z`1ke|mc{qt_!T#pe7G}Dn=35cbV_c};}vT^uGyBM^#9qET;rqi;T_8s9c5qVW~s7%
zlb*oTubn5h-gn7+x+{X~>9fRXw;K{m^i0fV&HTLQ&Z(ydbD#XOjo!cAeaAhs2~3f3
z`I5gnqj)8<&u8wR=4Ms9{`c;P)jMCk`FZ8$qyw&Be^ss7obP*NU*U@@Q5Ux_4q0LF
zw))pM@n3y+isxT^+&W#9Gj*S6>bvW6-YCV+jLxv}6ua~$NceSdRQ;!D^}Z0zCha@d
zI+uBQ{*Rqva%TOSf|=pg+IQ`pO6rXl-`cy~IAqV<>nYFXY_vRfHm37M$hT#u=l;4I
z6|jG**WZ7wt8V#fKi=8a`|!B<pBabe%5Qf1Z7AL)@BGt$ea?CHy;<jFKUhcbmgp34
z9}T&!a!SHSp>Rp8@q@zBW%1rj;n!wAVOIU`c{+5C!qa_bA)J>sFLi2N`BHeva*w7j
zwKJX6XR`0+J+^@9=#|gisqKYpIg^%qJyg(&IG&rOIRB=$MeC=T%1aL}=9<?MtGsGj
z&J&B7rRz=C1ym%rmQS4W#N*I<?IR&;r_|Z{UYd}4O!vX@3aj8GwpBdSH$K^NK+&tH
zt=l$X@}<a^+k{olCGVMf$UnFu;9;}M;{(}~dWvRk+iVo1d`Il(#j<W2^%g7bU~?xQ
z*~jb}e|T=HAFN8yi<azCb-Ts;^o#+!X6-%CDK@#iUmqN03X{CvCY{#zcB#+Jshd2T
zKbmp9_p<m|ef?uw&FgH_pl{31-JCy7tFdsQiov~`m;Z17bS>sd?wmbmxvv)-yxI7F
zwnx0O@RaIG%h)GN=PY8Y>seX4WYxv+J5tAPYt-!6VQSj;<lfp&;RvY;w}>mb{Mp)e
zu@f(5&7aVf`24Zb#W2wwT0dBB_8xQd`aA23=O(wqvi9y-VY383%)9G$%y)M5ikr$7
z?Ix8gGuv02n*DiE_9ZB;(puMiUr_zdjcbcf&N}trM%aAqSIcfm6tB*kmRVZ7>6A^V
zmen8ESqXb)9y+glXPr*pmc-ppb=UV;bs8;-Jt6l-Ng;Rkv*i&MyH-caDqOyIgyjLB
z%J=z?dkl?&@;5K<*p=zmdMIdJsJ?aN-U+!mAs38~Gz7nR&Q)~q$8)3Pia(C+jwKHk
zs9fm1|M=1_cT3U8g&`@*+wW{Ssb@Y_+BkC|FV9W$d7A#yl@!0OnlLT?=GNxus_5s_
z??pWniga9U>U(Rx`H%UU@+oy@Iw$s)R8RZ9Y+bNEbI^h<+WbAG2j{DFiW@9=x_Pna
z{I4o@o0+Zsvo4)8eEp{+XwPl;Z^0%?caOHsn0jFTlB}tjUpk#G+&A7auVVhU*%kA6
z1<y}oS+^qHoUdec_tlUMHz(b+Ns65I>B^eoYbzEmI)1b0PU^-D?WbeqU;6Dfo#Mo5
z(V0Ep(z__@`W61J+m_R^7DjM&)t{TdC7Q-J<GjPNIp@z^_?Ca(<2ko-Y*_xB-@6K@
zolMer-Ss(ThRUqE8HZD5OjYCfd{{=|aLvYlM#ly7?n$3GC|UMIe^RndZ%3EST+=qL
ze>w+lJ~A^iIrqV&YRAGvzi*P+z3z|A*}qOX>zMqy`z~Xjo33c@Ez6D7*G`2_EKIh&
z$!5KAc7VX{X<bp`99uvAnKzBqHO=_1t5)&-u$vc8bAI2w^G3<#X$kMyctpQ9yqq(g
z%a<qj?Wz>_r+hr>WeWGRCT%^r=C+l_>=^aqHzo2{eAs+6Y`Vb8y=(1UZa#T<D(rhe
zMT}FD)tna&pVr({+*cEy@ov&NcB`dvx>7UUrv!IxpL=QJ-#L$R*B<C&GBb&JBATDP
zwBmeO*Y>SJdzN=R+8Zsl=x=50>s8mi8qaCfxV1(Z+kLzF^P$DxD5)D;(wC(E+^Q&g
zB3k0S`&pGUN&0h4!_1Ga4}5rCEBN56CF{C;`^C*<W8<grm*z+<X}WKD?oD{I#L0Hf
z>AP7^cz#Qdiu-b>a@`!K>aF|c=Dz<kIqj7F%OxCA!gJofw|q1|L|XWf_8dRa6ZSK+
z{|fu{&sAPF>zml;B>$}sRkJrw&zN1?7p0Z6Fy}MtA+27X!`0s`+zJnM_SsggzOi8T
zk(t`xW8_mfpG#GDw(kpwIM~XcE30iX)#<>8E9sM%Z=aFYIVn&Y=40qI<I(d+wk2J*
zUN?gJgl?@Bt$EPLH}l8d*80-)*Fm4MlX<47CeBE&<tcAGQt<exX<q#i#&<bc#ieFn
z1ys)*7QN^Eu<+2@!^X!?S$baxxTtlur{$N!Ly_$d|7u-Sy%xAla{BR{W37^lw}0Bb
zyYs%Ur7r(GwS=vO$KGDsG4IrTxmVlRVpMA%^&Ma8zx7!9_fwy|0vFwx%GLTm@?o{+
z)tjD9>$8lx^Czx9&dvKcS0j}9^@HN8n^j~sNHf$5r+0o!-4pciZ|vo*T30`ZGkG)5
zzb^jha7%cn{<gqSxp@7fXZ9|2I$)6*-MWXr=!Cb)%FsWyE<dV2&x>YRqo+09$@%cS
zYYNL!?QVL$UMsU8Z`0+j8-2QIOA}qr@m`g(e)@M#@KN*q+xKnRQhw>u8Bg!6lc)8D
zMV;z>_3={CI*-%bPNL6Wy?wtzC?v%4j9|jl{-2t1U$^YKW&iBp!b>de3;r(Y^x~Qk
z@%@6)X`zpqzFXd1|F5)3@5FoC2-9oV&$^Yn{)%(@rCY5hbmOih<96%EL4xtuE+2L|
zfB3_x<V{6e`e!viPT`ZfC>7ZFD4pY|_|@e<82cWbox5_Emj<8J(qroiG`&QQ{#O!-
zR}m?nUHYwTU&_`csg+OMwf;ZkIykc@{d8PomU8sBKcVbPWnMj5!}#9w$@8Bx%e<ca
z_MCJzjn&4^>ET|Hd$S8>>n6wsrv7OAeB{lnSQmANjwtrk$#S(%XSi==yt{DXH?}9t
z4XeM!Ox$$xSdr!wNw-a#FZZV%4|<$5k)2EYkJ)CsP0#n7>N$M-wP4bEdjTQq=RY)_
zKML_!ctt|XEMd;8*Q<8Csd%_a%#F`xdaPPZng3tiV)xa)rLLP+=DnWxZu8T_Y<>Lt
zL4F?gl9|kl?JOs(H=C!!zxmb;xAPOGTesbbHhi-4(1i7;f6sZprM_ToPHetXYr{gp
z%@#i0{~n%x`mp_I-OkX3hwn6ndrOK7@ADB`utS*>)a=Xu{vd$yZP;aLF1}wcC+%A8
z7$j8I>$_d-oO#v!zulcj4_@W&(wtmZQ(!9^C1HL}!7M-1YuikZ0^Ywoi(krk7|v((
zX1@JO_+NqhkG7BvbqeoUGJRC{C$BhHczgaFy^LiZ^{o^39b*ZfSJm87wf?GlExY@#
zD6I?9%f-AWFFoe?>Es7tRr|jlwYT2C_mBuNv5UC4WBnm(jXmoQG*3D9jMqZf$LD2c
zecOe7XC(6eZM`KSA9vxw!{seswjR5>={Eni-*4HcXg-s%xv9MF`jHQ9{>S1KPX3sx
zB&uhW_qy#;2p8jG#@KpKW~mJ-0m1(La!LnnbaxdrzUxcq%t%xFq-uF!|DkoCinIl1
zHi>?{f9KxboinS8{l7k&;qhXI?R36v;!(Pj!t5ulEL@db|J>GWBGXBc>YhC%7vkm`
za_$tK@q6Xl=IN)u9h~^pd0lcwyRK=J6YFl>EH+=Mbkp6UOe|df7N64gI6Yk69Uho{
z?|_1LY`&=2_X!e9XKu`}6SZ@ac^mrm=6eD6oyWT7>WQ~yviWVk?RH+NY*S6d>K_Fi
zkIp~ivX76_GY&qsmQga%zN|pn((-;vDT8iibVj$>-(r_9vu9X-T2#NP<KL%WF$E3M
z-o?jR+coqg^kOy?pMQH%=3POr)Xg(HA~l}W9Odid&U&g~&~3iC@$T=3k53AB_G+Zb
zXNLcH!LZr>_{Vh1<AwL<Z99^`UvJXe>-)X=|8R1gHaZ%#;IG-dWWK;ThB1XcI}cTR
z`J9;^X^_Q#t#aB+WgTbs*EXl;=;>^B+VwbW&A0M>{u8)Zd!N?GUW$;j4|urzL~{u{
z^Y0~7kNv6Kd@=art$@JTt;e1xPGDU(=|=mH$3K&_1Z8hoO}n`+@|jbjWYLrza<OM0
zR6dV+IQQz;%I6;LkE1kMdLE@qeKu}RiF<edv*hXgt$p{uh5j+~_>sF*;QU-g%QJ%O
zmnsG6yDqu6?$A!Aq8XP@?EE~N>s?Lo*E5x0c-H>$d0K7r;^pb4d{2q$tsHY>b3Ur<
z3%Yj6;UuToS=F`}o1|&y56f;0H`w^rSuj#c{ru&8i&c|(-Dkh*J{#zlGb`xex|)8?
zm>ZWPa|LW2%{o5S$^F}T#$bK;Pg_?@d)=xp8MprlzDruuaOcg@x7#P~-nirHI<EI?
zJ_xgHe{KEGZ@rn;zqeY)r%AlmwvayC_e$f7XOYOR#=^T2PdZmEGMf0dHObNP@r7dx
z&qq#?wuqEkV7o+4Mj>IV=7wtDYLEQor_Cyuq$jWT<;~qTz4=0<8u#8K0f`JXJhOkB
zc&xf)Yy5A@R}RZmU+dt%!FOM-<huN#M%p>TbyKX;fwg6&JMR>1Y@PP{?9|w!hnKlV
zt_#opaqa)D;5uEizaPbCgs$p*sc-DXzF*cuedE3bJ`b%A#pJzQ(mVNDkk*fww>(Li
zc8RBTWKDLRTD@bk>(PszQO}BZE1vFlvA<|lGHIG)*rdHvrQWJ#O)(EFvhi1+yLZ>0
z)hkY?UJ9RnA^R=ME>_W1PXlhbXdi#_^W8EgUC!!8xph;V^IOY{rA)N?!=?AnI)3QR
zOo3AOaL34pwSL_1PpT~6_KGt|)U8x!iuA5qT23+VuH>7mJ`1ecqf$_0t7(5NcUGoS
ztB|qW?N16>H?>sj+*eMFyuE(wzW6Pdr+z)2r#PFN-+BjccWBTGgMGU1!=KE}+VkVW
zfecM<-OT|LE8APIMWx^0*Ic`C`>V|t_xUwW4{W^j<?|ao`)iGyH_!TQ-(GR+i<o(b
zc8s3rEJ?G^K1DN=C$S#gG<{m@ioK_}`9D_Q_$d*-_Tt2O-T(Arw#4ju_1EOIYp-Z_
zN$?uM(2BK(+AXGaYE&0l7V?(<@i5(_E%Q5lifqP{WjVnIU&y^&pgU{#xx!@&J$&D;
zJY?MdAwX}lv*j^W+f%AL56+y)9D3=o*1^i7n%WlGkGx%li~p{E`OL*A-tF3Y)vsY$
zJO^Dawyf^H>N`38^3qA$8kH(naAbE~&Fxu!;b`|Zw`m1kJM^cj>HLtpdonb6UVULx
z^}Q)v=ksSBI4=@t`}=cstMpz!&VAngm$y#%<2P@ix2w9jQPJmpHk%hl++`|Tc4x)0
zMYoP<{@{%|IA60<Y*+FmM>GD$2mF&|SnD)a{My`nKX_)g$WE#3lHTAIZFe_YwAL$B
zHJxF-8XLZb=eTN;g+}YKuUfp7KZ3TaEnEJrk@r`l@k_?8eeN$$w{$%*;(XWP|8t&_
zwM@5w+Jq~~#Z!a#xrjZRp}#DG`)Kc6-JhB>4jjM!PUCfr>axY_gO|;m99H~xYM*Ia
z7=Nd4+}Uthv5ZvyU#v0z!(RWJt2<lQGSuwSyt|o6HjH+^Pk&Q+pz}B@S5klCQ`2>U
zPqNlrG(9Ksn&Yq}PgLF3$V;YH-c@R;o|%GWQ(Sc&-}3Iv{?T@a$uXSEsw+^-cU!pi
zG|5}Prat_fn!4ji1luz0&xZ`8BrG{y^Hyf`#%WmvC#kQq3Rz`l9QyX!R?|9r!_cRu
znip=*j94S`u;}#bn!K4EM=LFE`DE4KTd;lhTusTH>s{@woJ$rMi(d`WFwK*UX4#Z;
zO-W~)+oBssV#IE(>^(9yN#)(-X@7Gsm3@!gDUxw~R`9I1z3X<(+wxVp+HKJ_`^<NX
zI-NsI3}Y5`zJFqCcROMIk}M_GQ)VCRTxREf*v;)c=}}YVk@Y^YYTCi8mtOqKEq1PK
zU&x)mY)_Jl-{)4{_}aBczRy`wKfJ=fb$if{t6cnAH7lN&TI8P5SpLgu<rxS0lM)-s
ztgk;^wo7~VRqq^0`-pXNZ#4Oh?s+{7*S1;lVPVp>pDoObw`u?Lt-UfkO7l<F##yGz
zEZHxyojX6%{#AVc&h^EOr&c7GE8p?8^t-l0cm~&!pmW8}xsMm^eEufZ@Xx8qPp76-
z{b+Ps=J@|z%bwp~f5qHC_|a!=OtM+uJ&UacGrmepU1W7}?r(|odOgFyjrMvU)GhTs
zg#1-ly_b8F)QW^x8c#Qu2Un=K%CB!)(;YJ5swZpY@zYAl%7-iM4!v5MwD6wZA**oL
zh~5~Tt)0o5g&sOvT-(E+U%33w;GJ&D^_TA$@|~?$DXes|t=zR|`nna5mS>!uqaXBS
zmb*pup0L}G>pN_h&EA%?<!bQF12c9_-PCg;vHEn&LxGK3mE!CdTE#}ZI_SqFCcWvS
zW{`y3-<G;mJ?j&aQ<vxTgtauwZi%=(v%X_)X3mbgjU_1^+ZFz)oq1Zc`S(trD(i5K
zX--Q!|643Rcl~FTXi3wG1^%yJZ(S_YZ#MPvHJN9dlQwJn&2z8rey6wCcNXh88|N*b
z{$5%Yy*lTuNe*`>!%enxn>Ss%)UxQ_df8<{)AvqY^h%AZ=VMUod^O+T2}jokPB{8f
zSvuw8Ol_`BIrsip&Na7>{Pax3>Ssx1$fc+9*TwHO%OuY7T-cL$f4a>%levj+mT8ET
zUh}=Z_S`h5Mbl##N_#GQtNQ8pZr8KP?RfZblZV{PEf>Ys_MR)ewZcPlVX!ObU#-U<
zCeBLj*U}7Fl6EtBuPwL7#96J=B0H2!xz}Fx`NE&IMCHP?_>KOJA!VIAC+cW#J~2m=
z`%|cew-Un)#p?C@c2|6TqcczS9kcYM<SF4r8*`Q>?&&_XcoRE&$+JBS^R#Ba+j(%~
zHN}m)x2;*QHzjpFW2{`vgdKv9eivSS$0h&Zn)3JX2a-(FB+MR*f3R!xJ7xJwdCH2T
z3s0#^sp(yGHqy6C*`jnQLZVh>YiZMm67IvHaZZQ62K|l`YSZA%T=(Jg+!mK5rd*Pd
zPB|wsSd)VvcD$a*cWY7VQ<;gPyEff8ed?u>cK-G0Q~%yw%ICYp;oQW|xo-oPXXjci
zyE5Ta|Emh|^Y50M#m4H*UMD9IS=in7cFr0R?}ZBuqhy|Z@^d%49ePaa2g9zl!n58+
zW$1}4T<9IW=K_z=!pb0>*MhC)QKxS?>8QG^AJ?e8ANw#?<X-ecQLg{S56y(lrs;A&
zRd}{xw{CburQU0Oos+pHp}Buzt*&0+IWqf=-l>%DYLk8Ao-j$DHvB2PY4zf1=lDBS
z)?870?loP^rukK9x76Gy4&&2P=K6RPM5d<9UK~8_%;LER@2=#1H#KR`PPes(+B+22
znd~{KF#lfGYnzt0x14tb#1_pINN+M*^^42)s9;9cv&)Sp^Z75BNA*2>`DHoRnx-s0
zu2WWaGW$&y9lWRf-u&~%DYJ4ipIkpycDf{|eA6w);trwT53}6;m|MRWSSNoAdbMEx
zie`3~mOq<%L)jfwueMKQ2-Xjayd0Tgvb8lV;{V)uk-&R(cRc^jzkBP$L%)6D89)10
zd_K*-dfTs6d?f)Db6xmUT~xD=TdxYp-q8~E*k!_<SHJ7>)`x;}4wG!|*P{F3PyV&r
z`c4nk-nuDt^7U^Pfyae!9En_UoV#qz<gUZNI`(Rt-fP|-zr0iU%Yr>8J|0i(`=NXF
z#_20Jgt^`?{cz9kDxb)NnWk!Gdsc4zGw+tN%j`>>A+sB8k3TKz4-S!W4AJ3~3H9GA
z_vS{q{zGHGjj}Hfy*Dj;9WB@wx~6=iO>m+}OZwh-hpMh$y>E2?ps(*#5v?;RKUcnV
zPw-TTSnuw>b7tnNw6vbNlHb1ET9M7S>yjyZh^hL^8`Ihv>g=jc*VL$ferWzA`u=KG
zQ7-9Ee|PRTUgFfs*<%s4%0#Viddchy2NyOK*~iDMzy2*IB<=4h)2tOSLKYLX7aZWb
zc5lm+IX3>))>D1oF8{DNX5UxG*ZVJuxx|-tYzfWMQU4#6x@^Yve^N6KnFU=p75Tr<
z&C<(C=>M6DyqwCy557%ZXAa!kvh&P0wFkyeCmmpTxAyac+q>?Wh3>uOUi#2tWk8Nh
z>N_W0rmTZoxpj9;W0`ez@qvGnGL)OovEEvKJX7;m+J!4lufAq{Wn4M$Q=;ZE_=Mf3
zgDc&RIc~ncrpmK^^Q!5qs+NW<{k`?i_LXg?x3Ao{{=6l-<*^($R_P-DP2v{QW|dd|
zE!cLu(d6R4n6wqoEI(U3JQMr%yW94KX}P_}LiBj=M8!XrRouQLAmCi5gIKTXq`j*H
z<6XE;9zSCAX9NGMH<1&|)&=Oa7R9KlyGcn;EaVK_b>NF*))Sq)BTH?*mGcOe>?xo1
zc&}pL_cn`rvrp|w&v&ew8nLd&&&NxtHnfpDxr>`$T<^_c{jY`%wq|!c&TzeMJbm;-
zgWjT=_hEUv7hmdBUnzVnR<v%<me8ag%jPwq)hl&b_I9RoUtdtMXLgS60)zRE>jK`g
zlq?p#z_x7jznOlk*7|v6{pv6=1P$Y~ng>+yE0!@lKNY>IcgoIq&r@O-wQha+wfkX|
zwc+Y1P6v(@o4wzA`Pp8}1(rci53FCXO3dEt;oUh)%7XqB?)l&@UANkJ^>6n1lVW2X
zH3jNzG^^jVv6N4|x?r+nvYPnhRT-|Vr@5~Sx$H^cVvRF+dg*}8#KwEyc->EbKdiB1
zZorSEV<~ap73E$ms5xa7S+B8Nl{xNm;^nxvb58Y^sm>MKs=LMa_e}MU`6u~HgDT`d
z8hiVs@14*2Jbm5vlbT#Lx?7xf&l4=P{kgD=>;3W%=eXn-##~+4^m*A#xl@+!HD-%h
zOt0K?=Mux>n}6;ucsr+hpWp81>K)bhdcH-jFqrIkYx~Q;f1<X!eGf0~KVrva?=SXU
zN_zDn1^1Rvtz!}jAGsf&6|L($BDbY3<8!igZe5S$#_g+aIj=G^_;s;4mSv4uZ2G4g
zvladXotRoAuf)z%8OigowdQsI`?Y&M1iK!d#D4U<S`gC&WA3u^P3MiiEZ1q?+cP7a
zFWV>J+5U|0=|30U)&J^yqERm~=&j6&gOB1aMYVpl5RdMkd`(MdOYm~fbyG}EW`3RW
z`<|aZ`;vf6jg-*K&ziDct(kI+V^z3NM$CDW?ZsA$TSYWvwk-SUlsfCS_HRp<nGcdh
z?!`V_dX6hUP1{H4x<}~R;LwF1jOU2n51;vrn?r|l&gs8png^9#%qPdDw4Sz$&0gAJ
z&2`SuC#s;D?{IWmo?iX-{Y?788x{s8%Y2w1_1jJ9Z@0_*i_7D-c?#U|;*o#7SvDyt
zIb=hu{hU+BRux`nzq#)HYrpF0r!w=m<u*SlDBGH7QGeaPe&vDW6P)L6hghvtNt7@0
z(!Ce_&=8#7TDON)xVOGv`r%*GW{%~o=~mM;@2flh$^6JHQrEV@rk1hCaovOcobKjZ
z3OfR_|7i2aDJs;yYK}i%RI%Y!<<05eI)0|uSHCh%WB9jj!{jH+1lC=QwY}-Rjq$cC
zgZ+uis+YaG8SY5sdVlhdRrKGOC8cmVA-`%vwA;7v&J~kY_a5u2Y+%vz*?n)7AHQdq
zsiDy8gL+J1Qd7_SZxqX4@uFzko9`)m8V^--?0xZL2D`uF1vP{8oB09-^Q%_xt+36|
zpLbMRdr$WRoq3kuWj9>wQj&ct!Y=#vrsnT$^Ea_4cJUb2IIEu)KRV-Z`i-~^>)tKs
zh*y_M3%_Ffy+rU`W}-=ZXxHpDK`HDHBtpNstc=Q=_c5XTp;z6{fYS2Q3s;>psN66!
zv6BCr_=Y1s2KK4?tG_sA_;Wg~=1IR{>-aXbLwb_H_w!%>{9vB5E$`i-<p<AgynK7H
zRd?UJD1Z0&Z})s}kjZ`SIXAt3+jf(zBk$sF<b1cDGFve!W9GdJ7p~XdS-f$#V8Z6T
zf(@Lv0%PS0CRxSQbsTEn{UcGoblIN$b2Q_%+Rpb@$Zwardr&k>wtaiJW|!im7nNK7
zRUO;sw|BZ{<GvH8ZtXZ^A!}!TsqNBMlL^n?%v%4{>fX!H+#k2!U+5K%5VGitf2?=!
z#{EDujVY;dGlLhraqR2yHkGVidH%G_nvBcg8OJKvTd(h&&QrGh%WTa@v5H5cw?41x
zldb0zeN)9J+;FXUM*N$qKGg$az754K3|r<s<}6s16F5)Pu=%^v8?`xErmK{%W~`sU
z#kkl)#-s5O+oo*hotokr+sbtAIVDM%MF&3ow5)B~y(48sf;S}}ZTygWMaFB}0{);5
zH$$<nu@^NAwQiWp`#qiHFzNmqp}e(ogo9Nt^6)Qe?@F`M+7tCqT_|t+4<D@>)~!2d
z?fHE7iRx3sYplPue#Iz!S!w#`-OFOR#<ZaCHb%*xn|zl#xTnQ@>hC*q=E>%ZLcdEi
ze^{D*<2-TAOwLJq>(jNX4_RyfVG-5t-MCRG_3Vx1$=dZhxEsS>2xurN3fx}vST!mu
z@ye?2P1UEr_so8fRN3`&!>zYDw@+B#Rynlkg4y1iZ&_}>N&C)Tv4{WRkxlJd1v5gP
z8P3s7PVWDg@O9<keV<kyZg{D){{D7@O^+Wpyc1&oVEA&1K;ss({<h_xa=18iHnXqz
zk+tAW_=;nZOt+5e$^X9M>2u@<BbU5}VW6-wtK6QD15(C5b+Wg2mE{E{%#9PVa1>+B
zae4f4vWib;;*m4W%Q-x5uTj60vpHx^R{HKMFCy*NE0#xW4r<l;+v(}Wq|0-9D%*)Y
zkx#Rge;H|s>|6X-Wp4TECHm<fH#RSL$n{>ZM7)2&oij66ruYBp)tRO}(R1>z%Vl$)
zyi&S%sz4%CLF&zh(C)Keep;vQI(^rb_skT-4CUzeFTM)9_b)G6@W4iSZpigt9lwwL
zSjZ*6>_ZsW{-}bokH0$XJ+7Didd<vs@-LIkk;YF7=0VHm%xWo`9j*B%tCd~UZc2f5
z<Kj2hOmA&@-rVS|BC#R=srt^TWv7Z?s_XGTwiT5NuF!6c*WHmjxzJnfy(gdW_RHH|
zew=Y=HRtw6=esvQO?y>yHSST*u7icWMu$7@{d}t*xB2aY`cGM3CxHs7Zowul8Lfm~
z!7Mp0?yrHbbno6#D%{HCUlQl(<!T-)I<YVOqHpJ+|4MmDy5E8yJZ1T{(5QGr<;sw}
z!up?bhG*Ba>kBTQ-Og1t;l<8-W(W1|Z|sWKo7S<kvG9n^^KascOBNcOh_&;uz3RE6
z|Dzt)`*k0bTIYLN=w|h8i4LgHIIgkojo!hWm7Tnn4Y^5mU;B#6cTTyL6(#0xtyy>H
zZpxjWaP2=Xib9zQY%>jVuddb?Z%o*;_)xp|^jQ+`&o!%adq~aLow$F^G57O34+U7>
z;C8lV+j%~B>XmrCpz{^(t^DB??5*?lELQK_%(C721GoS5(@j%kn~t}0pDU`I_8{!v
zpMy;cH<cZzP;R}>nKwW6j@@hj<2+L@8~UE{wzY^k;Pv>`hhVd)@1o}>acw>3Q1OB3
z|CIeV|3_S%Z@kpL=epLP^_#9;?=afgW0WtuI^|`Opuw)#v(3#heu|nVTi<`)VjV2@
zdBt?TCo-30l3)DVnE$jdYFjy9wsB#^@xb7xTAcTTA3C=3tIpYU=CVbZvZHLQOpIIa
z#YMMcF1B)aHES>ZYayEB-?ykJGvVvJ>Yz3I<KH}*+x=vV@_Wxj^@-&{JY~1NZd)C%
z-(B`cU*!1yV>`ay`)D7oG+$%?g}H4vZ|^*F^^|GenwZCWP5ml1s`CohUJSqYaj%O^
z{H{Nf<|nCfui|QcqUOGD(WJn6516ME*S1+)FX^Ao-=U|lLFK~sIF6bfvsbklspibt
zy-L$EZkdY1?L>*E0eUhqT87^^56X(vZn&~NXyS>hLY)(8zds0I%FI4AC8=`X6`Rd#
z3XG2Vy?UAzbUSFL%7jODzxPfsD_OCCDR;@vM&;97!n~6AZg|<VojsA$v-8mL8Cwkd
zm^)0rE<R+|=j|msJ?2f(6mjm!8{%ts++M%M>3w+D4&S==Re!w>r|iGvD^&8M)Oq)d
z2+h{EW51K*r=Bl8qh`LFg<UL(>6V23{C(X2mYF<OTf6r6GMC^|(<d8W`5Y|dx*z^<
zx^Q0d^uX5lQ%%C({ZxH4Z~E-MkI8G^@`cR%*!jrK_@3{>yQwaw%jEf%9F6?<>c}5k
z{dJEzW@NunWqj}X_0YL*c@sBDissb2->xkvspmS+8{7Zr=a%(BnsY_Ye-)gUJoV6d
zamn_a<!@$Wi~ji%Ht+n?qX#c;|C}$Wbz|Sw<wcnZN9UQ#75tl>EfToX#NqUsRljrZ
z9^K(ubX@4YnzY7r<AWBP|2<pPawp?x;eo`EgH>;0w%(}F{uj5*c*(`KV-W@!zWw$q
zjYIrinHZX#ZY)`Kqd~RN;`@7t+xwI6xJIjfs+#sQZ&h^Q!I^JLn>KH?4g31sM^gIn
zZ>>K}FV$l?4lQ0`<nv1Oa;4tc(k7GBRg3pZ9TnL5vFqbr(SPa(Z_eB~p<wpf=!D>6
z$ID&MA1S_iQn>cgoO;o#UgoXUA1oLXW%BD4Z`8fwnt%Ffmb`UHKYx+@EWcg1_Zz+Q
zTUdKl+=T7oTqo7Wy_I}=8;T1yM6YhJh(5z4dTRZz%@594D;})0{Vee`v0VK5ypQ)z
zS-)|-xA5*&%X$8*Zg{sJ|CH#}B`vL${w1fday1X*kL$-uvg00J*FMskdi=Lb<<7nL
zZ?~ys|2f2LnJ5;Ub9iEsamf3WFQU`uua;Z%;UU-ig&%etlGfPMeu!Bs=;fnt9$Ukv
zT6?9wD-{pjx%-Gi&vcDH`j<SoLv|kAlhA%bsBq3ID~DCnW@l~*y?(nenBn2;uhXlp
zraAaH&YN{EOUr-3$|Ws#d8%LSYW-OG_j9Sx3q$js>#O;WYaHLVJF4`>q7V0qj2)C`
z)wx-Emu~3#_WP82!V86M{8eopJI-Z)a}JsHKjElMZD>ug*u*(cGV)E=*>iS(Y8K7%
z^DBSNd{(5Q)kkE%p<4cy=SDT_tvuIDykGU<aq8PH(IXMN%R;l#E=*2rIAYuTF#piP
zR-?W*FYL7UcLcdAZ~1(VliBOfZ%6*%*IJ-n(xLBxKMIxNb$iMmI^25r!_e}a`1Q>)
zzpW38Pti43Ub*sMR(bC|iCd9c!Pjm#hjT<^*_doA^YvNr^NrK9HJ(QgvUXQaiw-<!
z6}w%~#c#Uijdfd>7G)-EvyeUKZgt_O-qlTss}v(c>WhjRYTm9p^&)Y;s*KY7Kpn$9
z2lpS6V4l8bvGJ{%)9)82+1_pYeeIn^CAVe&F_RrmcRr^bW2wAn6<m=m6Z&H9oUeJG
z6do_-viFTzrmT5Ks%P?HK9<{;)P%%8n1z1Rmy+0i!Rh?V?m#~=`Hh`3!`Ux=-T#JD
zXWGU$smC|1_x73Y*=RT0pqXXn&5|XerB=UBSuJi=+{@3PKmE7u%(+!763eF~8sBqz
zIDMDOnWapdj@bvyoU;1Jk{f$A9rqWB4oRF-re8dF>V%$x)sv=kWt40DIP<vk-J_S;
zZ^N6tdMCfz)LT;jQf621yE4T-?z7)S!za&qx14)*{^d8*13OaR6h2vZidSM;p7gpv
zHhY!aP>F+u3X*aAcGcDX?I?&>PfiV5pf9(11<RQqm5*M&+S7VOTt;$Rq4m!Bm#ugD
zPvcx`q&Fizv*V=KVKYIQ?}xsfi_TxR=hNaT;dVX`dqwU|E+~pszt!*cDSg@7?+dlB
zIQ;iv*5y3%V{6{k7Xr^)&4S`G;-61>yLQf|V%453kJmSA=4u!pSaV46{W+~FTgOAU
zrIPQ~1YGr;^P~93-*<iUuIVkyT4>huMU;!3r}(|Xtjo{W+*>Z&epM~#d(@iwE9{q@
z5cK1i9=&tPRSoXF0Xx2}is8)guPpB5O#5;D*s_BEu}4)hYMZ;Ki(J<85^l8HyCp*D
zLi)6#<kelx(j1FQ4TCo%ThBSQv{C(AjN2BU5T%E#`pQP)7mbw4kLoE$J-fMR=5v`u
zxhS_UXNp%DoKIF#sq^1C*VW?xf1gg_A9<hiPu^Z*bdRw<zthekA^D!F)w8!chVN~^
zn*W!5r#B%(k6TZMRmSJl&0U6mfs-eG*48_GC%Ibl<7<_F+x9-bZ?^HW+}r$wDVyu}
zwO2)#w|)<-n0ko)#P1iuaq9*1jr@Jm3>Q?&9F3o#+-PxQ?z;Fj{@4FIr*xNk%TJVz
z;`%CTwd(Qr`boKUciR6Y9gAR{vMP1s<vW{hEM@v+BLDDRPQ>c>IX~Lxgg=$qD|*lO
z-17_1U)owkI9M$^t-nn=_V1?$A2-=Z7~FYWe(`G<_hj3YgOffU<9e^8J@=}clI@N2
zjfYdt=zOvbv9Wi0RC6p^;}6sJ)$8}C=xJqM{vh*8U^k<?P^iw~>P2&%T~;qMdcXd`
zSC?BlFWkSYOU6z#Jo!gkb>`p9g{Plv36M43uv_Sb!}ddQ3&U<KWZG>kaxdgzz4)DX
z3CVM88}^1u{8ks-u6AtV=LeN6m)>r8ytX1T{PybO_m;?M&NaTOS2cYG<Hx@Of7*nW
zmi|{-Xs|Z@m(1OSlNV!8Fn`_sXRR8qSL3@yCR)eXDmHg}Hs9JOvt~+0{Kdd8D--#@
z2~99djoKS6{^#$uq#(J{gYU%)@2iWj?~ge5K2!8h(ay`gyE&EaW^irW-X6Tu<inN~
zI`xd3_TJvxdFSo9FY^!m%=;aiQdZ{GoBH*fcX(l()QkfSwhG(A!gup;*%G|Z%|7z!
z6RzjGy5n!J*m`!|liUejX2&Mg=Or<fRC9mX$hv-+t==^0i_@;1U;Fm)m*|<nHp@Gt
zvRfM8<uYe3+_qs+N^#Tc62A{Z<)05aFy@BNj*43ze0uthE1w)bdd;3ubuDAfXSc<B
zS04ZN@TBeM+t&m{y&FH>o_$iOux|F==-Kz@DKch6U;j~-J5f)h+vR8O|6|3RI-D-A
zE-v3Xd6$=Jtn8GMxqdtD{xZDzOW?`6igWgNpXLjHlGyyw(Cgf;?&F6lS`YnS^Woa7
zsehOFmoQJ+zxmJmkew<Y`m6jd3iieD%Ix$jO|zDXsZgK%KZJAgtVcOQ%$Ew(iljDg
zicnGy-f-^3oZmZ^@`%2%z8$>N#K0@!)YH}07L6;GHrdJ_k2yCnZ)VqL;YsQX4j1q(
z2`IEW`zQEo`o3Oiua%#Z*H2q`MM5mXwW{e|O9E#ypT(WR`&?&h1s~e&V!oxH(=$QV
z_qEHqyZbgL&(#ik95ZoE#bnbq@jcrw#?Lu8?a!O|x0~HPIed1_N=_+zz^vu=ZqWxf
zy+8LtIQDyoT;0E7)mAQfr5%4489&x3V_(VRtiCnwzVE}p*5AC3M3SrbcsyLLwI}SM
zv&!1QWUB)T;TB=OAC6|--Mjiwe>iBz8hCb<<J>VjeGBh9fm*ybdM`DIn4Z~GzQRyG
zM)UQ_Uf$?m9P7`yR;&$;x#9olkk{d<f0(B2mRluU`0!fP=PB&gt3s|u?A~>Donu&>
z&$komslPmemK_l|^KVvQb#;H3*rMgPPF{$8SbL=Cg851t3kR)Y^-q`B)%>NuzFL>J
z*&&<t+hiFj?q>>>6Eg4k39g=h>&+eShkHfuML)bNvTy$(ec?ry4K>(~2euX;4Y~NJ
zZF^<y(PxqKFFb$y%j4d<TO6|Xp{dJ`OCRcc$DGP3*%6!gU8s1{se7{UR>f)2d%;U~
zZw$N;_r^+N;|k%88A)pA8o#`3y#M0E(fJX9J9-zdog0>|sHjkUZmFO9_b_g|yD3j6
zEwcGHb<v)5@lVlyoa+`(Sf{yEIZsK~S8u;&g#R(EcQb<Sw0A%H=WH_1-Y#3TJb3wz
z<05torU>Uf4#`SC`cK(K?ZuBZ*B|WV)Zy$|@qGWbqG?;aA}?(_wI+7m2RW|yD?Vhk
z&R_B2uV~$-O(!O=an&<@w|V=C<v-5O_>;ZXP^-)|YxCa>j^+FMgO2T(9nn~Hxi-Iq
zT_8g0htrD}`?XTebDD=gZ|QzAORP`$XF&n8%<?kno(a`?KcWl%7{3ks@ba?bk)oxI
z?%!f&Cog*_<qF#9x%2tKfOm}7Gkl(2VsI5ZYWIIfzsyuc_6giikNx}PIa@1uMt!Vd
z;jNAb-HW@W*<R#)IJf1`@0y5zcgv>!`MrPFFChc%)ylcM^3A_rGC7;O;2~GW;*K}B
zG?;uho8EO2P6=jl$ze)do|-ArbJJAm)ee`(LcLN4Q+pOAiTyv)k?}}ng`tvwOZubv
z7M^O8@6UKK-$MBR%%A6@^RKSmW#eyu-u7?Z*SYW4l&*SpW##8{S^xH3Usuxo<M%t8
zfB*LB&VKf`>k#|dAN2tbmj{15s}lAnE6Pm$c<1uajWc*PXP*4KtR#bP+rpd2+n)7m
z1$&tDb0`<tu+5k1clp$2lD0<Z*y7s;X4^f#)p2<oPt)sJS(xZ!%DzYK!Q3OMTh4Ip
zx_ZK(NXmD+m1%=9$DzkT_k`lE+dsL}Hpf(G72iXj3v-@by!(6crHSR6PRfS*lz4k}
za*6AUJ)YlZ@}e~<V|O5%Y^P$AROaUYJvE19_fAcII^%#Q!^8ur?>Mqo`CPspVqkIR
z^npJQJGY--v2sWMPlNE;Oa2w}J_(q4p6%ryrB}?~r$v=Y`P9DbYRQ{>UwfLwSJ_$@
z-8DDAsBVAIvz&2j^(AiBDbM5ueSW@9JQe<b_ij}lC9&7@99!ozh{kE=U3KVu8ZfoK
zZBF*3=YLe5Rn0ph;`YIHLRj&PJJB|t6<!-GbrzgmXy)np?y2>iH@4?ps?WR4DsD;2
zI+3)x^5-?SM_ad?d6cjyB&6%|xh=PL+)cV9;<Uhz<HwbT@B9_gx;nN&P6uKYBKW%b
zkKIY<&VO*kY+H}%yXQ00oUO!iJ)|?8g-*VG(y)HBgg!HKh2g<hUp&p@dd<4eT+Eo5
zxm=Qa_h&vm!`or4H$U*LlniJKJfARawnOpUybh~<st=a4)wE?^Ru^@=(VNQr;=KB%
zjGNyDy5{jbuC`_0S-3p0W6P<pE>7#Zv<fC4JG9cn^x8BVspw5}byueO@jm!9Y2Ou9
zUzz?VyQXc7YyC2(;r#P*?a2<R3pkvDnGdQ6eev>5QrNPRW!iHq@35I{$s1ysm2J&8
z?9OC-FZf~Yk)t2?F<bZ?-2G)iiCSTq`d^XjwJ*D+yJuBQ-|+6dX?YJf^XhpbJ3d7u
zUtQkfT4yHybM6}v`+n^v{%`D|?XjnxF0=ajXzi1fd=c~G?vf=(_Oc&HaP`}@V#&&1
zvwvzI?`3&8ZQeH44{n9r2{|{IG89*CxhLM?eV2_T_(sL2*SAd8%fz^E$bMuwDfj!7
z9~|C}x;_!+kDpoONZx6RUX-%4jz!7r+H3c&+p)YJ=|$&@H8(kH9rmeRm%K<zb&qfO
zCf|n|LC?15@ICpbSyJO!;ybIsz<cElTUYN_PY$%1Uzij%b=e%Bnw<tde9Jk0%Lo2g
zVi9v){9oUe2alQev0Ai!+&=ly4q4k7Lgj0(9NGIk{j$|Ahq{Y4`R7_#iVGjk5))9F
zD6Pb+@{WI2=#rZ^ohGKGdj~GLd2`aqz2{HOs!g22yCBaeL@iHq@lyAspnV+r3!juT
zyU#zGDwU$M(E9m0lM62lOs`K2oguI$akC#k&!as8aYaED#W^Pma}JmrAJ=ETuW6a&
ztmM08zrs|;Z;JbkH1?RD=i1egy24L;(&sMk6WXhm=1FhKbLPr7+U3r#R#1F0(dFNY
zqg6An3C7-(@BO&ty1_iNoca41TGz1lADeJ1TW85Pv!+LGQw}CA{p=Max#!91TT<)F
zKJCpt(#l=e__~(wik;Z?0-b9rL6H-_2=CglN3pxR?$Gou(-@~O!b#O{r+(Vc7VP)w
zdjV^<z&Zw_hs?7Yr!1Yx$ntyHRF`D^y$TzrZ&@$bmpI8<Ad6FFxALwzjB`}>6dikH
zyGQY(+?E}3PZD>srrkbve$kr$UrV)DyW7Rc9a1^>Y^Bn}l--Zl_RL?_8r;$uwko0W
z#^e9`t7bB<y&tf9j`@``fB9)VTjbXzTfe=wy2v#8Bd?2n4Euf)O&9GmH(zxXG|#a;
zRK2paE@+E>$%g|$q5rsSuJN`@AE{em^WtH~*LMw9qwU|lD7tm1dilzgVkfSfuy5RC
zBXf45Y>(+2N4`Ei{)wI8Gko`py7}q<lP(b1s`S(8LSw6gxoOJzBOZ4qTwru=vb!NG
zud}?BabwHVHL2JCgz9WRv$TEj-#yHSEav2txtCbFR+`MuU=iB$+`cTj^555k0dnz8
zRvTC{wXJr|;TCqAe&>;{bg^;esnuLN-)eo^ZC#}I{OfTep}Trp+R7ADR1aUsdgt@%
za)R@(dB)34Q~Z=$EU$`B*9e_%yi6~D>8_aBCL)s4jZT#pte4upymqqu`OkM|zbX3B
z5q(lHe6HK7Jpr?qNZb@#>6Pzw`jo_(+aDX4Hy#eV#2%rM)%$3wM<L^G5%EnspZniS
z>0~Z^vS!JRz`6I&9pC$)@r`wEW$-7yBXLnD_pLtpKJcpkY_3Hu>n#)?tye$vDQ5GQ
zr912A=1tFfKJ$c{W1QN?ocQ>jo$K@dKYFV-_wB*w)0KbNupRz-NY&u(?#TgZcd{q2
z<{sP0al`RB|IEU5zWU3}{#t}*l<yHYmJ7@9O!*wY$kspXs^-CxJrkEb?)tMsspG^k
zA=%%0X<3K<Sc;gbR4EAER0*nax|`<saAs`k44wNvudkV=&gjzodi;F$qr0a~KmI;#
z^6{XQZ_OT?>DHS6*KRtbzInkH`vWe#*PdiYY0PzqN!+0_(Qn)C5+k1_ljS$h$UA&#
z-^#_@lRU15-%8yV%)EK7%c(ri2NRbYWX2!YZlB#09HKpUQQk6{WcT!Qh94J*FYS)~
zaprp0s<Q^xzjy1;`@46}@yf4n+Wa5;I`(&7{_Rw^M632l`uC*_#yj6{vZ>n=b^3B^
zXP&3<hw`+~bHi_h)Y&-x;J!D}#q6xv%I!1Q#Ed#bpG}o1e>*p=V;%Q;mu2;g<;zwH
z&E3F0Q8p`g``4*E4#gzwUVEF-$b0MDJwn?9^cS3Dx!shyF22W*FRYVg+xPdAZdl!L
z_Fc)pFRIOPYLI-rqj3EmCt>;AL*e@;xm}M+nJ{7X^_PpK!!jQ2pPrHQoORZYO0#=j
zua3-J8#$5heb&+2nG@_P<=P(geOc{X7~-|e@DNk=0}I^(UE!T32UJ$|-?|$+Vg7l|
z(AnpiT&h({z2#1Sm@DLAK52_ld@ze(;p6u&?jN^QTlV^U`|Ms#cTeAAFHR^NG-a!a
zIWU_!PWXf0gMyOX(MK&J6ZDtO<ziob*U!|r;#<Ao4(Yxl{jP65I-fQ9Qewhudg<0>
zeS5<OUzY68(?8ZeeEV5~V?(vn>Y{XCyRV1qMN<DNUtD$J#EuN1upl4n)K1G^kAGg#
zOxY+Wc_wgnX5Xus>o;tE)o$`jK}W@J`;3wTt;uE+s`|Nw%8GZCJ-^a$KEY$HTt<-N
z(xhhJUG+Cpt2C_j?)NXP(XS{yusnK4OE8ng>?1+DKQ}BsJIm?o@*8VSw;x+kc=xQ*
z*I8N{rmoBtv6AQw*__y~bu7R957(`g8!|N(^fbg6==&v{NGyok*d3z!)ql-|+MxG4
z{=N*}Vt+U2)TeVs)2?5?kz%)D4{J)L`LtgZ-?o>pI$2b{&?P-k{mrERGmYctTrPIo
zb0N;!vca44*`!tP=Et5tf9Oxlp<N|!*SI)EPCrwUXmb6{l#P>v%<DXb1D>lE-W5xY
z-M%LLTIutu+LHfXzIV2T2!D@R(Ruf|_Um8YDpO+n=eKW<H@~*^T;%nP#MtW_4m5Xp
zH}9$}O=LPgQ{_=~Y1EM;n-mY-zWT~4VqR6~_l0#LSC@3JP&+xvK+|B-#uS65aP}Ij
z!i%DMD(jZ%T~|HcHlgY&<NQ3uufNy|L`Ba_t@yp_aGmeF@7H8cN1e*r^l!(pGDcG~
zi=CVr+{N6iclWJaC2yE=zkkuIvWc><S1lFNh@92ZuljcVj+^flX5Vc4P!Qc%?DItE
ze8I`ZGbbINyEOTwh_v@sp97-aZ9(NFdpMGeSn7U=N{8sjF713@o|W|9&G*k`E#EzL
zD+S}1bcR1m3*LQJXOE?Dur_Ck{+R_1-wyHA*lv6ie{RXn7t@WqpDl_%cjif+maVtt
zv1fj&%jd*3Jo~)ju*$T?L^)mNh<UFyCcbt1d`s=k=YI?~E<LMt1=d#b9sm3LS-bPz
zr;gv|9hNE5WM@uX`HS(JSo^8%Z)ysd*XkMk`Nx*MEu}Qi;Ixl2;~@rajXPgIi!fwQ
z?ph%8r!!;fOm8=xV)g8p=N(?XQ&)c88#?Qz7RTzxYd5v54boP9yIxf`quBJYi)&Pi
z+=E3$y+$UIubZ1h4Et+Va8HwUOuZ>7sU&D-<h1aCDdT&d9}61Jb5|%n5D)$)D6RU$
z(9kz-vy<@kv&(vg_Fqu`c78#xkecVlz<tKO&F|)Bu25upacbM^vioJSYPZ+9TYCC1
zTg|mozHmWhqHokn_P`keCJR=I=`1Y2&|v4x`{M1Tn^s58F@4+6EMa@tT9`qNPiy^-
z*)4j<LlwOO#igZ>n*{h?|MSGa!|cP{E|c#pBJV!4dg+>KDk^pstU4-wD{`Cn6ivNX
zH!}bF^GxGaWzE{4d8IwrgUdu{G9zo1<JXXx5i<?)pMBiA=}3%hjMSzXG9TW$-N_2x
ztajwW+ap)bJoz?l+gpb0+g#bVi=A)#CEw<o7GCo{T4#B}y!D6YtO_l>oTXfN_pw-v
z$!u*6-EV%}`^%0rT6pRw|5mnGc>Wuw+3odCZWB24p9D(=Ja6l??iCh)J;DD@s0jDF
zw54m=n0uy%&j{E*Q>(OpiiZ>9vqR5Rgg=NYHL{rR&*NTp;Mt+G(LWxX`}${Zy=r}a
zbl3e#PpK2jiq>iDv6{Y)yZYSm?UM|?pZIwqA@rB-DTe+z^H1&#zNLD2jrXfF``2ie
zcxo>{QD^kqtu}o{?3ch}p;5n593m!67nshtZSKbW>;lI1_ih)8xztU)&+oEy!OZj=
z7q`ieKg3>|@cH=KGM496rq<l@Qo=6X%M#Yk6Zo)_@xA;9vsYHO6BjS=dZ^_m-S5nK
zf4{5Q>y7<&&2JWK|Gc8`+Hc94?%5YvrYy8L^W<f1;JkfvK5g;&BPz1DddafF%38K%
z+u}dHIP}%TE3>1ehF_@ml0up8Q>84;8(YeGQ?|_wk2q7aBqqK6(i(@%g!8}J+B_$(
z;@x`o_Tj*@S<lXu`9?jEwV8jbbxyWu=ti@(KOZS5<eIBZDQJBeJ#|_B-pDK4S2-PM
zvRt5jey#4q+^@%OznT!Be9QLGrGS@Q28t<_9<~3pgJ)}%D8zMFMheKavFzJ+^T(~A
zw9eW2F<m<({3lNevO0R+P})IXEx|Nce<|ySprF+^_1Eb+%P+sO@qFf^tGTl*?kwyq
z56gbEx6I^YZt9~oSG4!LwQQ4G^G)CuSLUkyR^ii6Uw>Y-v!*t$5JXh!#Vft$Nq%F=
zBK}kNji!)`%N<#xg8z$DU(bkBS1>zwGO_jkfvNGzE3EAdK@D})2VWWEPl&ym^<F$l
zemnD-Cj$GIp1Hb1Fzw0dTU(cVYjmCNiExcwVlA_BNqzRgM3;jtyXW)&?Ung;)pPj=
z*?VX2Jls1u&%W+ts{}{OBDR+*vU6VWDL(9LS)_jH>CRn~78)FBbK+n9B1W34_-tbC
zTFW(SL)*7*eYIg*nC!J{s}A3aDvj_i>Avv2lX0&#e^S^Z`~9<~Pc>F#a0&Q-yN2sk
z{p@&=EC0>*+k9u$oOkzPNWPc9tdL!`3-hHFr`O%|ef+Fqe~2Xe_Mp4M&;GgY(}*sf
z>}1d;%X6$D`|{H}8SlSbNiulwa;t|o-{l$2J2;*;FWcHs%O|eLuCLg!Q!{^M{FJQn
z^Q)K2mhajzYde!@=p{YI`O+WmF~&2=wEg~_y!s2zJQ?8^Ulf1*XvkkE?wK1Dbl>%M
zS5x(VjYS!UKPHCWbUHj!F6w*P>|<r8m%Ck45Mw@k@=~fz<Shl;C=I{t(8O0O4z2L+
zjl2D4(i_9ag~t|{i>&hbe8Y8qXHE2%Z8KHQK7Bu#H&>+2XTpwI8igm@XE}+jRqQ_h
zS$aq0vDRf%moabqq?@@waN7}?F7Go+dWJif{OI(!V;(Qdvi9Yb3D-QGXDr-(@J`xq
zg@yCXw!eDkGyP9#Do@fqVY~82wUd1hE#2{^<NB=ck3T(FS-jQl<k6kc@ygq#oH(+t
zcJo|U4e!-!_Jq!L;f%KyD}MXqSf`D<(nKy--b+t+UiNxrdSXlWyDNGr)z6(?iE!jT
zo0Df;`>*A}u|>xZ@K#B?_+Fa0xAFOg#zM}^y*J9H?AsBwgh%Jm_gCJ3FG;<3DrWDV
zbbfx|6d8e-myRy3zbaQcCQM<~cyVlTw~>|_i{b+=p%QPFeUHwkcPRCIIuPtG;eS?%
zk@3T~NAnK6J!;!{d#8E;^MCCZ3t}5;G-fkve*Eq`Ye&>Kmb~q@jkh<dPw>55+nK`|
za(K?Shc&Y<+s#`4T08P}S^C<;EnCf|>V!Mz{Q2tQq{Z@{U6P~ra?h28-&|y}iksta
z-b_?jn-I5CCE$nIgD5%oH?c-mzk)Se>Xy7~%AKNGSoT%yi`cmpUEkK<xpe)}*O<j$
zJ6ax3zH#rv5s?56DdvOAJ}lzmX^KA~zB+hgZQ=eY-M>G}$frHEJpD$p)-oe?+uil%
zehGIc{D`)l?4{m$%jAE}n>P<`tn^Ag?q>hy`1fR)e?N~@uRgLpR3mG`xhHjpIA^R?
za@~F?*8Ae#!`$V1u8sRbrwK7Ud;Q+y?^@kAJ6)E=JO7*c>Co}nr;5L-9^SIw_sXjT
zHVMIH=c^tiEss3+@+Py4S467X#)SFv!f$+;bM(9GJ9+tMzr^x1PyE}w!07dH*3LR^
zb{Dm?1(E_=J)eAX-gEkf*#D}eY|jGK+_m)w@7=lSlyF?7?RM5=Znn)669qF=^s;{+
zT_f3d#e-?#sai=%X^~%ritTAn8a(TqH>QdeRfpDIFWqY)alQLyj{Y4H^#yCz*dD%R
z+?efu|Bq*)tmSmB-~Qf_;d@tZ|NACrn)kxIrtr55W?j<W`_d=d`s$QoRbl=)i|#DY
z-`N;ayhGQ`|MjLzs`eIVeibvnywc#$^Fxy{KKXt`e)kojQ<+AF?NY}pt%~M|KHE`W
ze6jF<&w*sKi&r1G8YSG%``_*PsD2^0caZEqo{c_Fj_ajq+pBFWs+zLvirK`VXf@N;
z#tl<zIwCUeKDAyhy8MxOwBhA*I(O7(Zz!L-l%;|1uk4!rqOH==n=%>a2mfdnn_>S<
z<lgL)_tVcmfA7ov<M-?CAHQFz{<-@*^Iu)6X?_1X_UWEp@3)&LKJ>eF)p+)Ymn}Bh
z9$tGgI<M;#UJ-g9^@xA=Ce4joKU`d<rL`yevQmqG&eTxO{h4zQ8Lrs<b={0vCR6y?
zji*%zUsKXw6Sr4!YW<X=7t@bDZV%5`{nz-1pW62QA)1m7l~Ed568CfZ1a`by?zj8q
zgDtlu?qn}(U7;X;`T901Ueofck<Q_Bb0!%+$;_QqD0Fmj^}kPtdi%NmPHlbvM)&X&
ziI$eWJ>m1F@Ymb1e9TA?=)dl5{)=tafq=v_do|B&jGU9aeEOM<iD}Ynl9|>$I23<H
z^iJhjl}}O!)mh?<r@mQ}{;!zj=!=h*#_z*tJ^kQo`cUc4ZYO{D<VRdaWv15FmuF^$
z9lF=}vZn3O>pPR=q_S+U=>PDVFItts#%a{KwwpPGSB&rTm08o`V=Jb%e_sFSrASNm
zw|mjYH!Kv|ANoRIe<{E7B>V6$v+l|5S=O!?JI^|lE0gcS*OW~e`Q_(ig?4;Md$eiV
zdj-AS_0ESjZJBvmC@-qC``UyAr`u;lqqnoj-WU9EnW@gsH*ag^-_ioZgPX3*Tx4Hz
zKA$6P?a^&#Emcb%x#``nowVt-)6p=U%};k0sy@zJ@$qh*<E3m<&-Xfyce5+q->c(M
zwKzxe$G)n{lUbGu1>Zg{^Oz%Zpfpj^Li>R+lbzm!XrGQbLK1&MjDCclUgB03lhBbH
z_$`6S@2u42eNyW2fj8!7n`rtlweoQ<u{3`ikrU<P|HQW_B1|L8<73pz?d*qpn)1Ip
zI?Y|PZrbffiJxbRoMY}!ePd%8r`})qd&%($68*}1p6*PXw0Gx6UXztN%`A^D-&+tI
zuBx`*CV@ND@ZfRwny>?}nfEnJ=XJ0X3}{QMm3Yl<aZRyu=e{jHzb@HN`g=2U0<+G)
zFjnE2F6wDl<TO8u=ZWiR-`VahY`@oy$Ky1YeBH$T-(!#7e;<49{{Ou*_nYU1)bKu;
zzJ%p}73+?}vZ<}*VJVljHm~{cj4SBRccXvzHqO|uA9vvX_vaaYkM>M2`VqJK*@pF^
zuS1;Va$6mZuEvDDy`FnDZhBpJHUIamX+_&NoqJ|gx-3^}dhz9ms()_|*z0ptgdRv|
z+v9)WxbfjrCX%hK&nKTqyxUr!Xa4ZnuG@ZFUYtB4(lyT`z38|X`-_{~&PE(|QR6M$
z$;x$XrE*Wg|3z$;Z)T~rJGI+xQdDc_IUB6<c;BqT=8u={8t2aw{PA+x0srmnb+-hL
zMW`Q-D1G#Y>6FxU;WYxS6^kn}lU*P5g-@Ewl{vlPyy|tA7kuo~CA9Az5c2qP;$mar
zy2m_+k9KYH`sTH$wfKT>$mxFzuWbHQ^7@y}?w~Ww$xh*B6BQ<JiF<oWU$jgt{oxab
zosE_pppN;ofQkAoN53a|{o$W>E8(1ujQ+O}@g>GPt0V4t9$gotcggfc*<vSUAD$mO
z8Rv_AF!rga@O|33sph+bRriuhx2FqVpMCG|gXdQFzAV~j*4NL=?o@uN(0}VGzOA~`
zmOuWg-D`4+!?pCldKEq9WZP0%4bk5#jowVMzJF2k@nP#=jrF2Iu`+YqH(2#@YIZ--
zkaqSeP`oMA7sI8or#R`xtlP(Qt-mfRsj>Sjn;2PAuv9i<PGi|++s&)5a&Ay7onrM$
zuCs4f@4>)w#pBzuW>h6#I9QQ<;Y~qufa`(vPm~&NYp_h2#m6W7CFb_F{DpFS!OLEM
zyqwA2xYf-|!M4Ir<7rsl?U3b?i3*EM|A?7QO<%Ehwm{{w)_(cS&YsS<+7%g0f>(~N
z438C8Et*u5#=X4Z!DQxrvKH6oWX<ywv~-X=ZDFfBVV?h`Qz{*j9E+FxERQd+nrVL8
zQteH-JJY(N@)I9g>ZSx$cdg793EnOieEreYdpBIuck5}#6z>%&jC^=<{i{tsw&g6>
z*M2kQ@6;_eaR=`=Z<@Vt&4=r~7tWfA=f!vbHy3~R*CZx*nrL3+q38BHChXroHTG*u
zy_fj@=jR>=eUB~N>OJ|@Q^`AJm6dLVx1T<he7f^#Q2gf*$&}-lKKqn-pIyl=SQlqA
z&F;#A!yj{;jQLl{Kb)0f)^%~4T4~}UqmAy7lYcEexQ_e6#2pN#M*GA{-WhF=?Aw?;
zVXDW9(~ovHY})aX>9OyzV&@N9QKyT$n#|d1CeIDFvHqbG&#=M9+-={hZ!xL=ZXWpa
zoBi5dw-}yO?~eCQRU2<kbYs1nr&MY^(_(T{p82$0v87pA?8}99{BO0SXm6DewX>a=
z`g*ELV!Y|LqN@%KQ;e=hxJNuro%v$gti$CJ=7sGa(`%kjS=9RY{;kZMKhD;1f5RfZ
zF5EbAzw6Qajoufz?|W>18?@DMPkQHf-NM}6YI&QE%$-)TK$fl3vi10_%ojiAPyJ)N
zY1;n&(huMFZ~O4wcVpNYO`GqQ1y3zo*Vpds>AZhvkDkB9>1~(R#LT{?ZMjcPrf|dE
zg0Cqyw@q4avCPT2^RSRfR<8bJTOqFtdkO!kA42~_+)DbFbidHtd_MBYj)!K)z8^Q-
zH_PeT2O-7JC68OxKc>t)!O8!kSFMQ6wa-EE$lE-XRRuSs<6q8JTO!wGCByG(`Z*wE
zyUNyCZM@;F#|!SC^i`h~ta@!iz?BUJ>eD?M7W*>2kySY`FYRHCv$%oFnu3J|?DsXj
zxwo87=5_3g@Qz#>p5(>!>e8$1b7t!-cc{K#ym9aCm3barrk*RN`YH%!m1;knvteHD
z()(R|rf?QLvN_c`Vdvd5d#0p4lMlYP>G09*2YOnw)LDN#_Pu#$g2|olF-+0nz7Na2
zuO9nX5c%+}?94msE&O^W&&&PiW$JOj=TB<qfxd?yBiVRfPPOu5Zj<R_be>fiCb>7@
zS^8A=S=C|3?reT`t8@9zf-O(m{#IYveogG(kIH}hw5zV&I`m%u@q6~{AHP2qEfv<y
z%6+)_XNS(`mxt6hfB1jl(8HNOt`&RMi|)VW$ampl*>3Awe8TRxqy1!pguZ&VPYHRU
zYL@30d1lX*oSP=|UUUE7H*bHvwf%H!?fT%#tmvB8Pd80Duw8HJ{jM7Qn>TidMZR0y
z`0?qbe^tD9Tf*y4{ChQjn(UYG`&;Jy&C3-1{aWj#UW`Dn*xIU7%Erf(vzhwesPzBw
zdwK0bL9|>^44caZi~cN!(0{>Vi;`#fPOdFfeo%DVLQe8Wx$@8N*CWl6Et%Kki258b
z^uNAu^`TqlR%;4h=k!WTU)yokW_t08En>WCkG?n_+CJHJg`R(QANPA<%`2y$yccYF
zaq^7P^j#Np7fvti{*qUBcKyPuZ?|y0<1U@b@cGXFnIb*>))_SwOSViuXXrmW&gX&7
ztl6*hS!bMltNHiQhC_~0I-7h#RE{Yux2jrZnN`}%@PVhRI{oG8^ytFZx)=L9S8Z9f
z>)nodyB<61tlevK%**<e(B8E-Oc&mmdnZvM;*ee22fxR9D}T&dk<2uG!HHVw+Td;f
z`^63AJGWmpe>5dk?K_+Hqk9>*PM0p6o*xit#@y?v^g?0Js_*5>kKGk^P5QFBLyvdi
z<MT_Umi=DW=Wo3BRH-G)lxd8G$2)5dy?eqif5M{weP>_H332+`IN3{SvfFl-1MU(Z
z+A9`bDd6VWWY5MHc~)Qs|Ma}sb6s6I;ucO?;d6TH4}k;Uv|fA6zY=3-BvzF2Mo;%D
z!|Tgj);l5-cYC*&lyl0}a>;%;EIC8+(!=yAk7u2KeQJuV8Mk!{^Z)&)Z_YX|Ru(t^
zo~?0xY~{oJDUtVdow@kKl@xC(F1r_8zEp1NmvYq#-6xOT7i(<bTGTMvhW+rK2|MoA
ziN5*f_sKn`UQ_AB;*V#ZXwAF2z9GMBajwJPyZ;ZG8hu+Qe>Uc5@UKtnz(ThLSufrD
zYW#6^MNr(m(k;9z_W#ZZ%&9V&cQ5X!FKgxXi)Od8JoNuD1v0#GwV$@P>-eUpuI{(H
zE<P$yd;2iy^8OW*R~r2f*pjfNaGzwSjG>57xp>~=zfo?V*m)!sKN<++axgDkbnaqO
z%Q{=bMMtz;rd$x0&1H_#dHZ<dmi-O}0*d9bOIfYDCU;a%ov<VA64Tiu(y<;(I`4>F
zU|W0N^T-SpmAu}J!*@RO+cs=<uzPYTo?BM0$3>}?qtkeWSk&Ym7nvQcM`HIxZ!mwS
zB7ZperqubZE00E0e2sOFZuqr3Y2(K7*q&IQ>b-kjXK)A9f1i6JGmk$iCp|*GD}y^w
za&}OH^lUx5HBCPjtbLUsy|nme#`gnaaUs_)d)3^H?H2#MFIQR6K2)(#{nSwb%RSnv
z;y+hd&)j-(|4k1SamB*;qh?lxQk@2_iXRsU=UPm9mMW<0JL~AyEn*reexI@~{>=5i
zb0Es+IAi7Yi(<b{-P|qzF#6lNY6cy)^lRm^xr$nM73H_S`=Glf^v2ptR+hKYe&^ri
z=D(L}DdXfF!6#>vChC03gRjkOi433fhdZ^4B!B!}lykQ=oNZtDF00;crk{1ZzdQ{M
zjI3}u@#?02>f-Ka8+T;nJz4ZqPu%zRT&cwC6TfgxXMCp>$7Z1r;WPb0dWpD36|33y
zd6838nHXKOBxEC=_9*!F@csyytags`(h(OgVf!RQ-w?UHq$s}5N14)s2HBH8>HD}$
zsZUo5)_Ce5RNlERP<2<(#l!_WmxUWlJnO&w=r*xib3Fn?E6?v%eECZA{kom@e@}0&
ze>?HldZnv&spXT(uYBBeXuisyBg!5JLRGXII7&UHB<cuN%6av^vcJDDd3vnmlub8x
zI<H;SuMm4w<Jiq9SI$h(I9$NKFyxQ!lZ7q9GIdr5{>s+L8gNBCzma^UzuJLaudcYo
zzFsTE^wL9hW}8zF_cE?4|Ix`X|Ivr-Yi$<uW}mP>7bf{?ZPEFu_q4vpMCMGbUUwzz
zVDZhX_YQAK`P=_z5zoAdeDC=`D74R4*HP#2EBwK(6Ccj9&EQS@LM?UcKYJPYOMV<@
zlzZ@@n{i#~k5-2HFF$N%v^)FoJ=>0h52vpQJZ@3OFr%z!Bd7b1&+psUxLN*L%rO7e
zhyR=OuSj2;P|p`pxh~vv_gmeGs$V`GZ2$7c-Rx^iUY|_QreisBLN6QnL_+K=?qqy-
zzjgJF$3-rUqYFJYY6SF{buFH_=x*A-ncsfQuxI=3(=TlQY1Ik2UJ?H#@_%={yCc(O
zbW!P*vc$z-KUUZudnjDEYu&ds`&oaUi1IX<p7V;)v`e-#eTP(KmRFbJifxlFz3bny
zdMAI2)T_tI|0GOn1m>xQ9e%9!jf-9Qn@;3>zmPi}b0@D?Q*U?PZC9t3)gk@yMs`Jv
z#mZ|R5_{!lpPio=^JnFWqvx5IUrplBIx3O0rCRx|MbV#}pmkqA?mMw1{P9Nq<ByfT
zRTw_m5@u$9#V^}d)^(NWo>Q(M+uJVL31&Y3lrmi`MDv?lS7Bq)jKDYDBC9Jmi$#UV
z+du9XocQwhMy>plg%0w@N$dyD{F3}z!}qGt@nn_R8&#_`maB$~xBOOqn{`2qeS45h
zmq<6$(Tv3wQku6i=O5g0`S|fahHS?jE2g%u`XlKyC)9Oafb04{GdJBzEd4WO&Fq(a
zTA_j=s~15{SiMN3UFql|2g6$$eQsT4zZNdi`t@Umy<6x%CT52fr^4TFSoLONeV6JV
zE$6m(;UV4?x=hZB<+U|BcK14tuXy8ZxO$;mwZ$c~%gVtTZc;}NdKlieGdm?G|MB>Q
z$wzuOFAsm3cjCo0?MEH0mCO1fe%}$~RtwnsKfixbRQl4p@|of7zpLFOK#|NL@?_qx
z9~obMWgUMkbng?BkCNHS_yb{_ZRfe~cveO!oUL+Av=5khrRmj@uv_X%Z(}YLIlX$E
z{>M=5NuA7Yxd(4c7ew^fe)8Wa$@k&w->xj<JrPSJ5>Y%C_rKxc;{N`l$E80;wD*gr
zued1Jx8>}^<ikBl@k@5t1oW76HBU_1CYnCQ*6vZi;ej}p=2pgUlW!y>J-+npg6{_B
zi=Vc3%ubLH{q-ZG=1$-7sL1nKGcLaC-ZDKpO!@6c$NHWXY5K>T<iyY0>%LI_)c?$m
zC3DZd@W7L`GJClV!@qpo_oKp8Zt(*C<7?QY-%g%b)|Fb?W?Oek-h9c_puXm^&tkSg
zIxpl(`A;u<c4h8q=Z}wWyWWtw@-67lM7Iiqyux$O^pY}q?;m!_SgUAmmXZCUm9JX#
z>a_{G<u6G6s)(=IGwZsIM|MS6bMLp49@a-USsj+Mf7*XhYQM8VyTQJH{u>umUpcW-
zIH>u=+x}(eukt9hpIibCj!gZj-#)ErlY0Bm<91GD@22DKe+<<Q{oc4>rK^48+^bPv
zgd;9)b+v!E?LeICR@eXM9&{gm1My6DcNMyIi|X5%oiYMB>$7yVmc{1=_CDBdFVzxm
z+$0|rA}`<FZ+@vuNB31Euj#GB6Sv*mB$e9V|08+Ji|~R;);gTqMc&)gN373rRDH^2
zwQ@=P=4v_p3lmfiuAe6j$z=C-H7>h;{P!QjBWGs|?z1`ZHC|urvEtq%jG-59w74%~
z-7~SQFw%+jYFp^7zZ1($AD>#3`1RvPiDw1M?{imwN$Pmsn4)VJ`Cy@x?AI01mo{#)
zE31!mT_-cGmu-8_o>u4H(4E!+3k1uIQUd-3u4-lKFZp3{^^nz@sW%lTZC@#tW^;J1
zwZo;`qW{XL9O2ge81O1c_Uwwg6Xx?QF5{e7@x0;0nOh3Kcty;Wt=46w$6o4--Tit=
znfNV_ZShNumbZRxm9>eFm_A?PoOmAdj9bU!#k@D~sY%;l<^L>i(*s||{HJBcMqgzg
z=Q5lwzPtBE67RI>$5~E)D2Zxg&F82{KL8&txqYqlXPv9Syhr~#om$c+`ljcK-JkmI
z!8((y2fHubeo-kZ6=(MN1MBtL>4D#QV>Q(F?z-ZTw>kT%WXFWbFOHP1RE(*e>-W|4
z$rf=g5w>RSMRP-3m?yrNr%+^CXmr&j+P%L!-fQE_yZ2M9=FVW9FYsYm13%Y~)Gz)k
zPm4FzpJHpccRqjRq~*SEekHJ*y2*z0`SVo-ABbkQGkkD+MfkO)=gM=76t|wda6qV%
zrTB<{f4Nazq{hk_ZCjV*sl8h;Gs64Tk0%Z0tb0r!EN7`PlP!7usn(gLDYVBSq4@0%
ztx31c*A@FM4_kHl&_};li%M4>j$zJS#CGd&w%Tv*DC7ItFBUA{ckRr_lZ^RX71;;W
z*=nLeV@MM|N*g%yu!z^Owr;kpi21I#<=8%T!@1Q$FO2PTE^}^hQJEs^vUcWsHNWS1
zi>{sdc&@>pr$Tt~on#Toj<@fXE!Io#Xnj+YxojV|+01>HN>^^@ZHf757PszemRA7R
z+GXr3W}IU_bZcW@Ia^K5fppdyrvtN@>@*%sXWe7>fSW0f;|Ckt8Hf3j9P8B7ryuT8
zkj}sLW*_JEgC1(PocjEi*$eDg@LOvulg`W~LOCq5dD2BMKE%(zz`p7Fn)na3&;8z5
zZ|3K5F}Pgc{8LFJdRz3@)caL)&%B6gGH2a0_4w3@D;r<jus`b4zk08W^#xz;xhDHE
z*sbik)x^(4m~Sz;ctL2D=I*Mfp!*MJ`^fX@_`F-Tu{Td@p`z-OwAf#3+%L^(%{@IS
zsXN!D`)%43KdGpaJr?^Ko{9Ewo3GMY9H^S2RmQV+^K6^6iyDiXuYFXT<+Pz`2V)8|
zuR@})@ND;3sm#22FBViP88BUxE_K<}b@Si{rzU@%AKMzPv)3qw28CP}y;!ETs4=zk
z--_bnQ_u14`6#5nyJ=?+S6W2v(dqHh_vZe!u8ecIeePo<<9hxdOmch&j{hk*VAP;`
zR*7qgS@-K%vU}76W*_~S3zBwvwd}`?>?LoncsRRv-qvX0=dUoIX~^OH)iwCatKC62
zPp(Z`yYKO4ra1WztRYT;O5wKC1HbZI70>lw{k|)a-DH2#SK0ewAAU9HFH!33-}&o?
z-&eycJ|<>sXKI(v-E+@5``M2*AeS>vb*woPdLT7~v3Y}1)y!*WLU-`p(^LB~lX1Sx
zhfYSQ5n3HiJD6_xu^Jdi?L5Fyv^xK^|8v!F#|z?DefJrtWmH~XcuaKm>5u0c`uU;8
zZgrh<rSwSZ6+vGnt94lm#173}z3j6WuWrlZxs3AiA0inMS!!x~%)_b|Obx0r!Fz6R
zDR}qNY_9tCugQJpAD>jk{+iS%5GE91Bz08S$GCL;hL>NsE=yV8ll<_JasJWIrV;D@
zaQF(Z|Ndgqzg^4T+y1^T_g_B1o0&y~fq{X8A-E$Z>{#H{Btw1%1`kO_23`gRhLHRM
z-~5!)oK(H?l(eU@w>K@e5URPq-jGA(@&1?Fe2?sT<MPJk%=i3MP6P2oJ@%DcRaJi9
z4LL%?G=sHN8vob+e?Nc!zklzQfA?=)w<Z5q@U7>~^=s#BoLW0|t9DGD@$Y5luU-4{
z`*hm61;txyr~8*LR#u%FReSdEvyd4)c0T`h+<ozX%QfEG6EFQ!yV^B-ryfYib+kCu
zUU-b-X1V!|^E^G>H_j*CpAmG*?s)u?ud<@7-&JzL<7)XO@7cz_<eF^jllZRa+voO`
zDsQD9$(GtBedGRO?RT!Ov(9|-X8$+)PjC6({ZHbTrf=M}kTnG@t|~&M*ZDho7|sRB
zoKdV*kTqU+BjxV}o|OK-kBcYRYV@6TDpRsB;J?}ZV24kNe$(QjiMHSRPrH4Kus4#w
znf(7oPl|oh;-X1^Ir>g{eN(p3(!bgNV8t1*;AC5lq*V3l4KlOmzi}`)7qDW|?#14k
zMy9GZA(FlGRKs0uHA24`7H#%lC06@uUiT^Wzp=&10%qOW^Fsdh@|?c@NYLu@iFg*7
z)~tf%wtpLV=P2aQcUc+#Ed1we?t^`b-b-1TyzN!5{=f8g;lj3+KLk>Jzj?ayNF3Pz
zSMXnutLUnIi?+`{ec}BDN0I%D=1)nsa(~+u^EqhVLzd9+OLuv=7L}LnlU^z(B0hn;
zgk}H5`jV4N_dG8%mGC$ryY7Ve&IQvgKJ1dIelNB>u!CXu@1uK8uTZ*PaHXVc&$jdW
z@7vaTrEvLo@2}s^s{bsT@q}tn_?KOxTF(A8f%(OL*C+1yFZeIW?|=Hw>=o%s8)A;m
zf4EV#UpKFO{gbJCE7o@hPWdr&@vPHNoeNskop*dv5B0lu>-o_=I)CO$7?sTzefy1n
zr|5$p6}$;X0=t^qwO^c+i{{GzbiA?q#D$fIimRKP-ko!;JGF;bVDYcuLe=n(#}BaY
z`timl`RD=(@xl-m_jd*bMduk_SALW0)&F}k=P+A>;NBB27!-mI7FQn<6|Zssl(r+n
zD)H%@+xqcW6niy1|BC($oqI=l&7_}K1ydfD@TaoB=6L?CkKxh23kwf@J95(YRDdS)
zQ7MjJJ##D~{)P3=(b{G1y<$#Jw9Lo9O@-?6t~>8P+a0lPThg|No95s7n9Cr2-dOL~
zz9~%~8b80e-0x<3SLsS^{f_I$vTpr-)3xbN^bPJOP8u!MS5|M~iG5VO`}WSi8P~V^
zy>p$uwQytFwFcji7aL`dU7wR)t#_eZzp`3(YUI10H|9&V?)a6r?9Z2bthYbDiQAbM
zH#Pd4RYka2rLY^LU2&c~<CY`y8YXcqzV+wMzk;LlXLe;j3yM&GdNuLa$JNW;-t&-f
zeE4^_m03gI^M%YgKPrk!Ww(UwV2pE#Kd|Li;<`DjGRhuZ^0eMDUB%siFCbMzIz-Cu
z;(Lw`wf{BthR?62hj3SXxv9F3@s69n%(*1za8Gfod)nE5`)rC&-&ZqM+poCH=I11V
zUj7;EUuMia`)Z!Hq_pFI(~UjNx1;#Zw3P6jS={G%O!sSx;@lrQBKc#|EjdEp7xI*z
zF#2`kyqrw=`%)gWbqcSf&Kg=AG;jaA;!f>~;Fjn28W(>x+>sHY`s^de5?|pO_KyeM
zMKiN6ce#6qwSBELa{KYTFuS&}K+9P?(e$@O%8cDlYTwncd$aajzW)4Yt?!9Nvd;t=
z8oqBW+v&}8q()?=<W>HOj}E%07oW*_qHn``*Y2(5o;@>jRleWR?>TvI<HX*Ag+i5f
zkD6?&Is%M+zeva(J1Qf(Wa^8DJWDqlN0#0Q;4$Ga{l>z`-{6r{SaFi=S*F(O`TQY`
zU3xi2O24eP-zZPf*&3Ue_vpqguc);TZN9Jnr`Gj;mYsj~dd3gNQ{*I%pE*CN%y^k!
z$JfstDKqT*-Dmy%e4<1nK`uUeVvuM;?31IRp|!Fdu6Ygatz2z_mzFjsZej8|;lnDc
z;dmqLt1q|a#&7(Km1K_9T5sUpys+n3>$kHS**?dPsxIzU4Y_nH;*j_?cfZ9)W2Im3
zuyQH!Q=R|4bZz4&=RL|jbGPY+7iQP3{^=Q^c{JyM#*%rw97m^aVO%X0ntPx|s@3*q
zQu4;zz6$2i&E7L-{Sv&qYEj*nEvwT{IIR0LJ1Zz@+qDE;na%xw?#$?MTc(jBVt7>X
z?0?Ng0=IcT-aYxbO*`O7j(xc9**Ah*hH3qa70<sZOkp~6&c}0ag=W|4wGNz{UzTe%
zIv(rY9{63qVDIjZ=<jK_OxuDN2nQbY$~kl@qBE#9Dl92i`|mP^*?DIZM6=v(XP#I(
zJ2tM@Z++X3TL0KLKHuAZnYLYCa9M2k`fU067OO6tu(>Ae8k#F_-+z;>>d%waCA%kV
zR}(0=zWiT3X8-R;&CRze_A836;?;Y6s#E`-xt2>E-?g7Y=Za*T_AC!-tCf4=cy->H
z_J%Igs)XmZ4<?=YBlDSW`Jr`le#cLk-}XDc#)9*EVdQSvNq--HKJ(-J_t|S2m0H6s
zPpen>AAZYOw6-VihU29Tk=8*^zYEVQGkxnK`yl_<Uq9=O+ua1Jt&77KUh2-=C}i7}
zeSgZ@$oZF(nBVLYeZ6nr-00#v`<1VYef`Ipyya|{m+;Fa)^q9_ev}A?Sxf0EZa*lf
z`7^IizT$$EllFs%jF=#!bQ7okMH(&Y3c7MLx1Uk!@ze-4nExS0udey~UyqVm`{K`;
zmwpdkUAsBw%0szj3G12e)kdwma8X?T)!c2{ULPw>i++51cK?;LvxWEaU)BiOSHAF)
zZ}->ReuwuQ*|;xu&r>JmFRXvJT>kh+DbCL{!>3g9{JP}_>>X=wO>O_z==V^RaR-aO
zLPhb$S;c9qIFf%IpWMzBy4H2AuW@!EyXZpY$?-288{Y3KJF@o6V$%+@#!ZP#s_ma=
zeXHpIb$K;^U&3eJjCFHoewn`Td#7diHb(a+l{0xgCcR8&UbaEWrzbW=I$-VN@;4_c
zW6YKpm}oEi(p>JKn*2?sY08{=hZPP#vor~^nRj?`&q2v~5dsf%Z;K|b{vI)H@x9*+
z#>F<>Q$<>3<bR%?E&p$R;<<T;qH^B#zFnGp=SI<tbXTF<MQ>KsJini_qcEoI2cKng
z%wjjjEv}urpWWiSR^;20KEJME&H2dO8<##-+aKE?v}n!pzYI?&rM=iUB}Dk?%0;Cs
zZf882+jo~oNc7Z#>UDcA`irTawqi>5_v8ssKDJ=dl|{T6-ZI+$n;FFKC%6BQOnmy9
zp=H($Nw*b~XD6;%HN*1NN9Q$H+Skr6f8i|tWRcSxM!91ZyS}z-+|CjVmp);aVqEsC
z;`!d=k0lEVzn)RNykM?n&aNrO?M6#xOK3~jA6yY{S~8K*$jVprbJhl~vp$8HfoDW!
z+U@l*%nCj&vM_IL#J)S5FQ`Raz1i;B?6z!0$;;#}{UyCM&vx%TwCwSt?A6XHqFRe@
zhF)>jUfV6GB)Uq%-E+FyF~Q4AI||=ydCj=CQ<DAHiJc3&tS1`isovsH2wpyc;n)Pf
zC7V_mIDL&eDZB5E=joX14E;j6UzC^l3jfVu-7-OV`;ljxj@@~*O2E0+s-W3g>GV{?
zOEVW=yuVX`cPpFkS!Eu1-(Pxd8FDuk*exyERk`Nj;zjxCHy%%{|5W^JhSy&`rLYxu
zUC*p4-Q967>)IQos=HNN|JLj@k^B3Dqif&6ncpm=MX%*DJpbasu#@dC+XTOUmJP2j
z6*7GO;&Fgm`od<*<!m=JZn-YqRL$?d@<R6iL_JxpH|`#@xBa@vrQueR|7=EdjA+t|
z0QTl~4$&70KLQrB$?_;K@n%1qk$L$bSaL=f`;A-2`&pxSg5F&`^K-|AGu1saSET;l
zSh=r9L3aK0jV%>2PjAbFB}68zRlVl+v#!N5M`sUzyzsUO)A#TM@4bFW=Bpsb2IF&o
zznP18@A0`Yd*6%xgUL-b>J57rG|MI#&NGc{SF{Xo)?kU0J|g@mzvt_T(u`>pa|-#h
zllLg*HBHc~RFX@STb{8|py`SZU*d$v1suI1&CU_ie$3t=|84Q%)5#uZ?`-^fWsU6Z
z>B(;-JUiA#p32#Fo?~ACZ}|HrpWGbok6XUR%vzc&!X&eH(wCLOY9CUgB)Yz8ah83u
zn#o~&GdVGA(|1O<WqVF%Ma|RqJa_D)kJRLaE}ZNVss=%RIfj3a-Si0Q%X1T+xpVeM
zmB*jcQWU4TKlqUrlk3Vg(_dqy?d*Q78}be+>lzr;T(vkBY-Ut-nPGXWb?sW8g;HEW
zImdR`g?eTsHo3=e3cGZb7#vL7x+0-z&DyU1mzNkenWou>CoOvZX174+YlQ~Si9$>-
zu4E`StX<1v7_y9Q&raF*8AoDmxuirbFY#y<hMsv4w4_I}CF_b|^ZK;`3!Ppl)b3e%
z;V47*4ym?@7Z*1sPGLIJZ!mkGU}$u&-M-72b5v@XmOF;2pZcjOmwC@$@a4mQBC~lv
z#4mb2UnzTa&<e&qrAE%Gd{;GJ{B-U(QYvs@i3cZRNm#cS(~VoFL{>fYli-?Duu1Ag
zL5J~wVL{uP|1R#_r+@ExsCB7W`fTvUw`{Z8_RqRJ^V#mxZM9XRkN@uBzGmp3aQ}w&
z8~p_t0WCV4Tt1vA{Cah9#k5q(<f+fOcOCTGR{iS62R*Z`8$V7r-B@T?CZ!h<E-B5u
zbbE1JZjRC$j>rxB%*>``Ejy>N|Ebx2QGdJKoTPdDZXwdCs^52i-FngMluE?;#PSte
zjAUoCaa=pLV)u#z{{-qfG)3d?&trZ&HPG$P`)k+Tv@~bi>^i99CiwX0Y}VIW$<5y-
zo*uTdKDB+SZbZDa^h3Md->mnxU2J-}<=S<()mpDYZd{nOU9o?Wjq9$2+T4_u$|L#w
z(r(%}Ph7ic=ecH8!?Q`Z<D^%&bba2vAl6>b@S4fK+i}v<^N#0ewG{jJZLOWG!N(f7
zxc+_}*Ch4CRmV(X9SaJ0*Dd(`fzjZ@-yM8Yr)=Hn+NbhQ_hTM|TYBZJDL#P>`7d^F
zs7f$Mo^r-WYO38MUu*yCdwv?4vi<szrStgo522`Ie-=);F2-uGyd$4!wc&oR=}B+2
zCRnPuD&^e&cuVq@9FIrl&&zCl#j#gRKZL*aR6bCCD{Pxr*W-6<4;x+6KWn@4s~%Ua
z43nTn;!JrDpNyT?Kkabz&8XCS_lQRzlU<H`!o>?Kul#lBXWmin^}1ZV+EFd&ji##d
zZOygc{yeoaUzux@sxrCq#Mh0rt%d8R8yue|_3)|DnK*0pt=&wG|61&pzrFq|$6Mz2
zyyrqUV^*-HJf3eg|H9Ps9jVt2aq+k*YBnjdx9)kr^^nH-ivO{f+j({e6ovnlN9|i%
zSc)k8WME)e#>l|Hhtjvs%u6oHop8|aumMlodz;qxD+1q`yxpapx=7q?YSFqydQ&<~
zTqCUn{QY;W<T;phl>PVSfA{mwB*fgGrnREX_}8|gx!0S^9B=l@+V|a3KHd3X!QQ}Y
zH7gU`w#RAT-#cqD+nGjZG5d}g|BeS8ozIoJVfFT>pJRh_<ryV875Y}Uq;sD8^0kmL
zI=|}il-f<Js+p5A4~DPoiM(Glr&i~{;f(L;9-C~YIwefxnp?oc*}mXc#;lJ|k3QVY
z<+WlC=UEBy{r_vi^Z1)M7unb+o?O%TVfS1k&lBB?6e_cX+k^J6IN4S;r|H|*Cry$j
zAMCSETk8GM+qL(@5resjPl_Jq?_)#_B*!W2FA_k*5sVBBlE{G+pBa!*S?pg>qF0n!
zkds*XnCtN-j?+5cJ}1L9jExM8E*S@TtO{A-p>_6*XW)tR!3El<LO%HG1sa$H8W?Oe
z+PcNaH1I<yXCT8$(McSdzM%!)zCi|?0t`&H8yRdeGGRL@p>0qQcr!*=YGyW<sW=0w
zv*ra(i_vCaV6b9fVBkk~mR?F)MM~1+$F{|3Z*2q3*x1-O*;v`s_}t9;cJJO^|CDv}
zy}PX&|NU>@SXO_ZEsYV)T#3+y%7RccQO!-x$p)EQ`#lfITr}P5dM>?S<zQe)Q)6Hd
zMs`(vYGP4NrCvo!^3tf=MUOm$YTvIv@!}?XHB<73rRmdZC$(m1i!m#9=2@$$iL{0|
zY;E-lR!!V2`}zIkNT!<3y=R`4?8p^Zdg}1XQ<K%X*Q~jG>V?;=D)z!B%NG~OEP0q>
z()#{pkZ4iCzH8EzagvFlW&4DF%&033-(<6Wm*LDUng3%#pGSV2VYjk=>E7w?cc0rZ
zKTdmDcK+k@|GR^qHrr)P_BQ6&lktba%y~iODz9jMt*<)V`zvggrzgzQ4u15cdH-2E
z_Q{D7e^+wsKdc{_+@tf_WO~@zQ}OmUuGd6NPTa7x?futvlbRzr-tDdVFUu8r>R_b&
zlj5bmwljRx86!4MZ7wjHt)8&t1bg5E-v8k(DOR$}4=Zr(XE}UD{=f0uigHJuzmEA0
z=MQ<#|JReZ>~l)PiI@M27S6V^-Pe2Y!P^L#o7OzOg(?5-`E!?VwSL_evg(id<>i0B
zm+xeFpI0TW@%FI3cFpveI$YfOVy|j8pEO%}Vtbz8f9ty&?dK|Le|O%$bnpF5bt-$8
z{_@{+uCwG|*B57N_B}T<=X{vH=fj+U@I3(qljb(9Up+T)bt$j--h1Z@+UkEzFIZpj
z=s90Y>NVE&8V7hcTFjrCru|uu{h2Ahn)(&>I1P_$r*?HJTu#+3n0~o=>8ZjQLaZG|
z>`h5i*0kPaR5X+h)Q&Am_;;jb<@EgUjs(Smp19L%rdgV(p3*zBdt-Gk^V@ValhA8I
zTjxkP?%VYEol?iHIAtxVK#`8k2N~HzWIF28opg>&PR)H#*DpR%v|D|O{Q-lXzZ=Ex
zu?lMIgqy}bdvYqRH9$Hlm3!&2)Z>}Pkx?(r9M+{)SEjmnRyS>ZSG#PBoXeVP4bp-J
zxl0c&Dcg{}Sd2H7?_I#pC9g`wo1XiGaa`JDP-J@Z>50ishYTKxwXz%Uc9Gh?DB$Nr
zpVZvu^$)CXzGM@#k(yamGes`yqVn|fS(kGP4oMwnI&@>jtQRqF97047iFG?|3Mg7C
zv$=*r{co^GUb97mwZ7y0XT8ZEl&Z{l4*Y)9n*2UZ=776?o54ShdnqRlow)jOePR*!
zy$T-Xd^^8Ke2fjh3uOG>Jv8fRn%$mkbv*A*xPI3jPa!r#ZuRghoYU-GljpTu<~+pn
zE<I4-ar1{fGrs0T_GN-cI;WqRocX0;y86EgJ0A7<ks3R9)io=tU1mA<Fm?uubIJ4d
zKbl^01PK3)u6cD=;V9=Lm&f(T8Y>R!uy~x&aEfZ$oiNGbl3SvYqgrB1|K5|#XZC1t
z{{4BQJHf0!?XtxW_U%pa1==T09(`$d{NOs)S@uD1T8~6(c0PQPsr$Y4&Q2BOO;W#Y
zrZ5GUM>y;>b)On}@yV(fN6qloL+4B;%QjuQC9k7jeY$bK$u85qDF+`<lYYdVxu$%1
zgWko1np?KA7>G-6ob=@Ji&u-4ic=VJgOek)Di7+KyNNZG?7Ft%xm%>t=2U-qu^ld}
z+w%BUXbDZ8);=LRw=Z?q=d8W_HP22dSZ#myMWixEe}h1gcJJDhHU?R{>zWsmU0Uu$
z{)|?>eeL1fOPd*rPM<l+U8viox@d)KyUwwot0&%gz5e4Vs+*TIsi5F<Yjo;8)%M(J
z-X_VGRt8_}Z&aGO)}D~Kn0K=EB5#zHm{;CZMfc{+1w3U<hXRUDuhntX6)f0hm$ohQ
z{yE|Ec5y}AJo~aXevT5cJ|%c2^reaP!#AR}x)-K+vgmx$-F0S;#L*>bjZeg8aLo){
zYx3^!<Gs=+U!ByOd9+!yJDT$k$K1*9dk@ZD82`yOeQ^ZG4y*m~wLH_!CBHcw@(90n
zTW|~Stz&zZv@!eIPW!zyfc3O&Y|+wtU8&LgJ?}|p6`wM@eVgyl(mjr@p~tQ=9CF{j
z!Jz1<T=NcP`E=8mV~cG>voz1=1Yc}vnIE7yB_?lUys~ey<C9Z+@7|2c3%L5%X#q=X
zB8T)$<;J6of$XQ$w3j$6b9Jj+@j;4PIsA3JuI5}VIiHTpO)+7u_i{h5seeDEbL5nq
zmN2(!`0MEJKiIPOikXIeTXrB#=Dq5fog!a%Ia@a`+5SLb&!bm+wf38)JwLHAqP@r3
zEJOLRYGmHji>iU1-RH~X!ef@&E4ptBt!&Oca!ytv<<{K4E7$4>d~?75;MwUJOAMFF
z?+NGr^>GV#U2?W>d)N%Uvu>A^l3g!Fm|qmMFZ#V+J8!GSH(vJb&OsG6pK31_gdE@R
zBENmwo@M<e1s{Eb*drcsC7bP$`M#q$%&hv3Qqq%En%_?Dx->&*>F2#!i(mZ>U87XZ
z7r!E{vR^w*F!AW4u;wRbJ&ez5Iu4!R{;omg3v>CR&qYBz<)1AYXEQ8P_;|?EfTcgx
zf8CC!4SlvRT8=d?J-^|g_npS@rVmN?9vQgK7k)iU{r;MO7)`#@s}7zDeeq_Z*VIcp
z-#R(HwRz$@`@$v8`(YxySqql%7j4j(ES_w}aXqFnOg}>KNpqfp@Y2bS<^LY^G~M#B
z`eRnT`*Ldm=UU4tItO<?J5{>OJS0K&>b6VQ+!pk2<1?7`qAf~CBui=AHPI?IotguG
zJbunvc_Y34qdd2E`2N4&j&xqzahJ`6(`N0))Lip?HLdVittFw6TWp`Gf0Mp?_h8fM
z$)9-Q*QDNJvd+HD^=oh5`s>;IT^xg>ei<>oJ}q?J=HhaXzRoYwwOq;H?msVh$&y|*
zGb?=4+v<+}PU~;K{~F;@dFnjZQQ>d=?c86kie}xvSGTpb`H8UqxBD)$?p75F+;3Z~
zvUfHg^N&}xoNkw2y!%>SaPFFyRN|(suOHTl{QTyAt?bv4)w1&c&{_@inigC9ZDU}V
z-G)BLQ(Tfb=Pr9qNaVB!FN03Rs;Dpt1&OzuU*ofB8LwXK+b=o(srkF#zMQqz?DFQ#
zmOf!&VgBz@Qp1+7eD~_!Ou<k0qMuA)aq{5sR8VPQNNoAwSMh%Dce!u%=XXy$(Zck$
z?C-wMO6M%!?-M`ovZsP;)Bkt+|31C1`x9ROH$V8#p+j{an&o>ca(`WUX<S$S>dXDP
z{|+9>eE+}V)ff5mKMH&<+^_pL+rRGP)h7yFm+SL?P5IR`x$g4ue;=>U_y6;9`F+1R
zX2p-L%(Sojepr6Lb@8M9zZdVHmockO=8M1m|97AD>po6i5MTfIr1|{%U(1)zD>qqP
zzuzkB>ZK3+t9LwbZ2o`#_@CeN|9<KJ_iXY0f1Bt3dwL{uzj-a&XW!os%9ro^zWZ`o
zPtfGM_7cW#{P+KSJAMASUoZXtJ?l@e{rA-TeqT`jtT*?+opW2Y{Y2ldpWEZ>zPx^4
z_wA<n{95bR=WVu5%;MTwkX8TLXyw&@kG>yYR_pKk@n`n={l7l-+x`Bw*?<4jgW0e6
zHCt*wp1fZF|E7Qazq8xt*Zew~zJB%k6Fc|+cq6{)$bXZs$&$)Wf0<uCyuW;T?fn1C
z%IjvS|BrqDr^NrCeD(A6ickCB&Yl1A<bUt?e|G!*EB$)EdhN2<H?LpSfAgx}f8}P~
z-cR@SO_!Db`@Qto*K@a*x~*ur_w&2W&n5SMez*NO<=#(zo1a_m{p6qf$6#vWr&rhK
z|G%6bU-RW?eYJ1u?B~w=|Ghh{Z~yz(a{K@LZ{{msEuPH%`&sz>nvbpN>s?ociJzRf
z|L1T2x=%;T=YPFbI&sz7`m=fKJ+9u{pJpDlB<kw^H1j(VR}-@qulRfN`uy5&sq0z$
zLbLaZycIgW>T6tA*~H|lZ2GRd&s@La7A)iS@%+9&-!`wW|9Nux{NBLre@^aodzW6@
zcf;_N-~LIl?S`-7_D_m!Hhkr{e^Tr}=~ol?S1-=?c_Lr`<!X5vYnpHM|L61TtzTdE
z-}mp=_RVR&bHDyvlbOFlef_JM|Jtg)t(qs9ze0TdtC>IBX30KSoIY!_o#FadiM5a7
zt{NvV@|qy`c56GUZ-}Rz^fLbtO*`obms#iAW-ionmiqJmiT;0$pwv^3um9SUJS(&_
zgq8W~GWS)J8ncX*LXSFZojEb<pTpL2=g{8GarM71x=+knc=`Hs+uM=rk2Pjpc3&a3
zvUN|*&cz|KmmG>)cH`sZt6nGje*P@KU-$d!^v(Nze_fOR@AdQjf6A_1pZ|BS%+Jls
z_O1-`J{@uI!~S1Y*TTQ)CRMFx{_4B+-BjPp>MQj!rQYuCOjKK`yU$u8x&3{g<m8a;
z@B3y>zQTB4_Pt+_drkQsbI-XS?tc6?`&G|&U!%p>)heUsPG82kZ`O=GEA{pkZIH}g
zoxNTrhi$Uwt7+RyzkfOTZqM`V!jcmP{I&eXe|^7R`EGE|yPNCF%_nP$=9uvASTi$!
zRm%OtGY;2I^7?LjW_SLooco9OXdiy^TkhFj^U#U!6Yot<^s43md~o)vOScV-tKVJB
z%wNrV=}P+c?|GAW_r93gT<Bo7x5!}gb+wgZ`LEx-oSeMk?)67D$1h0TKU~0*`@yzn
zvsrWvzwu+=t(U(WoD1A`{kwroYI_a;W0}<Bwfu(z%Z`DB%PxY1uY-lR*YY2>zRG<6
z@E-fqH9<C0ml^s^F6O-X+c{xV7uTn|Mzu{{Q>Ss{>+X5OsdX~^?_N%=yWxNTa%wHF
z-+R3JKuYM1deLgxJA1kwg>U(wb?TS?(&LS`j-kI+8P{)J|Eq^{)wS^2XDnN9gwI{O
z<4E}2wKpEEJ{K1AaP_~&u+>Z7{kswu_4iVoc2Ah}5`#VYiL1h*_nb3wUi~(X+j#4(
zr&~X)3#;BEcJFf5{_BT+N6CJQdeA!OOVp2m)y1{k&vxHg^D-*-OVoqXn2#Xhd(?x{
zJFlV*?ms_c^sY*GLl1i#x6b2@*O^XNTw9*7{>`yfFA{<!Zf;KqOW1O1>Z8+lHC*>C
z=?`;_)4ptXZIzE!?X(oG(0g2$HLp}?pIH#_eyzdesd0~Tm!=l`U0!whcFcRDJ8Ai?
z-!<NR2&<TXNG`vXKccbuUYNr+Q|*GAw`1gu?)2Vk{hxK7Ywf%5x--^KS@vN^{=u4s
zCavPN+|OPw(LA!!j`e(+=i6w5a(N%c)%geS)ETrk?PD$ee^BJq9x;oA)xX4SW-r;6
zfAG#MgI2ZmtZi9ugEt&s8m7Hh^vuVt*P;)~#K+#_diLYitF;D`59#Hz7IVD)9A?md
zArZu!`yuQ{!=cE0*5WBKuVR0k{qBD9>OR)<fkm714;D|k^E&#2jH>@HF&lT!FB9xo
z&j(Jrvi*Uj*QMVek-Ym&&l5DhMJ3D+|E62fH%V$e>$4T#qaMg^x|!WrenPaC>%M%r
z>^-h$J6?SWGdO-VEuXbG=IsX%Qx3$G1&bPjm~+2@L|OA&`R(Nvuk%Vg@_chu?DI3T
zOmlm7JzSfzZpHBx(f7QbX;t>@dc5|?)c0FBO5?o_$4z^(FR-QRg|5A=lkL0N^B+D5
zcCLCpS5$7Lk&68m_j%9W)SX?UE?!hQN&fTe_4^(!x_0NyoUgYd=hystTRy)gk^kh$
zRZm29FRQO!6~5)nj5Vv`FCHpQzpEW}uh!kv>-3U1-TSj<zr4Ti`Aw_KXQTEnp2oAT
z_?Pvn%7VGZ_X2gBU(fkDElO|h<Jyu<lk!)JHfL0qFN#vly>)wSn(;Z8t1mYv{&LxR
zHT`f;XV&ubZ8L?h%FX9p^TcsJ?|a{n<9keQ+&+A6wZ-G52k)trN$FK(ZrI*6x9zo^
z^bNl6HD()R=l#lgkb11;SJs2nW507M>L+<kZo9buW7+z9dwM*zZb!TK>l!+WdbuCT
z|8?KkTtB%<>wD<))Bao6TgBJCs=fO2)8#j-{~ctx`fl~V#1&Dpuj<~Zf1m0c+Wz@R
zzWpzan6(p+JDxjeA5(hzvf};y?R`P%s*iU%zux29A2j_}`ttsu>%Y>|dxEzAN>A?#
z;{Tm~Uv_ESm-G9~V~+B^-5RE|-@)kq_mk4UG(vCLpN=~Fdj4EPr>kDyo}PV?sT`6#
z|H>D^tjPISdJ0$h1}&bme&M{|Lf>B7J>MI$^}5#j^f^|~a?7gC6Bg}ynEP$Ix%csv
zHxuL1w#PTCuaa5$ZRX#nwN0K``%HK$Za-~4xhl-6s!r|C<i9=}#MLK<Hou>ixy9sn
z-b_F5+3%-GZdvo~uF>RaU-w1dTberg{j~2HH{b1kS{t>ic6*J(X~z4y@|TLPcz1vP
znXJF&et`NC)l1Rce_U^UnNuBl{)_pQtKnbIR5;(Q4CNQ+<Y$jdeLksvQ<YIcckxI2
z$h{Ifj{cM~h&<ZHf8$Y&;*2$u*z*eaN%@3DGH<tt7uvy6?aF;U{3!Ewi~AaCt3NeH
z&*9!FzpC<*(YfgE&#6h%cD6@ae{eWw-hFaa;Q_JgpnVIcd3JA)eK}{!vm>z&t0jsb
zmHo&wRL@)fUaa_0-nY9+es^T|MW5;1eZ0KevXK8*o}v0X!~43=j@Z84{q%6Z`U>t#
zMhBk1KC|&v)(-32`DZ-x>u=0mFwfiYQ1nFQgr-w6&lcP1hJ<?E{rO>Ck=*Bpwti<P
zA732N8(;VB>-9C)*GTSv|MQSx;8u^&>b0A0bY@LH&$jLz-|w6UuimXX&t|7H|9kY|
z^6E8-%XYtiqrZAn+f+Mg3(na~58jUNdfR#M`PMBn{C%D;cie3E_fzz9bF*is);q6a
z{&)0Cvw7(95}pt4#?Px><X%<v-S_Xr%Ri}^leZuJ`eXAWm92NnkN?hJl^3u5<WHhX
zknDtI*Q@U7Y+s+XXP3&>>wB*}$*56!%J^^A!KDRP3go}O6b_lQ-fH@(llxv7tFPdR
z|H`ivy6#H#UX$Oij<3#I`L!xj|NEhotud$e{_DC_tzLNcW0%;yUhDXaho-;RU7zu=
zxb(Vq)Q?LA3;s{5*g4<QzTf+9+`F%<i~r5}cJsi#Jx{VZ-<+1-_v+2*>V2>FG%erv
zs^)E{<io}1uFq46FWvWT$?sM55-W?A+<P6dF?{#qt2HaXT7|{MY4wM!4U+riy|di(
z_2&3Lf764HuPF2Edogj#d*g{&y_;9;Tbj9STVlhlE&az==-z8LSvvQg_hrquU*l#u
zzMSy=l8@K%z0>b4Ejd>G($-6S@AP}iOU_omWcJ#=ce>pl3n$wNLH*J>Mej1dMtpi_
z_j6^G?boP;zUOh=m$!CRosCXBCLyZZ`p;Z$x!Vd0&99MbUnYkv+8(*=^xC5$`I|RP
zDaqeFL%Kmw>-23M<Kru0@;A@0zw5q&DSz`G>4jZ;pWg2dczW;Cd-oMu`9JxUt}x~Q
z<lmb0dbi-yYO~*q?`Ajt-FPay@$bclTMtZ&y8S)XJ8|01rME2|GH*#-eY*C^9p!sA
z4xPUH*S>!}rJ7MNYx(v+XE?6D)jeN!|Gn<{GW$0m;vI;1tNTB(W!G(U{p}H3t1gr)
zd(Hd%@kI8)Kbc3f6RTRPp5+QYS?pGIa&u?Wo~JimKHmG3vnVCM(kyV-cAME6x1;Cz
zPI<3;UTxLO+2-G0Ebk53I_Z6-e%&pNQ2mn|=AB%*IK=tC&R*ZYn=iYsT>5vjvD?bj
zzngO=U9(&LOy->6s<rX=WHtz9E!}RTzjX0^??n5Oui0kx|0GtLea}ww-S#!x?7h13
z)pK=c-)wwWclH^(z4Fz6b!XokbN)N)?D;CURmp#6-Q1r3+jMsJm5HyC_CMoSUwwSi
zo4>JV{`nr-`P(qkR(i+L&!YBOR~&S|eXiQn_4#hnzF4*I*&qMZKKUJJRI`1?`YSv4
zMV|?OrF38SnRe;S_hO%??mAW8{nIP<@$RF0UQ~gEzY2lK($&+&o@;+KNzeZukUMSK
zymI$nTM8!ZS={F_eY-v5-Zw_S|Hzis6wJMRKX5tQb<4-HrDX+kjq6wF?fG?eLtlTK
z?Yx>3dl%0G)ke{;c9vBAo;_{(=i<+zrQcpJ%WZnUZDsySi<h5mWxRbQTyFPl5Ba2U
zD{bG>OKcavy^Gc=7RY_ScPSU!#c%(jSLF!g%I{gaitXYt>FZ3HpUaNi&R=gIl6&dV
zTZzh_e}Btv4c)cp?a#RV(N82^%EhR=|5<pjwCKounV9Ei%CEE@EZz6JZ<pPUbKma;
zerLP>^Plyrmk+G$e=O|ktauuh9{tR7`uUG<W=0zA@Y_~(^?}vRS6iE3r>r*TT{n5{
zzM6u$IbZt@mTtPbo9+6^u>1dBtvx4Z_3_omH|M#}@vfU}xSns_<h6C_AZ5ov+T33{
zmTtN#3{vbbAEO>^>u*(?R~q-dDZF2O`sMtURWHAMyZ8L%l=IWlGCzO2d1-O(tJ|w;
z4QhL~=NZ&qz8^N5?Xvmf+^w|+wZ`|us@X0de}3VhV~&`evOmwF-t#9uH)lLH{&Y*>
zR>bz*VCG4MTR(bw<o>=gvs0eTcJbRolc}1y?<;bvEBotTy|3OUbS7k~(ed{@ReW;i
zL(cx6pZ-YOdHR_rZ$IDuXY!)`)q*V**1Pxpw-lOxfBMqXw{L#kbXvy!{fg}7*H3KU
zK6_www))lstDQ-E|Gx@9Y^By$`LyC&v0-Y#+&#0t94!5mwTbQe$<-&P`psY8X1pnC
z*B;sB`t|=^Zy$2pQus(u%H{T%ldBwW?U9YVU%xb0YRA#f?%z_Gw^#f-u`lU?*m?Pz
z*Lm(d`l<Z;SYxzJead~ag6`+i72ma^midRV$6qu`-ehH0(Ea@VhvjT}AO9@)bVoZX
zV*2X!8HurZdl$9Z{!KVA?R~z4&DXn0c@k&(zT8a8lQ{A_&(NGFsAl^NX||dBqR;R)
z+uYZE)^<<^L^|$#KaJl`Zuv9M(;Cm;8*JY9=hf}V_?k~o^(*G5@%IIDv#&Dx?Xopu
z74u)Ff@dmQL!^HF*|+{Uzm@t*vwT_Gx>pvCYbW-_?Pqvlc!*`|#`?X-^Lf-)y#4#y
z_L%&tZ*IGc{~TkP;j+R?;N?B(%>R$-FI4{7E?@g3to_NxUthZae>)v%|Nq@|<*S08
zJgOgmPTwOu>G$vJR}4MdCjEJ3y>H1RnWcUndT+w_|N0WX+3x4j{FH-=S@$Bo%b!Ye
zx>8elBIr=a*2;`};TK`2&u*S>&)E|Y?Q`hv>&-r&tbae9D?O+B*VD7Mr#VU&#NYc_
zeEefwWwE-E)7;n7&CO-+zVccxI!A2bysueP`qd>B(qiuK+1zyU@27LVSA8be|Lnhf
zRzW$m?)?(U#3fqq=9+Bo4}Gfk^6&II;gHFid_SD`Z_L^|edgQw>gp?M<I?JWZa-~)
zg*90I!+gIwgVTRR9<F-%veN6@PG>96yPs|v_V)d@vtD-j<B{~^JXVX2{eCsW(|ykQ
zzVeqI-)?@Cla;iyzPDWYdirtp5|N*8etdXr9y;^ohhNqD&ztA*{&x;doK+QPQgc70
z{=RxFcihF)zTNVxj;?=U#QtdZs>%abi}duCtSmjC_WYC6tXu3kMS2EHGS4>no>Ofz
zDdoNKBqqh<@;AoWb58SQzLMK;a;M9LWzEcHmg1a6UUOdTZ>~1;oxkK9-;IZV7QFW@
zEj++#Y5#08YwUO1Gd-TK&N;|_doyQ^+$_HM{oiLVnfFuX$eaTgg=<P@2wNOXZ?|j=
zt}UA(Y;hXI{0w65xB+55|MkW|{X@-sS@}AfxT~}J80{7>cVBgk^PT+6`iz^Om#3Vw
zv<}-kE9(CInU!7Idqn3>&R-MtbnS~dCCOK%@?D>&Ja#@5ebMsdu~mBcu9iEO^@rYO
z*EuJ#dKbISIhoabd=WL~L9(AWHO`qXxzev{rR92~pt&D|isMq2SiN8RY|k-|(v#k2
zEB|+dgzvpFXM3+l>0$4)mD@W)w(q?%$Gp>{^t|_3%g@SJ5C1c*-qaU*{#U-q`OIH^
zUGqE&H(h_UMt|S0t(Bi%>)ZYRGI^uc!ICQJG^x`bvMVg+CS7wlDk<`rU29hTy%?K-
zk9Yf(uf_y_`7~>J;B0$`++W9oL)NbJ{S@~4YnO89VTR^235;i%ucQTKOD{+ZtNO~Y
z_4|so@(aG%ZS`foVz*#hZNshhJvZJl#=U9Z8=o_w>fnFg-|kPJf4giy<43cm{fuAD
z{B<AN8SA4Se&0O*|Et~l`~Lp9ynf%a*XNJe&-ih5`hMe&>-YV5C9m%H^Q!uPlaJTu
z*Zhv(ulW1+`F%g<cJBZ6=k&bJ^1Ad#^7Wt2Zg0-$`53N!e5d~3dHYP09vwcxf5-l(
zcvwo;J<<2}7j0Fu9$i_n!v4?3+xPF^f7<jj-l1Xte}A=gb7t6SRu)~%&UU}{XT#IA
z%7VX_t&!UM$X$2h?0==F<lp?>`{n-s;_dPC|Np*uMCH2Re&)KsI@7xEf0ANe|Iv#5
z{6{EeX`O$}>e#7Q{+;Hp`*i-)(|I@YA2RBgH~jzko0W}a^M`8T$!c%s&->=~@5Cwj
zZF|GNmHlS-egEdy#lsiW>kHrUudAE+H20zKyIn%D)qjIyf7Xev3;Q1wKD&N#_VU-;
z`I*oCi03#}a&pt(yNtEd?v~G)@3ZHz7st)dQKx3?@hq;tVzl_l@zeHMKR(OaSN|yI
zuPgkuf9`+ZWA^|5N0l|qdh@M#rFqON-|4>=dhdN2l)w9m$n>h?^ZDiLuePX0bfxJy
zb4P8I$&F|%TXRHfjT`&51l?<iwpJS%V{df$-ssW2(Up6nPxeM<?2X>MHwWY19Ilf&
z;3#t_QRZNv%;Ca02R!E-%A9jBa?at(m;<gchf-q>hQ=H&y>r0#&Y|2p2V?IXuC+OE
zn6vTKMV7Z*A^qwZqATWk)OdY){?|OfcKR*ulWx8yh2LC)yXQ%)NK%^>wI=1U$}w-<
z#;8SCCaKKUF?7vZdF974%VY7j@~_v&DP+yrKdU$6h=N#QhdYzd#FmsL3Zj`F?rcUA
zTXUW$h*zF)XXFZOvD9$m^a^Y%I^)W!71&xiqd!Z>fmdviSZPE{m5v*;)J0UEe=B_U
zw@I02^~FZhi0;yee!m<CakC_0vt;gVNz&Vr*~^l|%N9#lZxH{zL7u%ppuIrCy+Gu6
zfsA^A(DH(+m<2l@q@S0O@;C2$pwaix!ta5K-$Rpg4|L8ww9$K@r1#Kh-2<(453TYZ
zsO3F0d)LDsQht2)<0tnjid*hX|B<lA(oZg^T4n3;NwrEJS6-PHSgp5Jd{XbE#l_oX
zHeZz4Y*=*Wn3tJf(w-|qD^$I7JvW^c3SKhJOV>B+<)pI{W@v8J$+{VIdScGHBQ`6a
z25V1<TA0$Ua&BUj;Z~uQQ@ytNB<;NNENJzFsHG{ZRnASmRg-l!C|cpBR44b+u+x^&
z?XD}1Cz<#ZtXv=S>bl-ne!Z_-y=xx_-QRVkT<@#&^k44Nf2mLZwbuLZX79h<-hb^I
zpWVN@p+CN*_!;lxGtMSS0^Jrzlo>lcoQ#4dbeSwsW}bP%$*gEXx6PBq46Pc6WJDIT
zEY)zfe71;b>WV`)XWUK27O-&#c3bH<*@`V@?9DhNq~mHlZ6WL0h(5D54%T5wqG5}f
zvp0yn-XJ&kMuT3?5w)CSVmU|6a*p%8IimN*&HP(}{<lPXz6T0?4-HVln}^%v|GVRF
zB<H#AylLFw#*-x2UZJ8O=;`6c6*RG}Bt=0u@`M{-(Zu!|kp%*-Gn$j8ED%Z6I35$S
zKqz!Z`_C1}n64x!W(7h5WPzY>MAOoYGWJ!edBrZ<cbwgLN2_q>ZJCR?SHc&_YH#Es
zFRe(WSMXjvd{!>XV2*A>>k3y{v4wKo7aQD65;><Wl01E(DNM&*I*cL<3dEil$cYyS
zPA`xQFA%+6AZuPAyuCoWyg>YWfjs{Qf&LE?{vSlne~{4^Fl{nsxY*&S^GKkdMMa78
zq=%$nM%~r(^E4}aA4?Dlnqx*=7Kom{*e05B+-uFDtda!YYe}-#77I&XXzjhxa`r~k
z+8b?eZ#2%m(R%mAF}XKK?cN+`lsTd(b4*a?sG-bp&N)Xk=NyxqbJTLqan_h4sxikz
zV~(1}9Ou1rMEA}y**iyV?;K~gIihTHOxWhAvCVOAmtG!|{Rd?aFTbZz{O!DK>bc)s
zol_T|xfyTT<!`(4?DU+iM_7YaFWeT8wRO_k$vZr<zFraYUhBB2S7`Q<Z7sKgR+@U3
z%{(Hua%*4G`h~pO7y70~v|fF2Kx)lVt2OTGW(&BbH*(J2$Qr$o_x46+>y6yIFZSBr
z=&rrd&ur1*Y|*1^(Uok`Cv4FfY|(3M(OqoO&%L9=dq<D<j;`z-ebPHRqj&UL@93`H
z(a&Dd;a<_BUeT3a(I?(fHMf}mVn?Ozg+IF{7QYrb@AsJJ+#{KDkD2ry3F$rNTK7n5
z-D9@AM`C%8`QAN}d-s@8?vbF}W6pVxB<DS5U8EGD?4r!JvBTL$XhMgIiZbg;4`(Z*
z37tAA%IrH&INNarbSRy1H1rDSGMbXCrxnntb>?tQNHSyA27#?B4l7+rHk7*1p{8>%
z$Rt@Z>O$AkjKf@8l2yYJO|3StWpCtreX)~Qu<=>D+nbp)F6IaxQIzBKa1oj`u_<JU
zqO9Zz7qLwfn`53R%Cl;?2tHdNp{n63dCE{+bcKuPvqZa98yQR^I-;&53clJX!JFYC
zJS{<U)<z!PjH5+c5?OC;6k5GON;aZ-Yef6k7f0Ai5|zyojkhIeZ%ec;OHeOMG~cz6
zy?P`6??MLlLXP%A7WYD)<AqG>g<Q)E+0qO7o);#TEcki0XJ-(;g1d}nRn~*aj~_~W
z_WPI7Q^{Yi^YJ8zJ>{11O55pgxH|(UE;F;9RIGgIN|KVVSy<AXE00#Hdgumj@)Vl9
zbehxJgcUc7Tb(Wc8L}VMaMU~%(6@7igX$^+{?CEEtSb&WU0KA}9ngO?!$Eo01{Uv&
zJ*gRv+S3-(BTZVFT=?_7@W%7ghn`fs%($7oR%m+r$?qK-9JV@Ty`2=RSvd7dSn%r!
zx1>(4o4Ug<>-EY~uiWO8-70xgZmDI>Ub@Y7Q?zQ{<XdG~)=RbpZ`!T$Zd#Q2R@<Q4
z+Ba*3zI(rA*xDRqt#b3QP`}6942ft-)Cgr1FXWtF$QoYAd%cj^ypVf)A$xft|M!m!
z{2w{`KeG6L<T?M5De&POZ-RyQ!^UU()6ZFk%b)n!$Y!#L(|d!+*@!l=ElHNQHZZ-7
z=nReM<y&(wYR%!Qk|gF`8w6)>kc{3SdV7Pc^#<YH8>H{vXx@9H{jWtsvqj5ci>AdE
zZI3M)CtI{$wrJjL(f)ZyL-&rB(>t10?`V6yqjC0**4sOpckgKbUD429(Q>?^X?aE4
z^NPk53g2WF2{uPyWSX#m1wZv47M+XbG&}zKYC`dnxXBf^H)PhEH$?^`HHn;U4kg<h
z47NF3yvJcm<$gDCRr=YkmjzrBJ8E5;(8rUa#C`LGqu!?p{Y)Z@IEn*$gr+Rwc{-z;
zD`XMZX9M}G5e-s0F1oKaaD0vEK@NvaOQ&gXetT=m%`9z|ZQ7gPhJDJIdL_(zoAV>7
zm200~v|71#dd~7Ayg{!YU36QSJLyeYXY`V7Q{8Vb*%rIWTIJo88B$5-Hf|BvCPUq#
z=EWhmoI`0j2g7m>m%TaQ_vTPuNizSpB>8WT8TcLv@IB_}dnD2Kn8ojr2#!)R%wvPs
z>=)Bs&nWq6?b&w3=V!L(wIdEwb?ZG(lGXn4Uh9|DtrD$YxbceA3RADOo}0W?^rl7`
zZ%qx#o|v=x$kU+g#oMTnGji`mY~v-Wz)WVoI<xRujIDLwW6tM=uq<=@W1h{qH6Ong
zrp4xa?6Ewz=A&-b_N8U+o61%1O}<r|#lPfRz@~l`yJ@$Cv;3ES^VxJ>b>D=jD?c1e
zqyN1V(ycG=oG77PKe=<UnEqCllWJZ*lcJ2aimY7fW#*f->x$8eR4-lcO*e&#mrV24
z_0Re_Nq0ho##W`Q;w51^H-$Qv25C#5|1C^@8*bT0##j4yAK!81f5FQCC!c+N+$@07
z?f|ED=81b^9}<%aaMYC+JJOY<3_G4W^h;-#MejMn(%C6ASw+p;XW|U2Yj56|RL;>e
zbWU1!M5ptnP_fE0uQQWp=x=mLT6jdMvsgvUTW4Z~)<&PCojQf<Y}QF+^R4l^wu>u#
zXU<+&ArNzF-c<WXbEJ=z9VOUc*_^0z^6fuW@1o5rxI0D2CBT(}ZwgpT6MR+hzk<GA
z!FTnCC%J7<A**?dXv4`LWWUc*yk@ObV&B%QLe_3Gl=FDEZVif7zxh?jdg->tsOeYC
zyvimYIU97_DR=diZJuSjkH`ky_RO7rrObO<^dq^IZ<lWK&-$%e?eNHPrR<`zh%9%N
z>X1i<D`l6LIc2G<R(n0lTse1PSy<L{mFl=htSe)elzC=NSFLt^6uL5YaanAZxk`2L
zBi+u;?z1-QRH+<Sq(=K4;Xz6psq!bEHvHMB@UHY58_k+x#FdXnUN=6o*Z-jA-Id@;
zrS3U$N}TfSGdpJHB(^FlS+Gl^e%?-#S-MSxTE<C#Za$kUVAx^$T<+1t1{W1YNlgz|
zsY??ZeNq&qEl;@0eF|)F5=rFi3Tz6RvQVZtu+b|dQSMnkXV}V#@8+NQI_pl1|MVG(
z+iw^v?~Iy!`~A&HXD80k-fENeGe~<v&cY)~E6*+r)7dI?a+;UU<S5InJA+nF$XR+s
zYvtL;r-~u1SC9I&U#@#TSL*zFY5$BWj9~$yT9>Z^jvXbs6S1&{cZz+xjr^&PZ7U4=
z%*k%sC%%c^d2*je-umm_F*@cqr4f~vh5DVp={hsBf8uQ_e7d_p^Nvob=MEkJq~aZs
zi&L*eExD$3^QqA7CEJ>!c3%neDw}%bYS8Ni+uX9URd@S6s#;kZbX#Go-pRZvJ3O;y
zugslzE9_+6<Q=hD)+^plxutjV-LxCJTWzm=^U9r{^4;4;VQcdhKF_xsQu;k?w6-Q+
z>GOWulH%`Wqq_C-m2)0%*QA{Hw9((nowFU%)Cv=SE{Cn@_Tj|*@?e{T!S(lAo6dZk
zD|}>Q2mJ>Y9^e?rd7$_1p`9EH>#YCcdC3<4o|KhszjNrGa^d<rukNMy*{_tH@W!#T
zeM#93nff4Wt((b0{oZd|EdMPj^V+mrb>75Vk;Q*J-|k7#_t>Mcm583C)S=y4Bv%oT
zbdJ$W%kfySOSisghwve>gBTs{k1vg-$u9vg8l&(=`HrKzFXh5U1fA=re=63T?#;S0
zWP`Wnbg4%{ih822f}CTu&MZ9?n>E9GXKdCE@7{uy*JHAlc=tXscKUbl=&g-=!V{=G
zGzlsDE^lni`dsndeb1tgdz3Jmrw4vGl&atP%dgY!KL@3U|IqQ-{@b<v+k35xc0XEo
zXVDJzkNfVNsxWAZMDEh8FFXNinYhZ}E9cH-@7dT}@}J$zD*mQE+K?e6r9DuTduTZC
zf#$r2mT?MQ&iUK^@EJ$dHA*TQhSjx8y6eVeaZd7`GDBr+N|t7j_tczOM@m+v2ArM}
zH7#Yc>N5}B=~45pd{T{8*cg!IdgYnN+K5f6Lf)N@Srg0hzdio*H2QXu33S+tdetV8
zV>=)3mVA4mP-=1|_VhB_W6`&X99D+J9i$H>5}%xgH<a7iR;K@Z$Ghjw^F7h~9YPqo
zoxokLK{0w+;;^7f<NDA39ouTaBS$gmcKk;9-1<#tq@AYx*^#>K&kj|q8GG9>dz+y4
z;hltg)1I)K{4}a+JmM7TCb-oyNPAjN=aHb5Qv<c9--<aI=CNi<l<3yVpxOF2vpTt#
zggNIfypp9FtzJ0s%Bz*S)1sPFq*cv4b2(q{!r77D)Wf@}M^CbL@e$umJ!h|M^T=JD
zvfcBJ-d5QwWnQ_{Q_8*HOuHqQRlRhZ|EAxnauaSjPOF5B>-7AarkK{hy-0VLOm4(M
z)6Cxj#-~mxru_>Q3g<UmToMqiymjlOSoOlaSFBd%PKcVGV(xWEWh+ruWVJ6Ti`e9@
zA~*GxVb<}bWlo#aRp(8*m6?^kq%3UHbCr41Z?R^HFD>)jG+i}r;;qnaXYmd(7P8u-
zmVBI?0qb|yKM4}l+gG{rqMqrorAo0`YrK1lSANtpJ-AeD*A<oNk3N|nSjyCVWhTS+
zpD$lTo_j;|*dW)FP(>-j4*cZ;di!Uw6n8*#>k8Lc1$WO4ziaQYTS}vLm?wR#Ry=k7
z?WMZlZ&S3M@?H3Mu316WVyW$Xb}x2oey1-JG=rD_V%_Pye8-s-4dL(!)@Nq6SWiw&
zTXg5}*6LF!mrV|R(faQ3ch={cDW7ee_P?{ae(tkj)t1jTmn!Z2`b+2am(TORA{=4%
z*uO~3_~y(#4}I>HguQ4#x9R@1eBa93OO{()EuV9;f6lA-FY2F`{9kAM(>DFn?{g`u
zE}O*q7q`uLc*gqj%ssC|?q7dzsr|iirsvN3HTEU*{_CInY=7bZt;&D-bDr0)_#giK
z=l;@p^-Jm>+5A_2{!{+-|Ff0<)X#mkzg+*y=6~_?pYbpL->v-je$I3ItMxB!{(nE`
z_21|JRgwDZBH<1HUTf;j{MS48pFE5CNrmtA7wXfi|1DnocYfKg?aQ9q&zkq&yFRD-
z-{!SvH(mapQuS~1%bza)?|iGt%HF@>^8chN53TYk(dWy&!x!|Gd0Pe?x8P^`l5SHx
zdrQ&rouzx08y>to<3**wYqPm(>+hV*oBQac&sUQrm2xVc%TH>Tw?(H{S#7rAy*ZEl
z<)zF|r{=!CRyprnjlVM^e_Nr`nQxZ^>x9$U{eOlB#~yr_VZZr#^IgNVSK@E_ww`~S
zvg!_Joz=bbJ##b+J(D&a5$Rl6m)7~R&gyLbsr_6f=^jSGNz;zxWS;nX+49l^^^-N7
ztU}yN6Z_`teVYBLY{Bk`NvcBLOGDl*KmBLf9?2K;v`=y^+9_~-YF%*9-(_qpyI-I1
z;pHeXH{dPP-**1h(&~vvmI_Vx$eDCQ!+HIe%er;J|J*lJPo0~&R^+<J9fgg-V%rb<
zmsg5yzx?gp#H#H_?h2KA+9(w6KT<37{nVjzKVK@>1^=_WAZvU_#sAmLt+uMog-3X<
zZ#;bVb^r9FrXxol7IpAk*F3y@&oddN!?x~h{7t2|3XIDej9rcu%zgRt^rtUNz2nQy
z@4NHR>ip&D?_ZvtxUXqmt?S{hGXC)!m#+`_<yG}<D)05D^Y-nWw=d}B>K8Y|O=He~
z{&M#Fmr$?x()0UfJ*--|eEqe{+Z}$rs`_@7|9ZD=E$_U2u9vRg*qnc|VDFX7*WbL%
zp0qF3_IFhK>!*J4Gc5gMtWKq#o4j()l{B&Po+A;Rg?gJmKk5wr>vX46Z|;LfwNr&P
zJcACtOR@HyxUy*Z&XQH9WsbZwN^hHMe0r&I?6Z(3E)yF;0|>$MGK*SwaK~8P=Znv1
z*WGm4vh0V@biLA>!TZjn#wYK5?zoXB>(i;&hnJ?#JE!G;*yeomPEdM?eS3-9X0GYH
zw$^#<pO;>KGIjU4rQ(%VuWfm|ZTYjn0vDIgw)v?#kKNmrUuwx^o6vWudUqd5ZoYbX
z!=29yBCUMmc82dL*{#0+(&vP!&mCu%%vyfx?!!yjF~Lr%`>$QzaPD)$R<PL1OVT!T
zW9PN?&SQVQ6lBg>y}d^-@4R|>!`aUXlR--M9$s2rWmR4&RaPyRGu`jA$&tOsm+n3r
zc#!YjYop^18>;8e{8Y1luH1yoj^7i!<x6IA&ldPDINdHsUat7%;%^T*r`zSp&ntR4
z`P)Ou>2|sD^NL??{`T;VpjB4OXM?nszHR)E-CjieHb`vg+s;4P?S)IF#JQ7`UaVjI
z=j_QzS$>T5#dfT_F8?{J?KO3Vl3`@hv?D&9l0ujNp8a<6({;{ICKvC^{5@wd$MT=m
zzW80wHBRZ+tqb4ye9><`zgx-dIpx>3y!Sb0#aDR#<Mo^WK52Eo-Sad^cXEW*;%PU$
zrasY94f9A{7gC}A<+|&ndCHmT8g?)KlqT*kIbS#>vd(dR()pv`V-r?XZ`{AmY<0HX
zHmNr<-b?qnBuzfz*11+FT19X24V~_suh+kBI&svfAzk69L)FCTPUj~&osqioRr7mH
z<38@Gl3BH99;_+6e{)W$?4!8H>!(}qPG38>yg9^Lb=~wGevi^RXRG8*x$#tg`R4ua
zCM?hXbJn}KEs$q%_;>H`GOjaI*S`vVv-8Ei?#bV#GH<iazE!T4E_?S`4flmW#&bW@
z%t9vBG!@FQ&sY}HsVOvBHO=$Plo^VKwhn#I80N}8kU3k{QnYfKr_R&}rHx)mOOJ4M
z7Bin&9U-z{HS-zPkiD`CJiB^@rhDe_8SLI_>Y&d*<59kSPGvOThWFLlHvCabZa;Cp
zqs?4W-SC-h$Eh<1O1942wA1R%XH%olccqL~xeYrDqVzwPd)-l3oWpY=mN8guX8(n=
zj8&6scbGcla~i}qHQl}aCgS$nl6KkR@P>Pu$?v9J+@<=C(?Gu>zTuws(!Y~z6h0<2
zSl;wwec_yzJ<GP}R?m@V9a5REo-cX5=7_OSvZ}nYVbr5H6VraYnP^qGWT)Gi=_$87
zid&ZSn>4rX;C6r2H1S-i!$i-(S;@<frky(O_|hXbDf(2-$~%6~Z%sQjao3@3AvZ7C
zSkKLm7VEikb=%To)5LCNuHCZXvHPoC6Vt4(obJ+_cEjLOPWw~k(#IaVWpAZi{rP9=
zFR#wGLg5}U+J(JG_&Qg+&&p2@WUTUYm|$(~pq~^ZyCA&xArH@o>z`*{TO_#lg^ci%
zJkASW7fYBs{GaOmf3A1^n@jfJpU;+(m+^2^`((iWbVhq?M9<QQcV^RTI%`&Qo1}{#
zFAiF;`B7$L+R`9Uyz!VE597PPmuc?%fSt>xX3m@Y>~?0OkMkYZ7}MEd_V;e2wj_0`
zHm2R5HcQQ{((-!lr6YZlLN@F^<fr|1i`TMKw)0<ab@&{+D(YCI!bZ18PLbQK*6n;_
z)BR@4JwNf=nay*}ZXMNs*ky6y4hv_Q{#23YYkPM1By}GNlib^Tdcy(D{8`~U4s9{Y
zoxZYY{Uauw3o!=kr~TvW%vJ%-^tiov!gVTd0`H`ElXe(h$eG|<*1L`6)!DM<oUPeg
zd~a>@z9X37H$AqoYPro_-Hp+Y<T|TW-%Vzf<GAws>D9tjUcV+B0ZsbYXg!wYzj8d~
z^1KO8JUf@G%$wG>x$&3J0?Rk&J&m%abZ&N^*?Oes(#6GdCaZ<zv3=CN<@Y#M>eV@k
zrNVAEjg>Dyu{cna+9A_<Q)sh_n3v9k8Ty9#QzDyR1@20jQrW&@YRXZK%@?&&Hwb)R
z6u2u-<oB^Rg_9R;iC7j>*?-A*@tdz-CIx=8EnoTEv6(0B6G%{Z-nlUU!$s$t(_WfX
zuG{1OvdsUvm;Yg{^UY>Z0n>Tsw)!>S>EXB(==iK>@?lM@6F1KrI;K@0V|{r;VYxs)
zH)oIs*QL&F6LPpU9iKhcG51)`cJg@Sypx+JcE8zj!H@fmN&K>!Bdjw+btXpW6#7kw
zyn9JuuCjk|^O<L*ZI6BUF5N6UH?MWxV~x#Xk+xm6;fww|rB3sa-&}ER_y0BLetmi;
zxa4%xoc`@y3bxnn)^J^#`t9K#1>5s>F#=0gpDoB)CN;BdM|SfUr|4LbC9iX~-V2j%
z`toRj=H#>A@=P>$O=EefHrXg&d|P(pguHDl#b!szEs0KLvtw5|x_jT6cc&EY=6!#l
z6&<15YU;S_u-LU*hpaS9*j|3!w&9@IuBJ0~_a&E@Tm7z?esc5LZ?|5`S-;(O`L5AZ
zS%nih+nI&Fd*2aHDLZsfVXyPNcRWVB4@v5^Jp58-oqpa4RDyQr!u_+>F74x*^8b-@
zzw#E!^Id9x!)Bipb@RdTrZ0iJ<^5S+KK}M_r9$l`I~(C8{@(c;ey^FU{;IV09G~&;
zQgzYKyZWZ>zOiTW&5%E@t<Kq`)C#K{y}t4K*(jC$>n6vQm-%$*F7Z<QTRJz5TXNe3
z&DJ;9OQ-2Rx#9Hg^|yK68~XUn^4ZUwoi!ujx1Z3_<^);k9J7v?2+i`E4SpZKs8;=Y
zv_yYpdc4;Lzpr1GR{ol_RDW-JeA<R{pT4A4|1w&lzdSuYZo|3nUqmZ@6)j!=AvJ!*
zZlwtEO1<;iOAkEXnpu2)dU{OB&79B;H<xb}68Bm&afiYUnfu<&rE@=T-F5Ta_B(#j
zZ?_!vn|||S*r_|9G9`!G>~?MGhB(nTbB@U_n|kF|!ro)cuH6ht-w>A{ES-~;yy4xZ
zmA;dL-rYS|wK_(v^mgm}?cDd(xovblPSE+5vB6I0jZE$F=C6j53O8yG_0?)c%-@nc
zf7{B<2Y>avwMt(eC>Z=iqo?n}X5~2>laxz(QhrV`4G!WIw6Z%SseN(TB;}GxzDsX}
zM78+!7OZ2vq<rSzi4BKSZe>n0VcWg=EzeFttK(-Jw9apPayzqeuGp>2iLvJ&aoDOK
z`q>qj<h``)ijnoJI~=ic59hFoZVS=898ezHvaV}_v-*X-8i#UDx^?mjiF>b^enY2l
z<q=h(*-B@sy^CAc^%|^SdyXfw{h^S8lm46O?<~DKBFlW&C!KfQpEKor-qOWW?kJdQ
z%dedyE||Q0W7;XRzTmXRkDqjo%w18^WMs^;Yx0r_Ik$tCDBhTxwLG(|jc4iQw>&eA
zoTtrIylwXEM&`P?it#UPteqRr1Z1RZZ0aq3v*pIQfK?@(a~JxgX>2z>v$a>WEK2jT
z;ybIQtEbGF*zMD%dv!&Mv}cUY#<---kY;Ysrs^r{rY<kt<d7~=)_;ln_tNUVMQ1i;
zSBX~Z?Rx)cN$tUgx94Vkn|m_yxIlULrPC>!Ke#2QrR}=ocl*qhnrWvmy#1teWUtrD
zsby_fw{1M^cm0OswNpH=-|)=!TEE>mXG3pn*{+M{Hh8Ap$VtDk>Wi55VYAyi?)sJA
zkSsgJv-=ItU9b1sc9->we7p6n_R@jf)8@);FqR4WwP5bU4QC3DoGZ9uCb#+W<FdBN
zUh_8?&zU6YcU$t@jboe3s?MD=h<>f{_Kd*Vvpj~+-XHNve)|6Co|!i@_xQB$Fy7*K
zp=e9$ES}#6;j{NnQ8tWDns&sglU3-m>a)yZ0g<e^dj7^KjpqzvinHc^tBpGMT+u44
z!*+h;ZpBSy&TnqbTp4L?-8)_L`DcN-3IEE@@pu|JZ<?!k)NI+U%vnCpcYivU{1W)R
zF(RR8&w@vaX{OIk{Bbo4*VtLqnJVP1I*rFrzRT44ON_DChU$f1iq~c>?|!r8oL~0a
z3SXo0#YfmWyW3Bg&rsY|+3`~$d%JPT4aqR?%T=AP+h*LBy507|@BFI#?XkO;?(=@+
z*J-WtZt{-sM{=EaH|?l({&G$6S!v$p?qaq_%%vUo9xc64yhLC#+w9*{s@FN(Z#~5`
zy9Uwpva@q}UvBn^)B3&S%6Zc&ydHUWZg!t7q55%7$~iv6^=02OYJKL($tT6lGVV!|
zsNDi-P5$-(CDHG(bGFFKZMYkGd7p9RHN&(meR8&`MGLIM#ANKFj-R~DQaQ^cO8wO3
zl*(CFQOi$U-f}UG`)U8Rmy1)mMSIGoMe961KQTgOV?ff*BSxJ|g}hS^e7b1mKkr$;
z+3NQ^%Hf9;t+bBJ>Cw@#I<n<h+lv_jpH2McskOYQsGKFCH2tBXmFAHc$&Hf~tyGWv
zS!$?zq-T!a+nI`1&hyed&rF-4ymXJiTy6i)s$w2GQzBG&k1AI6+2~G$%vK)Rc_~bC
zk+##BwG*{G&rP<7_u_Uc*=n<P;*Nl%wMTXdZ2t9Cu_~~mcyqcTr*ZCv%Wl@WlQKK1
zGdtpvqHe~VRXbWTbMCeqnX7%K?$&kDpPswLNo<pm%l6xSp=G;n@$FJ`DR1$acso8j
zH*;?6yQ<%NF8i6^IB4GXLa%b?Y^O7?Z|D?u>tsZC+|!C}eD_u{ZU4Is=gYh<uM^np
zyUY2@Jb~Y?N%<Ym*EcS6d|y0I>wBX>?S){gCzTuGtN5y``r2M3D+V9)c)4Tw^Nufb
zK75(Ob#b|^ZMu!E`qJlXmaAv=%(FfA&82^%?eit-$0}FdDNHW;BeDA&=e&704As=C
zswN$OA>yxj^|OL!)g>ES$>fq{>2q}a{davysjezI{=&vz^ZsWA_bRSA%Rem?UA5RL
z{@<*le;T?od;U!5?$`Zo<F{;%$wI4n?Q=|E6U9<lVsqtIU3PkUU1!pnDKk_Jqos<R
zOZ!ZocpAkgH6C%woFTlb8!QW&E?)X6AXZFkf7-+p*A;(esfL8N-8w(b%IMkNC62GU
zRj+x+s6dKH6|++ZvYkt_SL`(0;W$a#`Rv5)UToZ@?>Vl<&SQPEh5Lqb?OmaA?>nj=
z8;<-H`tEs0o7+Ni6@UAz^QVH#8j6E#v<=lR9eLsLIV(uHG-pX{#H^oprT0b#od<OV
zVw2__iRrWy+N^x`&?=?Uz$KNY+$xb`nQ^{5d6wPbRxb5ja&yntpf!_scsz@iSt+gb
zcHvctO#azb#@q8I?R@+F$I^+rZeHGg=JIxzUr}-2Sa&XV`ua(pb?0N}Qt8QC0`@j}
z`mM0DP&*MTvWnkOGkLecN}j9Q&dqn$F07h=I{v+k^pkg7SJh9-@Lk<qDJH%0w9-t?
zp!W|VeV3ej99g?0@4sw)tn{<>-OhhYANKz#wOkc=@bfN@`q%>k-b$siy4;mk^Do7C
z{nT)rSov?Uf#{ogwju8PbASAeoj7CRw@_DJ)Ad@{{;c}=d$+oC>7ju0cZL1q=X_E9
z`o>#wRj_Ss=Dd9=FTLO2oNgJCUioX2ZLQ>`>6bU_=dXa*=Nr=R&sn(Z#^vxwFSRG_
zyEL!%sq@zu|M=GB>wSJ{Rew7xz3O@OuT{3Sp_jHlNR98Pei#sId}Zh7(Ckmo_+y<*
z?*<gFyFU3rjfwG2=_My-nqS#-Ute<7Y!$&baaqgHmhC#@7yV|-)xKM1A^P%GsaYGU
zTXnb0)>K}<<#K4*uBc@-PP|uTo#*b?TeEfZn$4HL8u1q9ORTbfd#e0o%&EPZEAClb
zS@Z4L!nCd1Z!FE1UbWq;3N)>LC$oEZ`>WVV@22f=e-xK_Gd-G*=jw0O+JHxjo$ab}
z6OS$4_VGtRZO)3y&DM%9Cr{!loG-V^pSRTR-Fc5LeXp{rbAF4DSt+~pU)_9smSEDc
zS%OKvv(5_IhaLB156?<ITO_Qi)@%BnQ8T%8la24x8ES^H&(3f7oZfqNX7XIq6VnQt
z?pg+Ml|8@pyt0g!>)5M98^8IByC%QwJ!K#(nr2s4!QaJaeE7IW53lj*e*VjaiOZ%a
zoOJ2*OnesL$$9x?;<-GZsrSV{^JOl7nRe>fNuJA++f+|a+w|7t__imlFJo>`%J*h1
zi^_<8*78#6c2JJDYFSiP^r^!oGjnHVdyAGuWkx@1eW`WZ=>D`-Z%Z0;BPEt)=XkHx
zy?XPd((O$aKG$zgV~ft(a#=0&;adwI{fWNUWYWy88FlI=re*8#UtSxOHTelw=i8tt
z(PiOYnOjw?Rn|?sw98;`nAdC7o0^5qQ_R|4>S^XqdIDPZv_;n^f9j<&&vz4c7@v8w
z^KN2Q_>AJi>#Wa2{<KXyb?QC$<?6#{40qX|kx6D>vgS_vp)Zb-4>lZ87V1A$)Ytwp
zdD9%>Nj91vr%XB5^73+!mG;SEp~c5$hIYs;Pwy|@mVCw{T{P+Jl;?#;yoKsLmp?w9
z^S!}kwwK)U$v$20L}qFqnQP{^{1)S-w+xcoth48wlX?D!)$sPVCpUubS<KD$TJq^_
z4C}TK6F>1=mt>-~rmZZR-gjB`@tI?q(&=V?J9$>!5q<n6#xvY&*QsUC`!9c1iPe3{
zYPPpv&bo;uYnQxMIeWdWu<D}4^G&rIV{<@V#LUTa^)_bTvhma3db?nz?$#Tbt8+7@
zmruW)yW@~v##4*CdYYC$50{qgu>3jqd&`W1-<3kID+NUJzRf)O*-doa<3n>peGL9j
zI`Brq+IQ3C6l>p!^_d6mab92fFfIAhGF9c&6CRNdt%9v~xJ)YPI})S$to^l3w9bSQ
z@G6@nOI2dTZ%+&IobIVJ-6A~6bw^B9>+4em#VqHz&84gN&0QJQW%{tH@r&M+2yNZc
zZK)Ht=<r?t8k95PhQ>xusp&V4Eq$2QJFWHgvx4VlmE9sY*vodsEIYMVDQ0`??DHqf
zq9ZbwuYOaqRd%DZTa5I&>o?Z!J!iIN`(?k|I~>E7d*3o|eck);-0}ly!D+jW`fb1U
z(rl*gk-f?>?|J46{rdZUdRgo3xqEMaPT0+pGp}vO_m<atAKKQv-E#i&miwO<MDOyo
zJ5p;9Bme4V?TyPNM?ODjD4AW;@jGIN-M!7fTb93E^88@nOS4M5tixYt&bym#>-*e>
z-_LXV$@U%T{nsx(t}Z#=^IhilJodoHzS~b0=k#CawB0Ri>+3m>z3@`*r<yOl*H_x^
zzI^QV`AdmbSu>u89XBXuILdd~@bHtcb{k)bWsjd`J~A-&`(!ZJ<jl?EnxFcMerT8m
z&s%;obJyH7kGa9=GtQZ8zA!7-+p=s{cKeCV=Rd#IX+2zW(BOIO<`c`h+Fx28p0mAA
z=yq(@@>C<<!t=7rPWy5fhu&z^e!Jz=wlng}p7U<5@04G*`t9M?!zI175#~PEZ?%6t
z{6(p9#U0-N`Q`CUvYwOXE!FZ|`fAp@FfZdtb(tk+Z%lf+Y>BM?4V}2JKMu{=7Wu~@
zq4<qda)P|wwHs^1<@ihPf3Jn0?eBI+znYek^SP&2{z3YI1L6|}mo5%6jb!freldUF
zkAFWNciSr|D;q0o8=KC%^7JIz=Hrujo#v~5?Q(n}&db9gCt{=W%S=Z~?&}gkLylx8
zBfSbI4|UhNE@QE|^Gx1q${p`sWEr^Sf#>H%8G$0Yor^^Mqr}#Q9?WjK-kNfGve}V<
zyv}X$ocF};-u=2n_TeqzJ^DW!J}m4gd*kV@bgNUtN|bkAXU2NlmoXxH1#1*lrZ?T^
zdO1~nukfVoz!GH(HJ7Im2Mc=wRTWzv-As>JG3i9CoY$lWDF-#1f?cP65%78(y1|P#
zarrSH#S@P<7GB~{thQEfdHZ&cz$xCq^&USL)g^L>cBpl`<ae=7=g<?4QCk(#5>VM;
z<ui5BMy-Qaor0vDOb>;sXI<yc6S$+X<gDTql_k21R)iMJeo%H$cFsmVo`rrFpXUW$
zQQi?=F#kbaV5&h2+Yk1l8Rr*eM11JTsGaisX7meIE>Z1;|Md?2>AX4j(@*xmH=orv
z2dxU2`A1<@Or1ceVz1D;l)BA9O9QrsT=Q^TYofJt($B)FAuAlUq?a!6lq!um`DaIp
z))lR(xj`57decCPFRcui9#ZD**l%LBW75yFUh|#)23abwHeY)2)a#kK_F=AGkEbg{
zdOVXmv}fL5<D+4sIW;)QK)1ILq}gcog*hSTybm^+q=7V_n&fmkXr~hE>Prz%yq?wj
z-we-i7POa=J#e_r#OmSG<2JUBUMl*>fBrIOdAf~l=nei)h6}&69X%i@waU$dCv_>O
zP4Dwe8UNtQS%)sC%u{RK^lw>vP?SdW@05acHUG^OfqojP?VC(9^va(VraNjpElyV~
z7b{Hn)DUgobX~!F=jNW}Pi@vd$V}C+UbWhJrB=w?+olU&%~>ZJqrM}qfH}SUl89ID
z!dLlo&)zwzx8QJ`$*ZG!3+!I$J&iZ(y<2y)<>_ki-s+Xl`#qnzFUi!O^(pB7!8sq3
zYG<Whonjf+v-kPYsvR#}x$iVi-u)o;pmtL@>-x?e(s5hPJvy{ivuhj6exW_OOLoU?
zIb6kheO`fV#l?Lu4l2ICI#FEug0Q~Tvzx*5=2d>YFEK+veUW+Gm#8Z{9~_--Q+xVy
z`JR7U#bZCd;q_0pOUl&oe{7eu@sWMf#xq`z+^2jHy#3d6kHJjwWgUmM^lMDreYtYh
z!OK2+Cm#t-7D`^QF<|nflm&$W%No@jezIAG`gpBuJm(O~X2pJWA&+Pq&z5u(O>eec
zF7M7bw4YF|xF}e(@`h_z_^Y)2yizeMb*@a!Y7{$mLcMxtkYP$>+!ba|rA=owRyhU=
z1~5)hjz3=Yz_oWm4x?{@=%N*qJQJ=j-f^VcEK)GwloG>Q2hOJOszA#-+zzHOxelsX
zS1K>s#E3cw#_$%HRtM^BN_LP*N@t#_af<npzzXKcBCeGVTwR+U_%S?RwBmaAv_%iy
z0(D|uI><>&>3g+hOS*Ozq#iJR=XzCQzNUT_gKoveE#lQ26W;k|-h0FFKwd4iD!A>3
zo96A=n_6bR_O-lYqTPBg<bmwRX0fF=W_Rtm>VLp{?@E?^%TFyapIYgDBz(rrgGNW~
zK9xN_r}e($)bDet`LjOu{m?JiRe3?Dyz0W72bBl8o5Wf5Ip+z!$=NG<?$3sA3ql{p
z2=CFWaQ?9Df$qWeEcx8`1ovoH_<z{<fcap1Q$LG5_rJ@z|2gMYxbuY0I$B^8>bLjh
z8SA7o&ps|F)_XGZ`;;5~FAqiU2^0NpXk9kBV%CYvEc1?iHtAiy(#H2;=BMLtOeTFZ
zJX&*x@B5AZNDtE<$;21OelPr;C&Hcmd)9Z?)i2x67XG}txM=Hge(jaDM<h>pyk3x^
zocpL{!WY3l^#xZt4)ea_QO<2H>M3csWPU(p1AB^dA)jBP6?X^wBn4fgAjK7TJR~*d
zelXHBb(_^XlRtm)#G=G^OzH;|3z(ODx_V=s_S&2KIPVC{TgrYsKZCEb>cHjNdGkJg
znX+8n#<naqx%!!xwaxC=r`#fVlieh4Z7aUto0N8T|E}<g?O?ZETMTtu&7zp(Cs+E<
z<+<Gb@jWtA#y`1I>M$tNel(f5ob&zWW0UVM*OvP*tK1P}P*wW#ebPtI+^VZy{~_-(
z|Ln(~PP;$N@|O>rRC}g!{-t~A{{M^ahp!gfcb-YKBS!Q5)DL%rpJ@F4+oAOBoc{OK
zr3Ti8lEp_^`KGJY$N2v7^-`+(!g856O=ufyn$Ve)@G5p=(X@Hmrd5wFv29^`dqCxt
zAH(AV5-LY`gdJSd8rigyZ!=?>=B=iiJeyBWO}mgIo#xx3-Rm}EN?A<yg#$ci_<X8n
zoHnqI&AfJfs$PSPeN5Q{se@nKu5!vKriI`7Zmc<Z$FqYr&6cgJ70;+__MBO^H1x<j
z=c%UQyWFPg%7|#paek<sqjDy6#_fz57x+I{Teuv%yW!C>MW(4uatV<JuigH>PqcmD
zX1wFx+8q~7i?Z{!a92HbYhO_75m5HXZKnK;DO31Y*d3U<tHCjWZw6c1otTx%49=e!
zV-xRa+bzfrc*|aL*lzi+hNOV4f0?})zScNszB_*=KK*{inV&8H`rKRE4V&&VeK>D^
z<G55>>cMugr_ZImKmG1Y%{)`A`g!)$Q2D6Ir%E+%OgP3BZnQu0=BI_>K|6I>tuNhp
z0xILH?Y8JoWe+OQ=#2#x>P2sy)q^UvSko_AJOW9tuYJ)Q66bMnsmZyWlYX8(Wi7vJ
z(y46C9TSg5gOm$NyA>unNy@9Sa9--*O!j)VUBfeRio`O#6O$h%oW60zz>71u{+lQN
zVw1$Qw!k)n&4)F-XY5Rza(LCl6Q>^M1ackHh<DKu5$ry^qGRWcLd}rW#1O5Jr%s`g
zZlX@1sv)L{A-W-3okHc^N}aAMg!m?2(XhIBCiI<`x5RFiqdPCNEPJ4`EJ1q*=L=T1
z23MbxHsz-Zj!%5$H*<kj`6))z9l?4l&dxn`r|`_7H%DW&(w=ju$rK6Ss9sQcr~S~*
zE-{Do2A+=XJG@!<9-FKF;?&$U$3nZ129MnivIZ@uPTGaMZcaYfE_GpQUINDq_GLE$
zo8N6)T>bWqwWi3=4cQTs^+SJb%9gO68v1KfaHQz(G{)~CrTaq8o&C-FZpGnm#f$Z4
z3r^h^Qnp;cysRnv?U8q0%>Qi`9i2X__Uhd`&%D?E;pXIDI(M_{%P&j$0&l(bjy?3|
z>r%PIZ@+`)8?e`AI?On{&qU=+V7!!|<8hY@obgiW_p2AopM0jZ&;R$ODf++f*1Bb+
zvz{+(Y&X)cXx!`?`Dv-^$FnCkaqNEOt$k>#T8Q+%EqYP6MAn7x+oBqC`_R_c-quQg
z<oa7foAjk;JI7lI2==#pJ2Jhm^;<~B`I?`M@4TPaaNp~A#C>pj%(I1iMF04??E1D;
z`(x~>-VPDrb9FO4c$eQO*jV7honCFst$Dk9W|J|?^5U}aPls;)JM%P?&wumb$oZcR
zX|}R^h&qX#YbuzcqjX1ihxGA7RTE2=e@Ik+BmFc~NH%2R-)-wxeG^xoT5yVATwPSH
zb+yHuu9np;J2%WfeQ2eP&7<1e{J%vXKI#cSCAHM+UdIpBMOJgN-eqMk-PV=;I&W**
zQpGt^ho5>&2Zf(6JzHM7_Td$^(zij^50%Q6m&QK4vi8-Dg?EqdD@kZCYi_LncHz&9
zbpBW3;<xTc*#xTn&6rTTwo%$yFtKm?xlbi+%P-r+K6<I-FJ58w{&?EaN%FoQ-Yk*7
z?U^NEeCWcCxxe#7jI*oX#67&bOy2DH)5ZO#uFkVtv*g>wMQeUs-1LJZZE7gndd|4?
zp61l%_Ipn(Wv<n2QPs-fe%SXQHZZY2{D!zF-`^JwAr?Z1k`JmcI;6S2;8<~lch!Zb
z#ySV=4lHF$|4}h#i}iui2PDsZ>y#^LeB_$K-59li?ULgMIRox54%41Frcao<Ktq0A
zSpxeC_O`$|ZylFwtaIGPslm=B;T*?uuYC!F`Kk$THqQ5Wkj0|akR&p-Dqugmp5~6L
ztiDwjG%}7gA9MIDXTlftX#wX2s}oIWjiL#{Czw7pgeCB49CuyC5|eAFnVuoMHEwbF
z0&o6R?6H*rnqo^g)?I0du~}xK!FgF`$}9J^3wZ3an4kW>Xmf2xe(lZslTIYcGi_jA
zIA{LDJbPt>&HemF?`lj6{@-qHzb{=-`D<~}*WlLnsaN_%3iuSYRKI%WSg!6-5N*<C
z4KF<Qs%1sgjx5&eoa@qiIxjh_5%0B051iFG>xa3xoL9HNHm*Ej(OZ?Re1QwQR|JQ=
z5IZDyaPJ}~`Slm{wRnVhJMQrpPH#8Tsc`wA!JiO#tn=88m+O2!NK97xG)2IVd!FFN
z=N)NB#g#wrIn=abgGP&Y({$I?&_$wwiM`<w;-Y3P1;HQ0((m{PzZKZM**c9cM(iBx
z{KB$uy~-Kf^QxO<WQF1dWDEC4onEv@xk{Vuq1ToI-5+iRJ}tM7?%?Q3Rm(cg?I$qj
zgsPmEQ2L!dw-4HpEK6Nh`1f6EF^J_bcCiaC5KHf#B<y%|4Oh(0MH>DgC&hm<otx3L
zr}q(uR#!m%PCdb<po6JRrz;uO%v4^X<WzemTD5Bx>-COjua#DW?Re#C%qv(E#+5%=
zV4F+8=8mgcUAI`ab9<(<ly>;O6mq@WR9(?up!;a!Z<qgUN-N?+Bt#A=9z4A05XX9h
zQ^jwJBHsD4{(GYnkhSB#>7l#*;%DAWZvRu=TE4%c;>T3x>CbJo!tEziM0nOo1-|w-
z{S)17H81zW@~U~cPj05wXFa+pHZS+{^00Zi-_x%@VXS`@V1GtJ<Za)l6UsKS&t3}n
zt5;ebyj(c1?_<N{e^<_LX8yP4Hz>0N%!g!_-QVM&nZ*{CSp>xos9)*-T>D8_iXqi@
z;WTx%rO!Bf8`<VvP+2D`x?^Evg;V~6$V#WZ7SFdcS0Bh@(JK(#G1W;R<#<D|!avS;
zZ8!2I{4)e@raoZvXs#A~_f`E$XHMgM?XwN$jphz!pFBBc9Btb5sP7G@=+D_xE~`(G
zYvUI8ntbrAgOte5G?RY0`L+vvc5pRX2;chXAlT&{P}B72v-*^?S<Idq>)ZoPyM)f{
zcxSsX&m{UZ)1nMnSKAk{bL?Z2y@mh2WSjEH-|&UYF8iZRoBm`r{GIj0f9r~iNlE8<
zy_i?1H0(cmvae$k!#3$t%r^uZSM#TL2Z=gdWh@t4WBMt$#Y=5@l-lI(+rJoAaR<n6
zFz+zv?GfDO*^<q<AT98UR@W_;eX&ohEgox$ILtkCRd<KGN44ud|APG;b`lQEjE^#R
zYDkHNy1CSaJUQ+%wUa@LKj8eW$xcS9DwmtmTT1jA(pt0QJ~XY^r18nSX}ab9<!{(7
zL^V{b*nPZa#shc894`4!UmBMGwy}NuQq$kRqDtmKeH`zCVln3Ff`W?wShaf}XX^MT
zSIjzdS!JHuCzFS)*2jY415R;&3(@bj2K6<%H^l`!<No%?Y_@gr4&(Q%Pm9v+%Eb!O
zFLG<u9F%({R-Df1?_C*n?D8k^H(EhIo3!Fq>|XGy)ym>(Q#Q+Wu62)d0;S>t{&Igk
zbXlWo7K=G|-ormGFKZoh^_7GS#A?qpZ<KhH%fBz7xZL5#u^stSmMiQ3Sb4~4w}r|h
z-7V~1n=aUCh_-A^+12Xja8s;`S!=@u(G_Q$3N6a7L}^G~Nm<Af(B5iR5R}PqYr)IS
z0kUUw7s%ce<bLX8lDCk#B4|U@S!Tb)c!ui^HlJoX7^Yl)^I1LIiY+dB-t1)FH@2@Y
z9k>v2o@280RMxJ=YdZGtJ{z~&Ok1=uL^J-#x=Br0nNx~YKR>=D=usLJsn5!LX~jcO
zi^#X}iq2HspbJ{PZlD&>B{>h-pqrYkv6nI)gQRU6uV_!L4Z5J;n+K9MlJIa2veaQ!
zz9jJgB%R!7qBm7I$Uw6<5G*b0VSLG6yVo+)r%?6utf{RIQBw@3FG+}$`W$oePg<(!
zglkLcW2K}oMLhJHwpAm#af{@Nc}(%FtDZOI9-A@O+9p5n8&jrvK&?i#fXDAcHe5@b
z6X&s9+Guc|>1D`_^~^70W{5X_ahs9P{4!`pc;gqnfWN)3)h4WKmCRl$u{!Hmw5H#T
z&M+^5v`tedwam;~Dw4P?TGMyN%&=1eY1^i5YMGh6R3=d@Li7GAJwNWXIno;&HhpsC
zKc8rMQsQUOIkBa8yi&tTCup@S2`Ugxzw;zw<t)z|FP*Ne6OPeeWD>RV;HrOdS5>-Z
zxxNk4-WqXLKJ(U&?%20#H?7aS$@(6<=39w?Z|C$m=|{ZJ6+4<}$9z7tRl<e)(cO=#
z52H92rG7m3byY=SmG6gL4|osGe$@IZ+;NNQy`A^OT&<hRTT|>izPa3HU$k(sf|ba+
z<cC(gi-aFq39q~ESfUq`-}2h1L$Bra+Jacti`EZ!iLIOAu}g5>4Uem$@}7kgS7|ry
zKI0XnqUzq2tfCs^^CKiv#ck8f^MBrJR!*EErm0u@bB&qbk)soHq(nZ-sI@;}3XD3J
zJ^kaBDa%zSzRA$;UlJ2%=pPbrc&1=qkqOs3w@n-BQtUPM-RAwBRykFNJv8O~+tsJ!
z!rg+V$}D~5VQ67`X$3g?ODBftPvs4|pwSz(E~ReG2j!KnTG2}v_)7VLqI;?GB&(&H
zyt#HQy?Acwnf$OS<(2+gzn3OBNZEp<Q#B^FEiH24a$9P6V(OXyE;GBA7;d{?c)?}W
z;;lLroyOJ9+O{){nr|*__l}-cV{X;EU;6C5rekNb44&SrHMjEb`m}W7*{f&r1G$=4
zzF4;_qRVSZ-~kZ-RMSe6h`Cpv7HsH@lk^e2bA)xW_UfIR%w}Y@?C#tBy7JZm?%7Q%
z14Yb=%enodD{mcBl|6VxPi5|%yGJkQ&HMIY%XIGF%`5dI{&prc>}IdDI&wL2ULVWn
z({Tp9Y@4Uvt}vM0FRb)SMSl+8GR<{!AHLL?eund;&2$~M%S`$)k6s%2hwmr|<+$Dy
z*>BdAIrnSF+^rFe72Y4T^^UCceo}7n*h<W2_rX`ED&s|DIqXDgRF<e8ERk6=kHO#d
z;>?aJJ(c{Xm!|SU{7;=kV!7(Hf6RTLeQw7o*2`O8IQUGFG7T%3^Pu8fnyO*fq(w*O
z&-3dOnylg~#Tl3r5piwJ64$`0DKmaZ22IfH4FToINwTh%K{K^kD=(dR1j?|ifgu`G
zU4sI2dTT(^K~k=%L6Lf_qL(x}gY19FCF`?pKK%0cO#`2n3p*-YD}we&*{D`Tedu`*
z`7!Kc4aeC|E$&4bfqQ)Ss8mG$2zQyfRUj&LeZt|bdNH#Vw+Jp;XB*dgVVXg?Q%3ZR
zYQ-(5eRU4lYM$ohF7Q9ardk=Kr*U=iF8h+Dj0*2G-%V`fQ4rT)I@Kt(Kv09T<mjX8
zqNk(oxHHQ-=o_%~FdH9G+Q7D?dS?({0LK)@s}9T;xJnrR9^eSbbg@|=`GTRUQ87Vg
z2BTP`={HT|3le>%_7`}07z%ep`m-6`@U;$LIg_9p-?2$(L62?dQD4VC^`(`wrX=}G
z@wlldNZ&Nd*{}YNd%|6|Fee|ct?Ew~FF825?FP5>JQJR*k4pptW~=u$S=nT=Z`X~T
zSHke>>k`|XH+qj`nAbPn63F36>a7TpPx7q}61S<;ILWe|$+_Tsb&%DW#2KgOnK0h^
zu*CBM9~1kmDa!s<OmnL*alVk9<=7znfH$E1!$-vu#?4ZWd<T0AmbP9HReI8P!E}W}
zOVUFf{)N{&0+=mVXtqQdXc#l*ux;P)y~6qbaz&+2!rkqcwC;V%ef-DeCI8aCfV%1C
z2W)nH==*TJt=;)%XST-=wH^6$mM7boUa0HX{m6@5QLf8+@5jCb>r1cJRa}s$mOXOZ
zx6;Y}@iL7s#csb=&HiNfH@W=i^hcuQN9PAkU<*>aBycLY=Si-Lyz<0}b|yg^ChkjF
zqA&e2Sov?q#D9w>{@XP1->ivsmptMNgAcv5Rj%DW`&0Uf%N~h&r@jm3O{#C`5`3i}
zz`84#TYJ{53I7DPD*p|9<@oEdNynG<7bGt2_q*&--zBynuJx<=%Oe>}>|ZbYllyDY
z#D8tOKYf3}bNjn#74P=x6P4~vjbFljLtf%#wU1YmQ~tz{#z884TCM9Qa2i}X?pBo-
zv`J)_qumLQcmvI!Tt|~m>$q_pz2&;lK7Yx3bIqw-N2_N~v1%0x{L$=Il^8Tj)XVMJ
z(usCPmx6ulT7xG3PgHhIe5X03uji_VeOgeP$SId+`jRiJ3%{=pFf*#Z>T$m3OY9C4
z%`d`kzlzmT0~f|@*|;#kXN70w;sARM-6@kCZZ4d1=H4aVr6K`^8cUm2IcX}3u!{@i
z7c31?IGzwB<-Ag0sqDfn`8E8{XRzv@GHqM7(cL3%N~f&d`_`FnT-WY+egFF+y$c(~
zzc$8IU*vdks$0#0Q~sik##iMir#bnX!hh=Rb^5RUXp7`Zj`a562OJ$gPfWce@Ll(f
z>5+G4L6&EX6{hWt?N}6g<9&ch!V;+&*B!X-h(8eB;of_N!&vZ@(2nVe`HYfpbe*3(
zwlmTUcFStcX7*^V=A6T$=Uk{%WtqPuZ--c8QdslcDGBL|o^?%RiwM6F!v4H*`^9I=
zCb~^L>r}=U)1a{1ZPtOr3+>GXA`whl1swAq3D_~{HL}TUJ?>`3GFR#)M@in2<O|Zu
za~t$}OSr1iml$8zI`N#N;k_?XBF}yE9XRimFnWDj<{i*wzBhF_dwv!BsSnGv1E!hB
zHW%%A$rkd!S9pem>phc~y_PS1?Q@sxPhPS=f64sg4<0vouv}`$S-_ydx~idS0qYBv
zUk!-~Ofy)gMabrqo82y3AhLq#RioGf;TOzpja)r}x))fsFxwtbFktgx=02dcft4qj
zp}Ho3uZQvS0j3RHIgHf@3_sjz-+OOcbLD}5P7AbiH{CBbY`lM5;t`XxMfN1m%I>+$
zo0*?kA8EMB|Cvj@)YxT5*0R0+?;o73n|~_6!pr|`eMW-*i=N*t{}juv^F&3lTx$qF
zU{}DjhjCrQ4g;TX`Bw)uD-X0w6>>^`U1)V-3jfjvk4)Y>cP<c>?_$pV6`*#itX6}!
z<cagi1;z4S412#WEDP|F*xDd;IOCkCwRz7epBE_-Q=5$rtzeoW>Na)39D`SfT(#_b
zo-NejTAcU9>u?6+&Mt#jj_a&?#3ruhUL5yC>Tt%%uQPwjZ`dwUb;vpX^m<FHpVd5j
z%kL+@;6JV!@$aS3&wbAF*Lm;0x)3d_yLq~<@0LSV*^5F0+B)Ml{+pR`uw}6(len(1
zX=|b>gYkKnjUTT4O1!2pJAaW&igaSw%ohw-vwTW8RvBLuTyfCl_yRTIx5`DUJC|)x
zd>f#2J#|rK*;F^yCu{+XCOupW3Weu3Eb}elnq_`bGUKMp)O{A+Gd0eOyW8EmV{nmU
z*80YsnTt4QEH&8fW5T?d<ymKElELD0zE>J%<@;RW*mdMt!@b1dfU@L876CGP#S2{G
zy%?7M3R2RzJbjYG&V5sOw4C{P!<F-P>MuQ*(-is8JJKNAK<VcRv)@6ATh{Ko(yUkR
zAl~Qub!kpOzy8yPUp1QICJ($T7bwPS9W?0q5u|^+AYRKk@6_~Dj@|pNus`q84^`Oy
z&in6)+@C?JMb`UF8tydehbor8@oqjb_jizKQTRTSmY5t1(S$F;hc!hXUu?Ul+Im^f
z>NcOT<s83+Z3lcfmuJsdo73JF%xV=X;<t6dr2;KpC&mET-pTR?j7v6%PQ5zyTHzY;
z1kLTtaSVM1OIIDrWE5Xyu_j`=LL~FH2IB`z9&TSt7BIhHY-{vs(Pz<Wj9MUQv1!v~
z=Y8@|H<}k1e5(uTFm~B0FWUU-Z-&s7H}1DzlyjXCt4NSze0gHquZt{aoE3O)n%Q?9
z{&$i^>_JugUi%mBZ!PM3eg!fw`^Gg(;`8D}k#$Clejc4G%-^}^C#o&DC-utb$3^Wa
zYKv2D_Ahgct}|h_`mi|C-v9G??Z<*9VuHWI{v5r``O&2`=);tXO;%^;uQ}J@zOh))
zL|g9YIqru|4_pHiJHsQyM8lLbTEh>l)zps=|HP|z;P1)@x`Bz-O>v!E)_V@ETd_gC
z<#!Xm#p68*%Pha$x#08p&CZ20#T;7GqF6#_WOlw~GERMb<aW+7p`QCP$JQV9nQ9=O
zux-{#u4hWo+A~(lJu^DvXk40fLjBAY)>-Dk<{4*wY!}EHe{JeJdxd?ML9o3>TL!}v
zpOThkmpZEqdi8I3Yq{*?uwpfytik`x<c!Xuq#2tHycHd$W{EV->iFb1*M)Tf<07XE
z5`lslVpAQoT~rsyE;8Fv*f+QC(7m0<HkNo?;k_>HQtMs98JNRTn!pmIlp%0^&JGSA
zKA#D)4<tH{Pt9bR!8WbIcLCq7um$Wd+UhuFu!uF3OnJ_@)Pc8vVGeV+1Jjq_14<hX
zGW?aB@PXxPgVv9vf-7n67qn*GW9w_M2$5x5*5I1JyrOkWt0_MZ<42CmtUOG@2W$d3
z|MN~@thEa`7Vmie^YXxN;y(%n4u>C=d(&im^W>rVuMAD*9#Hwf_b&C#dZzj;mu>Ph
zSRV-%a=&w`_d5DcvMF28qq$1<aKvMO(H%+Geg~`WUi*2uR={fe(9ORs>u()M+I4(>
z+~Q-B6M7!67mA(Xa-r&4XvyO1^YsEk+I4rZEqC73u*mGqD!ckB?(3#j^P3+<h@>*V
z)_CVyXg|xbkSXTp0lQr$UAg}>zrA@mSG9!uYSKmyAErx<G7Ah2EYXQv!LcQ8q2Zki
z>k?BpBrjZ6kR!ZF(m}6!10N5=Q3rFCcCoB>751Ax3piG=ur<nk+c%9>ytKuA8}|wJ
zRSk+Fu~7wr$Iser`s<*1f%|)J>!XVY{ZiT+rFIB0X|S#nOOb92KcIAHCF2y%a^p?h
z2h3t38K<yc*VwfBfKAL##t@$CMw>RDn8p&oYqrU#!E58qSi2p|*aK9j9sX_c`+D>F
z1Nj>ZviKGl-`QKnb|LzL14C}oSt~h*^$wdEx3EWXFUUS(#lIl#j>XbF3s?f4aeJ{m
z-M2s`;32mcTj-tzTf}d8I{c~Lv7E8!-r+YDjz2YWs~vAQcI~{qpd@PLVX0fX{|-1#
zuQ0oGc7D+gZQHQ2&9_fqw$uLoHSd7e->|QouNG8@7^zf5eBj_u3OlyOBr7$)t0(#<
zzu)ArSr2Y%%4Hn$y8LM6xj9qQjy|4RDVXedBx2L0MN@6%<F;-3{FY09n?`n6RI2HP
ztEGX-&LX*ynZY7^g<Nkh%DC=jy=bm&;Fj~ZH)^zpSqZFDe)lP$k9B^*{z%Ksmo(p>
zvV4Ck`{BL~m!#kAd-87kq1x1?<#%dxQ=7|^Q*X;i`x^eKfBkCV5!cnc>Mp^13RH`x
zM|$=xTaqEB-D-Vj>Xi($x|bKHh{=AuE9cc#knXm5#?6aUMAzo!^XY#GC~|%CC*zdx
zM(&o?8nd`sS49+bYG`#Y3ldy0XXk}RuD}pc->FWqx?Nc=`@%e4EncZ-)wKWaMS-*1
zb{NjebrRM$)7HIsMdobV;;G`>Tt6@8ym;l@+_^Egk2=quIxpkZvv+at-U;jSxCHON
zG0Rb~)M8d!#U8<RGNO#f7H9VCR<E!+d3j}q-Rnh}v&t_!&wQH2pX&EvPe!`oEU}gp
zPZ3@2xQ9Y9{_}&*8q0ieVCLK4Boi~$LGn$MOl+rrCaddK2g8_92jhUI$NFzIMGxAZ
zy|=7&HLHeu@0;Z&N3S?A#*`L_+AQTsVQ;iJa*pj%;FQlzdp&lYnAfsleroX#=MAj?
zejJXCe9rHEtzy@y%cB1}Qf()tRhH>Z>Ye=S%aKJo?w5L2RBnHeCu3aw!l-unhlj@E
zzuhXQYO;rJkf~m>>dpH!mE4o=PV1G9=3d`sVg_DYkf-w2^XWbj8IR<4&`Jau*Gn5i
z=6M`kX~GAdm8w+PJMENtP=R8v@w$|M>R!LRyJ}C~Ki^Y*a+~?TrB)kG>T9;m{?2_T
zurbuH`sA$6YESct+gs&UEG?QeS7JFs<kMS@qH~<&R=!%dWX}`*<u;3-@f$kM58NZJ
z_SpE8liqL1+~go}o5eZb+>Pg7<SPn2ufe|aSNfLjnA66mQtO>QOTJaS{=MhZ1nD}r
z-zR)+7S}vUn}3n9$ou>XhMiy2OFHhDO_855<!^cji&dT5#y77Qri-vwwfi+BHD?G-
z5pnU|VX}-ZP+CK|qsY<HaSFQ@$D*15PXW;l{asBf1VV&eTo<${Xt8Z&3FKU%+%ZYH
z@Cf5q2kr};Ul<P`5ZfSrQcif9d!VO?b%RdYQmw94PbW{1`pK{)Ols<%nVg?zPyMBl
zHQ|(-X2v8p*>EHKbLD}kYd|DjV}ow$vf5sH0Un~L4ZNa1l{v^jqc?6{O5Ggs#Tgo1
zv03hmZg}SFbh$0Q;{Db|q__C;8Xwn-D(j8Ux^50MQFi$pXrg{*u0~W}*N^Ktd9p4m
zi?fxx&K~fccetm@k29htY<E&`<LyflJs+wB(ry&rs_XmvV-BCA<@uL9AwTBmIX*rA
zk}aft*1?FcHp@c-o)@2D;<Z^m?YYHjro9K)N*15jlvg!AzmjFkpE<_@47!`nJ}+7H
zVBOTeHYf9DZQS+hz}-(0y9_zEJUVleRVBGHw9WdVnF4ocdjpTo?oS6*9r)i=y;z+n
z?qJQ)b6|R(TG{-|hO<^2>#Y!)b<)Au@_^#3RmV7Y@}52GFt2@8DMw(R+O5W%C#xG0
z7cj@ZVD%Dw_rg+EF-G#xQspy@ho&g{@Mg<SVk_I{K9$A4Z1)8br@wEedgch0oIb!;
z71|n_kj}BSfz4?}?^*%p6|yGcPK6rp8+J-LbuZ`@@cPM=x7Jxhbc?K0=7PHdUjLhR
zPx{Del*sy#_0V6JYvL<z{NDM~Uh1a*qW3jU|Bw6@UUNTrWBBO}QR~>G8p0NEzhIA8
zKB=Lk%$h-jX?MgsImYJ)q7~R={UDuy6-nF)J(2bTzeNliN)*^NSWY!$Enw8(J90^W
z1?#MavIXoCEXNu$6Br{{CmFofYP5ol3JBj|?Q1Aj5M$oM`iAlNf$Rse0@6Je4ptMG
zMVLARU)WFJ6=7KFAR55Gg<-CP{JYhs_N=@h{oE&Ci#4_)xNEiN&QHs<??k0N`1bnN
z>}?6hHqGYEuVOW3OgnRY3$O9bPgm}|I#u_+vzsr~|D4d`i&6nRQ<&E}$faH7h?>pz
z$l$S1BdgEs+6QbWT9>h9uq<n^^jV*pAbKM$m{;T2yxRv9qvicRa$NtzSjHxB*l+&B
zDGcH4o36j>V2EMhw7cQ<!Qw*Orr;0Ltkn`M?k23ecF>gVx=8_33{&TdORV==*67b!
zrzq|EP2vWFRA4IGA2E-nEw}%!tUP((-R|tO3%zfwaQ4}I{ebC&j@_p-6F6_A9GLsu
zZo-MSubrNnT?<6-9NNdk*BB8Z$)wiES{BT6WkHnj1=f;9uNVyY&M=C8;AOt<!1Vdy
zxvxSeQuh}u%wr7TyTa&xK>7ijM^hbJ1lu-=fbR?*PTP$xuI=LRa^1%9{mE9rDeRkD
z{vH!^e7fWKyN(5ZPkt${;5HF+yu08g$1BFQE&=*4LRr54v^CY=vB@;yR`o(|i)r5T
zFEO+k340urRA$yb$Wov;ZAz$rrdYL&oa@G%i5yQhTJK#h!hT(*ODK|eN7TF^$#*)p
z=Leat_^w&{z@q!`$H{yu%&i6eHcMk>-1YZ8^(A-~i>}R5HW3~BrC}F-1;1j-wO?9y
z;bE{8<K8x*FW)p{7dYi8oK>1G;c<Gw7KbSIr!1G0te*((=H0^<!^C-FKa)Je%?;-R
z_iIe3Drn1Sjg<39);Q_z$-l|8(WGON=#FU(u?Y+}nEV>0J*1d5<(~0u;L2gDKEPSP
z%j0xO%tO<_ydm;|#15u!jfx`W>_KX0WEaTKU_RF%Q5G!cQEAZMP=3J1phs$PV+hY?
z{tD)v!=|Dhq6XHD6(x4e9*k-e^c@!bbXe7D$yLHQQ^TvZT6My#31^+nxMw&mIO|}Q
z)PIFZlWqC?zYbljvTQ*zFC=brS@AAmxlpN~+H{X4Na%&pgh`I?nKZf87r%Bm#db78
zlU*czX-q)V)GSBOuvN@UBUZ{yNn84)zwqg{ATEv5UMm;KXfI8)oS3g#vh<8s>!0w{
zHQV}b#&YyU%!znh(D<5vk#Edj_YE4|N2YRi8(jzynA()qyn<(ofXmYbPZgvNOQs9W
zV0_l7`hdZL?OcQTf#?TP9j?rrE4GQcXlEqwx7<k-Sli^+l)+mf=kj_%w}Mw?Colh^
zkPE&7OB)|4Y06HK@GO*1-u~!}ao37&2X#NIyKgr2Z^)cz(#{b%(S+et-;%rlKb5VG
zMar6@A%dRDD`H!}`fU>Z%qDm(V6)jIwTJ6ktOehB?+AaG=j5<s@`W_LJIn>=58jpY
zJYArEXfE$}lRJkCzPnsvyTe(Ke#n;byTG01iCdV<ZSK4**xyzy{?0Im+bmN{sNB#Z
zOu6uOb7+vtyU7OECYo^F>R94@<&4YvM}eP3Ov?j`)hC^MaIV2x?49zC?GNpGmU!>D
z{BU2QyXu|a1@m1m@!rWSh(9RXZ@ZE4d#Q@)qR!bKs~C^&S;F$>|BdN80;|r;90;>=
zbzGP_L&}#Uxaak2nPU~a>Px~M7(ba#n4esELT+o4?UXj|D|XRp4`R0RoMf|}yU<J5
zDuVN;&YPr`EVfqx*LZpk#J3sb&kt7mtsLcGdg#dGClN>TPAh6M7X>bryQCRotu3%l
zy39QK%fb6>)x0a5E6RS(Y^)QMaIMtbvXw(#*oTuNmLWv3M#ky+#@}Tk+nFZ6(cylR
zc+j9x?Ulf`sksIlIwt%)@%?~a<1(R)roDVu7VGqua=&mcu9diQB%#aQ*z!$&{lb+I
zCX-p0ERN#4!1|KQpe;=-U@!04bj2Fc+o2iz#P(HA2|sAh^4DTQ*B_M&-D*_}Ump-#
z${H*)%Y$9(f)CFu57u8dXD}V+h;I(?<=EV{>hA{a#Pr2grW2U%vlKL_ZC-Gmz3M@H
zgTD0HFPrrqEt)Fpqnx3{y?guhw>vGr%?!$2s+9fW|BqJx4R3Nb1Q|AcbH0(Z)bWb;
z#$DR7VTXj4-uIfDlW}wX;)pN(Q7?5E%4daDt$k2;)T{oqhkf>v`iv#<2mT%4@nSP-
zh*`j)!JgINwt)8q8(TwQ0@n=oun4*6`|T%fd3+c)9}wEWm&43{K(l}`W^=LuPjmK>
zeauk}e%}_zy<k^sv`mn^!BEx^Bx4ZjV0(e7gsJv`!EO--?*lR$7?-#tl{B&~5PiY)
zt5NWQ=m!2d4EYTeX1~B@F@L-vt?8gPfn9`I^2X$y4uS#azG+?H*ur@C0K<mnU78U`
z&P8R4b+@=`>o$e0y~23wNFT%7h?U$W2}|?7)!*C@#Q&mqYL(;rHCNcXI+sP>`FPy@
zGH;RR#Vs8%Q6@+0JA|`LN)@Jy``*^j?pY=qkZDqSC{oh*?t)zzx0*Lw&Z?S_-M=g@
zpxor`;hXZlwI_I8FEbV;Uo2sn)wiswCC;I`ZTT}6=GnQ<`cA?I;hPKiW_BLC$gYwc
zcP?4`^1+igE*VbYPmD6%EX-KL`9^?|?`BL}z2GdJjAey0L|85xJj*wFmd~$tN`Y@;
zN{gPuRW}8(tCJc`T0EV$Mw(1!+RZKDUdNDltTC}=u6uY`gU^%x3s;0r72nvUH)E3F
zH_?MrI9G8lvk6Ex5N*EIyprjPxX;!F7Zb9Wd|897GZbf3IlMl2rSsY;fsK=7|2qg@
zVEnTAvY-L`nnx11c$cY|@j0N(5jdz>P3IP26m?L(!1pE5r>{9vfOkLNGGUgze9JPA
z$g_tv6e~zZPZe<0jd-D-bfK>?@5V-ps#OLIUk_LawC7ECj$_YZ`YEv_t3X_!+b$(x
zK^&v4#0%lFWv%rbGurYvcR0OX8EA6;-LXbLlfvfeuCNb^Pb%MpNq+KEV0(B;Fl&-&
ze+~BrR-e`xXEx3Y;Vu`R&>@%We69P{VU0R=o#f<Ai!yj8z80+H)_u$Peu94D^QbMG
z*ngTDbO=O$h+TAx*MjY#R6;p(;R{FUinh7W)~c!6cimGsVzt}fX-Dh=#)svC&$uKU
zR%rXI;WYCPTr2WWETMey8{OLqH*6nNw9NfvqNy6Vr6C}cqmWO}>8sHLwn@GrZ=JS!
zFJSs+wu3u$@quWQi`-{90@@~QzH#G}#wxcNqAfF-*%a!TZ%U{<&FNRT-?>Wp0K;vC
z2f8(?C&C#{pHNr4Vzp%{N4U6aEk|(I1oj7x9_JfnJf<t$ZMe&}n}3FD9q-k17w@RN
z(Ym3^F}2CyY~YsOSAQKOol>3W@o!;JpP=tF??9C6j<_FTfu$VZvKI)I8Co+K##=2~
zG3Bh_1Kw3@7G(5_I&R}xvLMUNWWMn3H$4m@3o9gCvnRY~a9uH}i|=bvld_kpw3^8A
zMQKbMnzf`S^g4xgtXjT7IP!Rd=!>9*Ct3OyNIUKmu+G=$$|^W2-Mc92LWRiHMzzH&
z<V=KJnHTKTkYdqw4-As<vv-gTU^bauuz|VufI<OR45Q(W-d^^o2D1ba&~Oj$1Jenu
zx9@N%h;H9v>0lVZ`i61&foKK3?c1LoU@_od!x-)$nwNFGK~|yf?^lZjtbaS*Z=ZCq
zGGOyzEIuGKp~=j+L1saNtqR+@h8YD6e^)SH5?s$H;V3PA;yvSX2c=&|Y-gCX4`?r7
zoK?1f|3zwPLuLY}2OC%8rSJ1++lnynY}w_qqvp{kRq@3)O|rJ^_;*PEZ}HLA%Kg`m
z9(`)`I{xpE<?$ggdH)Z7{yV?!<cGs;`^6GD_ddS<=lR=Xm*)R7)2Kgp{ZRGS*^i_3
zRjZ|W+t%;=V|>^=X5ZKSPwbt04yqn~Fe$k})AR&~Dcf|h=F>d~Q;$B_lv`kFCZWfx
zw?DVwCv)?|{@g_pi@m!pPRI@^uK(b?^iWaZ%B_*MZqo%9pYFMsdbHzWvEh!$p0w|~
z*-q))lekd-slV=P{J&%2i8Wu%_y1eJ|5vBgtG;8`f5;l`GqnGiZJtwO`T3)1Ld|2Q
z{i)L7hm#*?O?aI3!(J}DynFS}M~ADYosKR|+27Upcb~i4H}SV_-_q^=PI_H_;>4~$
zNBsY>+Z9V@_pQ0x^VWEWRL{EP8%J)}FRXudczw;PPuK1Kn(_Xfn)_nsQ={xHd%nN^
z|LXeB74?r_@Begj^Ub%`DQEQc|DCmvE<Sg^F}>Sz@l)pCn~#R?f4|xN#$W4{7H9YR
zN%QqB?$5oNSAXkyw{~fc{2YC`p0&>;4}Xz0+7^G>>UXT!wmGK9ujO5<TRz7yCW5p1
z&->l==W+sg7+(pr)D>+wtKKVCy<75w|9+z@2b`o`TTdT8d;gjH9<lq}Ygg`AAL6{b
zi_7rj|2N-fI81)udM)Hm_^O3>zvM5gTPk%cHsr^{yN>%JXVvI$S@vjO5O40J**|0D
zUZrJz{L6ST=uY^i3vRc0F5c0cWPd)-<W|~=>sdx|hK*`4qfbi;{d$@I@$981zjp_E
z7sjt_n`ZnZyZ%e_{-d|AJ^H=(S`f&#r#u($*qof7@9>0Y=Fg{K<<$#KMZZtg*|Ivf
zEkiDP(tCe9-J`WjrJ~LWoSm!m^Ya_G@Y_5;qrQC86wdhccO%T0&YycT_wBp#<Tvx8
zr68Z3mRz*6a+3Z1h7%CR`Gy^}zt<V%ZGSGXHre~r-?(heciLAkY+K!P&2QqL%Cg0`
z-&&@84}V^HJE~^?^{B4jUZz`e+ji8PF!!GPzJKd9PzdOzdt_Yj{|xfd!mT18H}1}D
z%ZPia0`qhI?R7@)?(bXO^ZTupOZIY)e>S@>-ZgX1dN%*l*-K9#9?N=>e>?P8N%ho=
zQ5!t=iAM!Pg2rVt|M%aE;#ao)+ZLPZv)$O`^^Hk2^=%noR~EHp<js3>-dv%m?aGw@
z&xO@4Jo!D@-@7ngGHXY1eDdy#4+DhV=Iyz1;Q!&f|M(f=jb`nSUwh=P*c~0w4c5z)
z%EOEMem76z3JdC-^vGKEqO4m*u#bmTgynXt+-vn)BkNN(|GSa)*L?QZ`?=TtZ-}f<
z+Wc?%*<bNy+HI<Ly0%6ZnrYulQ@yc9W%ks(%|<z4%g&zK6nWE3`*_;ZTWf4)PtD)V
zl@qq`tk$MTRW&oO?akj08|Qoovz;(yQ&Ha3n`;=S6=YvX>%FzcaJFgQX0@DaOU};P
z6nWV!`&io9TWc(5o91s8%el7bY}B^M*=E_N)AnwPyls|!JnikRHMX<2=5OZ9xwi1E
z)uzbfX0MN=&8^%pakp$hG0U8f@oqw=h4PMWR5|$UaoC;dhAZnnIPNV8n{-MjZ|O#r
z!_OXvNxf37`+2Z%;#n`F{bxQbc%mkC^1aLMS?d?cPx)h~{gZjhpLcVGf(_=}){pd<
zR-k=9ZS7tc^|*Vh?%E~9{uc4%^1c+pE&b!=+Gmf=nD?Z|J8t^F_>$ER!%W#*Ym{ey
zJ(m`Hb4~W_(&Wu`r_=sc&;EMb>~(q0wfDEy+}|EqpRoDg<g;DByVpItZvQ3Wv(^62
zC6iR|Wc^fQ`#GDx&FE>g$E1C&fxmpWy3F}>;?1Hv|5kVzKDyu2c!upQQ{B8T94Y%-
znDiPL6ZZG)Vsbn1WLZbhZ1oGD=G{En9e&jQ{r59$>pM>_p4?r(`QM}%p01~s^|PLu
z9;;2)zk4I!@7=Sg=0{1t#Kq#@-e+#T|IR$3+nPV^r^D;Uz7KXBX_aN~%ZtRtw=0I9
zHs3P)>%ZO8-v2swegD_){a=#ze>uPZ!`JwqU+@1or2qeu{-00b_5bASKd-j=o^1c|
z`u}6+|E%@jWB-rmV*KBg@40u}&RB8qQ}!+CCx16jd;hN|q5h@&#b?hmKh4kJZT}wr
z?|rV)ZPAS9^RwRayx;$`zVPsswi|uFgC&mr|6cs`|908*ABv~FKOb$s7hk1xUg%B9
z!TF0e%>TRpMP?s&$U3_(;dA%@Sovzlgg*KIUtD{ZKdlxiH)@ko?uq9ylHNP1iMP|)
zzTnTL|4&Z;ej0lBxxW3R=Q5|iZ<3bLU77P|@r(Xfb!p$jzsE)GJ5e`T^isju6+yq0
zKYeNakaz3h+gA>+s{FI$ex98(!~W;n>HqFmygz)O^XK;I-<KS#zI5p7{8)Rti*LSs
z-}LmS?8}&w)AxT`95*ZQ=H!T-rEIq~f0-?l*?fELZ>8PB<wY(xG_3O6SNGk1zT$D!
z`uuGVOKzAy-fOk3ur%U$$@;$B2H7h=T?&hLm~NXmP0r3Yb?*5Y?l}#z?c3iANGHrK
zUDAI3`tI1Onueb>d$nt8Vjz@7Vb5->oPYNW*J*CGXS?yhbLG9r!+nKkKa`dJn33uA
z_Snq+nai&1yPR{zWa+oS{qBZVclf^_^9jz9pWHih$}8Kf8#6N7wy!>X{LQ*64;>`7
zF1}ShtM_K?%I43HzH6xIF8l^EBzcqJ<e<Ijyk=>$9=?6`!Em>Bse$s18JTWd-<wDq
z`>u?=lAC;H`w!C_2kwPDPWo*;E%(ln3k&=+E=XTopued5o}JOca~)Q{PqfUJ42)fr
z(X-_4hWiukI)3fAvTk|b605~oJu8$~zFV>D_bS76--1eQ(;w89rhQdnzj4!Q&G&QH
z3%ALZzL~rJagFu4{Qh0hU#<mSKlke2MLU;wZ%Q?5-(+l>eCw>Ld(hlK(`S2O=Dgp!
z<c-_ovKZO8fTbb5tDLodukv1H{OawFSCd}#t=heQ`{P#ur{0~)JAU=yD`&5(E3B4m
zTEA<F)#7`7tM$N6sj^<jxAcwJwS?EN)7J#N>s!sY^37_!mFHG$f4s_jmG!E3`|kCv
zX4c$&Kioa^_q6l>>f)~@JTFMkS`p6mJj>#G+n!gJ;fHUU&AH20x%YCo^Iy}W3fqoc
z)Qnl(_wV(pHIMInjlNd6O*Z|y@}|DeZ!PxQo?GjFC4SwLe;02p?|Wrq#xJ-2^N*b3
zkmEPYWMnP-URm7l`+WQHiys$P9j;R~vDj$~*0$YtQ{U#d7Q5?HWS`$ItgD`L*Zz%o
zWb@ma4?o_gM3r87d}XH9r<w;bvgx;X+U~auKmN09&i#3}KhNH0_2&Bf+kZd*$S;a2
zy;3%3rSkUUJFU)bE8bl{XEivW&%gb5F}&S2bH`o#{{R1$hq~t;pJ`Q8J!kdf9P91B
zL5jCO{`2k5J9*pE8^N+W%eS2C*d{vv%Z)6XGQQe1#~!D@I-YHDy>0R8bB|5u<Q~5H
zwxDG1#`O#BjEz6&CT@4?U;pu)N9mucMP8BjOTA0oLfvDxhm?ohtqo=WVZ0<K_gTfV
z+w#)eOXY6Y_g}B9nP#!-agKFg+MdN$=Ro#a%=#WxdgE|R^Srkc=UJE9ZI*qx@#@8m
zwwH>R_icXr;+8##0S?z)-}=8qTw#CCc6;N$AGhB0eL1+kzu)`m#&zK2Q0X}xZ0lZI
zeak7Ew)5m2|N7DNs_e_=czOBhr}n*?^s1-Idfl!iyB5EC_xkM)t0|kpjX-L)Ucjwp
z!lv)xGILp<bRAQxdBahmQ1fTSCgE3IFJ8RZF8r!z#peFLzUtW%bYo52Fa1-0AN{g<
zMZfpks#hMXj8`4&u!1B{smEFRsoDIN>)yzns1x^i`S)v=OhWqW71v|aUt8Sni*dJz
z2FIn`&b|xb?zQiIlJDKVx>FfXW>B^5Ei4uMzhw#kB0IgUuU9LtJhwvX@hcB-QqJmG
zJ#EU~iy0f<ul~F8dDyR+>6)6@)%;s&xGrk9gIDPr-O#M-;ow|%?CL~tAYPRSuhy&%
zzn1WN<zJ?s=e%4+R|(n&YgDgvUZMTyZN@7Bu<y4&e&KLpn#?TaO#3I>FR=&8Prvo`
z%EXZLkY2%3m8-I$<?*J+uEw3Z##0n?bJjty=S$l_o<H%mr?_-Z?(?$RXEBiEo_9UH
z>eU9-_Gf#xuP%1~b>m`+`qtUr;1cCbwR_Orz|!xb$@j87Z?ZFPfBfphC5@&0=f3rO
zzg?#YRul7H#<SG@>fP|(*K?;$skL2b82{GacKfCCHr}2q@5&UYT$Kz>-Uo}3&!OI@
z>`V=B{L1?6>5(n&u~I6uJ>*;H^pNx`w+pYTf?b|}{A%Nr+Ubi8S8WqMHg{^5R*k?%
z!-cW>^5U<$Rv6Ce=Pq@-a?`!HaEtgR)%Ir|xA=dq{bKyRZwl>0;CTD?$FClU{SI5@
zc2#j}^k<7nuX<K(v@3>&__g$?pl?f;9jxQKF~2Hr>HNxRlY(|zJ^-i58d$VETRm+`
z?X^{q1R(EH8-pbQSik(dKH^Tn#uE1|m#h#Tv47WVChSr))ylIuA7nmnm)1HDUz^hK
zo;`Bjg$)J!U)D1Rcr&wzFfcH1Fa&qRgqdBrYhiPmfkERm0|O5O14BrDfp30FX-=wM
zMIHmI!>|1jITc}s7OqYT3JS)CX2vE83JMCzNrnb}igWn0{=LuVTDnbU)2bspKZQ=Q
zBu+|Uy%+ySp(WY#*)B)#ziLccI$N|<n-*R^IkQXf7N=Nc$KHjR6P5F_-+cUIw)WVg
z;~SpYT<O0j!6<aJaJ~Tdgzgo_b?n90J$RS`BcfdmuLtdYnADqSwf*Ob+a>qUDssu1
zHGg2qW9g55b-Lf)a&Ha;^8snoyTvDx@^-7oR6Sk!$z}4>`D?lT)+zrxayHWW?ZUM_
z&w1y?D1AJ5&PL$MmtxtSe6qif6<=iZzM<<jwJGj_(yev-Of65Hl7IB<()nkH-200U
zPS))I&#W`kj&<g@wx4pvpR7LpPBu&x>wJ{;|0|oQL!hx+b7ktTool3~d|&UQx#0Zy
zyMMRLe3#vuvAuB178Y5Pf+Np!9)AoG&iit)*lx${sBf01A85xMn6_bc(8T4R((X9s
zrcavx;Dx>3jupSA&fju$@7I$(cR&AG7jZB^Q1$r_qpAZgSvS(8nUr_`@i+Lhu}5cV
zqvYe7D>GS2XD^(Un-aM4<kF3n9ak5GrSI)a_uThITYpoizzxYgGv7LXH(1_!>}X!F
zE8ho+C41RaCmqS(_VJDRhPL{fzg9=r&tD?f^Yw7-(S_T0Og{LthMl!`!}O4qxe33g
ztjIlf_^lY*4#w#bGxokW6c4$*qflm7FhhGsxXHgjCpYcod!N4Cy)cDC>tmeO^TqQ%
zXB;neD(YQ$Pjsi7-SehzTz<`FuJ<`AS~YxI|5e(AE;s!VoWGr2gZqrCieyaF;dv9-
z=7r`;Wql}ncH+;){;vnQ`=0n3%BTuCxW35q7O&9Z`q;QZ^XziLldsy3yk)9l-6A8A
znmp&#t-fz!oil&z*Vmczl9B1tAH!2K;xG1A=_@X<-fEflct+8Ro3B^?>e#%Xp1u4G
zQ?bbA9|e)B{0AAU^3Pidf92g}GxKc8`QFY=@ftykGW*qjeNHdg<(zg)E>v~b^!L#p
z$|mVbb4T^Cc>KwUcL<Kv`!{>-g0mJ1PP*AoR7<z3&O7q9R?a5()81Xvn;tH9J}77Z
zFGhdQZqJph$G=U9jJ)u%sXL~zsNmMqxB9DJOkojZc*QQb#Ybi**O6%{5}%vyRH_{P
zKbv)LbnrQ@2Q%ug&D2tN%)k12@z3qyag)W@rl;5V2bBk&w=VcpIW4T|N&v?zkCXfF
z?mNk?s>-1!oVN4#GOm<IH+|}ESDaN74?JMR!~H6=LneQ%{<4<o?EcsM+#M}mm3yt8
zvv5hCtJ;=L0^8r7JiOwG)uj>!htp=;Hi~_;Onbd`Y4YV9-5j<lT!Ghfj-Dy{{^{RY
zL)~ZP+g348`^PeoePQt_?@JSohh1`Ali+o>VCsg=&svWy)G^e(cbAvVwJ(Qr(Ovzm
zUMlPy2hwu>Iu-F03QRhF>t;oCyeixAe*31TrFPHqzZ{9*r%+J1L15|HciWv+8BG1A
zh0j~RyXSU%1H&9UjSE{V%ul47`};qy+RU&2U9HS$-&)>xvv0lFxG#439-b8*lgoWy
zx1XEcIoC3D=lx~H9sKHy&*oQ{h)x!>6q;ehAN0tO>15$`{*dDE3zZUQpT;aZeAZfy
zJ^I-*<EqvUnFAK?(wVN80^c<+zq9Pt*<Hb=lYU!i#{9FAvxz%iYTy#8Q#~)}bFF6E
zBm3v`O@BQNwT-*oK9?ta?R0P3@F10Y+5NAZpZ$!~C{Q|)xcyi~Je!P^_WPgTqE_pR
zeKlSkW!kM5l>PQ-^amZE&)n`?HcaU1<`C67`?SVjf1Oro(+%ageOx)g8#SU<?EZY$
zu%$G>wmZJx+VbXwg0A|DVv;&CJ4N3a-v7ORuPdKv!e%zTeJ`cfaejSZ{#`ukWVkPv
zMU5wu`>xpg34hzSSse1P?`4l)o3QzD&u1Ndx16sLtjpC|dDn?{R9~5KN}@T*x=i7A
z!W~<|=x??w>vVV6yyHCmO|@%sLT!jpd-GXK*6S?cR)10&_4ln>Rurw1w7h$-`1VWY
z0(B+tU0T)uOZc_y6Fz@%oniF<B|p-;?xgShWmmd--Od?P`d>WNSTt+ZKaF>MB`u3>
z9{kl-{rp>l<67m3=-x-SMe25JS`hT>Jdf@Bw|#~B2Ic%;JT|>jyCZ6Mkz;EbljWQ(
zZ|83OcO_$byLN)|#f^D~E-B@=RfuKGJ63#~aa!2HN9jLTtSOcCVcYfWqR!uiW!iVG
zLZ+_?)t(;EXc3U_=6JGdsn-FE)!*lNep@NsXMAp(zS>@=DTSvP_NV)#=xAk|KASG%
z?Nr1d{zg)?`N^jZA}1Gle+!&;d~X*c!?C(0ueuw|d2E_4<$61nn2Cn&t9bIlT8Gi0
z^`b(@?Y&#y>NV<=)T}snb=FqXudET9mq~=Z(&oO<Ah6*mU$=x(>(?8qF_V|1$HdI%
z4}8cscjgABO}z<6-cGCI`y3_K+2kmC`P+15qbGc?o3;dO|JJ!zv$Dt2ZTH-$qNJw}
z<Muv}IK0KF@RZi9C8tH1xs@&d$%Ne3lkiEF=dQUhtMbwdwbOBXm8%afNL_fF&1`|-
z&fu%x=0%5^?Wy_uH}2bVehUK$W|ukcm;SMS-dEZ0`DV8jNBsho)9!hNT@n*(b2Y^r
z`P25ilGwbskx$`lbj;N|^9#RU_!;C;$?&Y==n4hT_a6VcEdMOz5o~zNyl8#v%_XtD
zj76HR-K&%~w_ZB6BrrlEvsmCqfx($Yo`>IfFZ{|}_p-<NRbled-xCvDey$R~`n3NT
zOT}Twx1s-!&Ct3iqb^`0ag)0_P&R<?XG>b;_G@REF9$1BhaGm_S1*2JMNQj1#pBB-
z=17~kD#}Zg+~t(}#=r7`>AmaU9aeMRT>odsH3dEmmE8w5=GN|dJ^ioUhHmqY=Zo0i
zt__rUp0zr6=2YESz9myzw`~x87gl}nNt*ui`C2FBKjfUYzUid*+`G4b#|jTyy}aFr
zjs=^0d-TLFoK>w*`PoV9WrtnMbD1A5|HBO4hkTF?eDLy`^>gb^t4YUIF6D4}*G}EK
zP{%ao*Ox27A&OD;*B^0Ad^`V#Lv-|4Pr*X*=$f!wj+`=k0`6zk-ekI>w0M5fxhK<2
zbC+aXj(0J&zqoehJo^Tr7t6J_*3N#B<RRzTmc387UuvbUL(_(O;VXYsStlDE-)`~d
zvr*H84O#K0X1zUmL^4w#pylr2HcqFBQhVdSJ=;3bI&w|rp7&|f8SlvaZ);yY`@;SG
z?47msx9>dt*3Drfdd^Zf&+BE3^~L&=W?i!Op)bvX`ql-USb8X|*k!el{)XiES{1(C
zTg&X;L{5@gb-{-1;lzMv5A4(KE>p9Qdvr#Vf9c_knOkSrgsd=#HQ3a0<+9~d2i;XG
z%Qd`?x*k2EX63PQuk2yQ)ckk<6c-p(HHIzfh<fw1=>Cat6XEm|uchXHD3bRyjE?p4
zSocTUiEX83YFf$Th*guf3BAZx+AiX>L$BzoZ-dN!jh>R2FoxhC^N+H>?vOL$&NC5@
zJ-Ox7Y<bsH_KSaR;}k7ho^T{H*Y;HP>^p}|em%dm=;-PzvOIgw`M)l@X~HaUM(eYP
z%#=x-|An7VygxDKY*fSdeAP9xwr%99T9Q-5ceu^;bb+;#M*V5G*9lXlUmxEs&K4fJ
z=E%A`hyEzB@0-Bs`&zU5@5^^WlbGV($XsOi?@g1LoxgzfdpV<c+h+69lW*m#{SR(n
z4))4U(sEk(Z=(9g6SLwCW;$6<zAFCYT)EO7>FJFgg7zJg+<q8nX2tIQ@nm&!Np=CN
z(d^Z+dRyfkHpqC~-RhWla#MdC(=);QlM})ZZ(PFk{MthP1u@rFePo}O>9tBi=8&1u
z#9dE<YHO!`yW~E>HPxFX<Exd??rYblXj&^oiz}U5Z?(%ORz>#&@3J3<XV@&gGgbQ1
z1(Q>M?iWp2{@lG{d%WB8YwLgHUFe>w&~Ig4t0L@C^5)O#>>CE36mJPWP=5BQ#oGR7
ziepp!_kG@rJGWorU;TB7rGU-hZ}xNLzpiOBk7Jr^&t3L`?}g!mCgBgO>q9c-B6PP3
zgoLcP;Z)+{c<Yo@);c?<%Z?xZziVt&J#fJI<CA?w4gV7#9KW)1pWC9XGcWOGIxB`>
zS-p76#FdvlIsQy~7k9Ep_VI=k69LBc2iMvxxidrk=9VpX_WwB5uQcC^(K$Ln+fYY9
zB-H2C-rkbumkbMers(zjjy5mX6|3IKy7|cR&I|L`oQyxlf0#A$+6B$y*TtS#OmX+A
zHm<SQF@2xx!M&YFD_B20$dAmJwd3aUOLv(*N_MdP6!=i99{kIi>BavWZrmXfa$MXR
zy|P#D=XAaJZTzq^{GDjRPs6zhSt)1!>55tS&C8IjZ(xd*2|pAp(BmM=mGm?A+{2Cz
zHyK+q-=B9ZkIV1}Sq9zKvCS-<l%n<d%XQ)2-3N7#Y$&;T?dH3OUFPXKi(1o#sy|#}
zE&V9^WuH@0Q{-p0L+2h8>@&V8{LLo%0Iw|%%U_`yugc2%l{X%<-!XhId4I*T35LgW
zUd=ZWS^k)V@!|bvP4yGC0?RLCP7*fK^X6>omT`Xj-&a4#zSMbDRsVO{VEehfRWrAp
z5Nj`4%A8s$XYu#0C+~c@qEem<f5LkEm(ALDs6C(8czXT+8`@42i*!HhcC{s)h+QKx
z&1&MK`Rbkue0v$Tn(!{tZP60yn0LV8_Zv&axo2mjhVa$AyuntY=2a!TMkB+`-c$ad
zhDcDvYpaJx-=)6~-T0(O-ZH54UvqHB@u^BT_jSsB6OO)L65?~{;FlH6_XU|e|H|ZQ
z?SFM+^UkCIrS6KoLcGd9VzP1-3iYR)jR||p$5(7ItLN-ohXU7)r%&IyGvmrDNeQ+0
z>puxy)w_FGwa@MG4{?K_dC3Q7tciUtdw+f#->1*&)~bA$WEHH0v*MQXuP)R`EB~(Q
z@}cI}bCXNAM0wQSUr3zw)cMKL)u&X~=<Huv^3C{>^tRK_j(Q0yUzmGohu@yV`AH1(
zX0%Ky{y*L6;CKC+_Q2{Z=F?Yt%0_m5>b#(+m>w2&`Sdq|Ge>;5WahlmWB)VZjM4Y2
z7Io8gKCQcb|Mi5ZYJsR($&bR=g=M7<Jarf6zMFXa3d2@a{#DJ5@~q{I+f8;?-G9Ao
zx7@l#)Bm<kY*_y}qipFNlMG?4ozFs7JbHZiiGPvVU70E$pXDFkoYWR%b8ao%d+NzO
zCDpA?j7pY&_DBjDuj)CnOu5r;PtNYXK;6LC6U>u72~M7(@s0VA{A;^<eV?~qOnsxO
zx}Lq5EN2_AbZ4=kWS~a<@7N_qVv<YF9kFFtC$e?_<$xQiP0m*(t<S$})qKus^R3eL
z-R^Yu;!sORBhjYa+pif^J8%8*PD`_S|7E3>0cBiW$9W8L?Kv!_oI2s|YR1QvqbBmi
zf?LGT<Msw`s|}6yKXck`*O%W_>HV|zkUifoyF6pp4?Apm79ZB!s(!KW>#XIh#}pC_
ztk&L)i})eEb#BUY<J+k>&gT5j$!_>($2E_CkBNXy*-6!ZPnkZs@v$5{QnFS+a~prP
z$>C5Xqg~q$W@c8H9dte0u$T4!%7=6B-1@zB<-~*5EMYPC(^p<fpBGd6r0^D_z{km2
zuUoy>W*n@|ykYXm<&m2Z+kQP`$(D*6>7Tjhv^1(Zl*`KbDK>Uxu+P+<_h`qOS4)lF
zw;cMu%CvN$qTuSNS+$?)svGjB7WG~D{H=NC)%y9%`=VoG9x8JgF0Xa{S=_UC0{fqs
z%SSKIiM0_G)ydT;*Y9zC!nBL)e$Z=;Su;1SpBURBo4NNWOToek(tgzfUthlFx+tgq
ztT=tLz`@0RWezS8&0@3GebWf8U(dK<#bei%YnNtJXyzXeVo@`)XTQO`a^+Tq?=epw
z<`{7CWnI{v)wVuC&Vq-ha-n;lUC`dcQ}|6^r~NTtl{{PbP<^iy*Xd<*XYws?awuSL
zGb;aM7H<Bl|9t&%<{#f|rvKQphV_R)PXBfN9@#)=F-z4AtWni#LpwD!cTN7#s8)A+
z_IbrEZYSIw6{6$v-vnxXfBk69hi|tUW`5n;?=|uL_t`7IUaU=xvE9rNv1<0tMVmx-
z-JkBiRaeQWrm9O^mRCJw!*Axk`UacHALALG2+mn}!#es-Osd{~F})OiJ>CXqjjD(C
zpS1VNvedomN)kTk^&#{v%L4O&Pf1&+wd@p%kM-WuxXeB5P)=Ee1CyHMx8onrFA$h{
zDo(|!N9>7_MEN{(0VDpL_%eRMuRj%L1%`wrJqn+jkidUfY(d?nmen^83C1|ZHtbrr
zZ2Mp3Wg;6Nn@6ae=q$UFaq?^bhszpvlW!^Ao@3>9-;lfg$r;Tl%inGNb>nZQ+v@Nh
z%}Z{}wL)f`bUC@oGR*n!F0(0j!$n21V=fqO3fO-7$nD1DJuK4GwgnWdJMinW@?-Zo
z4~}-rJ=^EWX86VGmH3n+oSRiUtyqu$b#iK4mt|LQqQf|)#7s)@s94l89rfEEY@Jg0
z1CKlK1hn-Za8lXDxpDO&(PK-lgk^3%JKrLl=a1>F?d4A+W2XeZPq{N?kNJ<|k3v<h
zCP+MaILq0jQ|D;nhZSoLD}JoKBe5ynx?%1D^L3v#?+CqB|0D8~<oe2pNaq0MLY@yn
z*ADev-Nl<c>!h4t&r-&nX|78OV;eg%df$7j3voJ9V0lFM%u>|{k4r3yzid3-TD@fL
z<J$#yc1DUS_w`&4dh8b3@uDS4`I(|oTXW4+_rr6qHXeLs`?_II?n9BSdp8C8XU|a2
z$+XzelgN_6#uVNA(CV^^WZ&G+3=Z<f%L6pIgIgxv3E<+r6Mr|SBQ_@aLA$M&Kssmi
zqc<hD|4hC-%Rr`iyBU}2>o2=+OtF6bHSU0-=hXds5A(Gx)7*cqD*FnvNafp>rranQ
zSEgpG<`Yc+%)&DS8&g$<G_<*04>xc}{5kaaH?vWcTf&dZW1o(P<Ucrn;&UB?i#pp>
zpHt#|TLly+b4<E8M`r3x18Ju_=C3%MP98i|vQkfUujD=F+T9|7>#i>d_+aH^o$$`1
zUt~sadHV+ai+jXFx5g&Tjp_2TT0c)9Ghm+Agm=?zkF#El3p|jMQYM)CE`<Ne!vhOl
zkA8Oi5bpY2c8A%iI!*pb#&QQl6ol(?12mqxNX(om-S~OCr<qFN9q<3FMMlbt)BK-Z
zJ9f6l@0#b=0_LidVO6gCxP!hHy)h5nRxMqsFh!c%v)jmT`(EwY3(x*L5?5oSS(5y6
za`tnZqZa=?c*>X7hDe<HJB9y|%cr2dCo`P1YGT$e*cx<tVO_-Z>cHY7JC5D5vEoXX
z-cva3c#8TrtBwZGm}j^4v+gpK|9Y}@zHhd`kLRldt&6y1Z4cyJSkfPy`RU^>cizqM
zmivMhh~K=H6?Dwoh5w5b*IetFiO<$Yc+OvAQmfzV7uXoj|I@j)Qtk9%P8Q!Tjj3y7
zHYa^K<fpQ5$qg>QLmLj%{VJZ8*~8^Lal)Ytvqw>fQ;e@@+++$c<!OFj{;)9IvhY*<
zb=fVmtvY9(`FhW$cvlir+|!u-id*fT{c<*zYWTCFU69}V3Ge#)y0!yZ8}F>Lh-_TE
z=JuZ@T1-nD<NgQAt+uzl=MpLWn(^h$Hm|Qwg)hhL@Z~e)e$pm5wdSetl;^qzeN2-M
zY%)D1#W+)Ijly5fYPo|l)2Ao#7F88p+mg)w-zDQ-qiU@C{3D-R-f@*NzZKg3n5pPW
zvR&r~bN|?cTKVbUZNy7{6n`s7I~v`$)XldtR7CC?lhd6C1v_t=SL|caV13bSo#gj$
z#?6=JK5m|>bCcWG+<p7HU^j#KZjW0bQ@7U4TqN>)`i|nq6AWAm|9o;VZuh*9IPIIr
z)Z(X&+qui97;k+osB!#MZM3Xk%6eOt<!f7HUC#aAyF^}Q`BmxC%*8F|zlgncH~(-)
zZSUnzwS_eS*KO*1D?d({Ftg8XlE}tg-}jbIW1QJ}Bq228`s@YM-ZL)>{J}ku$94A6
zoTf=1+1~9EI(3J$cjAPQ#|tz5x64F6U|jzqBPYl=dwuxD<BmV)q}|>W^e{_B*>9Es
z-#U$AiA?5Y8rnZj7_OOdU;f+CnMc(YJhz$mvSvfo_4M=0yYDW2@!oq8)3gs$WVYFQ
z2-oTuuGt#lDZl7%P;F^Z?%&eXK*{*2k%yo8{0L_6`=jLE;`2fL<SFm2jQ=-MTe429
zYMwo<aMk1QjGYfv8ID9|&504z5VQW=cj@4RjE81MF;+sqe%vfvV>SKsf{#&MZ;~`M
zPd=Ti?|Y(Y?IYi?p9Znc+Nw1^Ugr+^$z~)?t_#_zf5`qbU+2B43%6%=e4My#|5?^U
z&%1B9Ou3gGvRP5kFY{Cl&qUox2UK-z+4+||SblG<tGLB(?VC$g<kAW%|NQ=RO`BiR
zQQ!1l!cn^e?#=6Brp5O%a<oi6!g(%5x@J?QY+2s9+T}0Ga&3a`PrbS_J+<{J@4bkg
zi8hV}T}ffT-nt#!cBk;qv5Pu!1{2+OCUJ47oh|kG5Ye`1^3_6b&hwo=SD$h-`m#79
zCQMFt(oI&kWYrJt3yyCS`Sg@8v`1*~--p=^_wQS(X{$K?m-%~EeNwb%($R~%&uZna
zG04AMaPrRXRSzDQ&Dd4Ghle4vLS<=;<nhg&S1f+LKBnX7|Md5oXa2z}e06uM^{n3C
zntf%{{f%Fm5@%(lt~vK*-f=gzGX6`<p+~N@-h6Fqn)UWa#M9+XY^T30`p3GmI&p88
zY4^R-g!<^sNv{$YGng=J^Lpj8=6~KSE|%oT?NTcWBi}aP2%9*4*7Ixc*Ttz`TU^uf
ze;Lb+Q@@Wi&iZ6jAk#nVi^4>~a)YA&iI?VD2W!4N`$!__ed+3Iv1wC&JrI}NU}##<
zv`F1{&7_bg&1<=D-jcf7dzR;Z`NRbWtzYirF*Hv$)32HH=ZWj<WYv<Y&L8`~?N^Ek
z`ROA2+0<^&Gp_q-Zq+-3_j~@Z4xKAjT(9G=z;w6tiSwVcVqCXwH>_Q7aF3I1Np=Tw
zqt({KCwWAcZ7t(^#`1S+%RHf&Wq*8c8SOc`Zt{lEod*g9Z?QjrA@XzWOsl4S+E@P?
zCl{r=HM<Br%FF$IH#PRpg0JQeTOI4A{ilf?es6wF;D}7qBZF-Zs-2{wFJD;iws`sB
zod>q*9Vvf1N2+*&vAgoANluHVw5rJLn|Yk^Yy92-ey`dYS6J?SZ`tydzwX{o?d3h@
zr%XODJrA0EYpRy^;=c<#yt+fWBwzb4Sz+1c$#b7~{VNTHoeHK4|9<PgYL-#(F^&21
zt)Gdtm(|NQ=xz1tEIq6e=gja|H&9SfEBW{zomp{VYqbnkUw!E@{oR`>wPkVFlCHXb
zD^xlg&y}`z(UV=m<t+ctRG+B1&+5Bj!|A1m7IldyD8BA%)yOYVelNOd@8YS4*4nu&
zu=rE3`%Xgtghg&OzBeT9FPy69Sh6`XL*#|^%XQnYu-p(;KWe*o`uDVC$AeAxzs{AI
zU#*^0UEC?M|KsyDilI_1N6%X<Y|!~WCr`OQ^Ywr3&#eCfx#FI8rc3K}+?LyL<PA$}
zTBP<|)BpP{-yQhY{xjzCx>IYvPX5GuPDP`Bt(@k&wMtG;XQ-W=f2~>Y@QdX$PffP}
zF5aNyv%TSRM)9%0eMbd#-x&CBc*6Gd<jk<Jzp?4-EH8QZ@5s>p&>*}2y!%40j#UfS
zE6qP*b>z61@aHJT)92R8E%%K(@c6|Qp7xA)FLN)*2<{M({abjIzp%LGUg*28F~7I3
zx}n(hJN?tn(vYd|&wZ-7^XliMhrW{i3m&hL_fnkJEwuW6+x&KmmJiJQFEbkWvVzU;
zT)Zl=S#7<+)BBgExh9$&zUw&c?~|#orz_3+ddkV+&TSUkjypXYYZ%NO_XQ}v-SK4Y
z9;Wa2ex>&0rmkR`^O5(;>;3OHo?m7vw`grlcZS!Ax220e9E!dyP@S2?I?w+@1OJzm
zoA)@y-IV?`>-e$5leWi79_p^+z56R--saX{8yK&#-H1Bsut<9ILixtRAJ(@v<gLB6
zc<qA|v48x#vo|<7c`|s<%1L!-h|4x#b!4j3yd%l$zIDmRtn4ihXnoOlHSE>%9WUFM
zB9dCOw%whp+m^j6DfGfsJK4L58-G;q_&IIw!6&!0%$q91d^Lr&zn$n?owcs3(tYdQ
zA6Y&65yipJ?)$DyZ|J(k;<nA`rDFBAr{C9#?(Lub@bEvA-S6b5|K^H6BiwP#Gxujz
z%Zl9->|K?l4JO_#6Y-n4`+cTyY1i}AV6&s&PS(tw-IElaaqp+pF%_FMeJPX96{2sr
z&1Fx&E{Lm6WcT)Dy4yajh^b9x`&Xf5g|4~XOzOb`G8Q85S1!{Maz6b&v`B>OM=0N|
zOA#6&i+63Bc<`&lOZi;&uYS+hOu8$z;NIqqN}u<i2=ixa=Q!wjwcBB(gJI>rPtxjk
zp1G&)MQ6QYzOFD;<Wsz$`@-W>j577kKfSSL)rGV>MN4LC{*^w=zx2|n6B6g^Zf)(!
zx!Z43;BM%0Yqs&FPt55zirJc8s`cc4W82r$yn@HmAiJnqk!i_JGe?i#+#i&$Ro{0x
zsyHLXQzk?rZO5K-4gCH4C$CSo?AG|aG4Re3_XEAPL47_i=Duuu-BGve>b?WLwa2zz
z2|i`sqy6q_Sb4q3536tIpT2HS-DO}p;rYp}S2-s$7~aSWJ;){-(&}boZ+!E8P};|(
z$0w#QtSvbq;cL9DD5Wg_>fFRi{?_9wxALDj74j=;o=XAW6w_CY)3!cRm?3mzqgi%R
zpoIW?-lg_yn(~1<t=hUvZ*jkRvua~|@gv{*z)9ZszSWyv4_NU-tRb;t-r_kaM_z2-
zd_ZQ?fvMl3&dc{N{AR<v^3L-Y^IQ2paIl=RR=&h5${VzW!BBIDN!JawSQokNCptP8
zT>t6wFY#@P><hkt5Q~<URT*>Fey+S{u3w=zuRxCJrnSx$w;i^36yN*hu79~N$!m^m
zuO{2d3694umE7FvuVA)O?M8WxS+T}?iM~LC>t`btYWSu6G1WPIexidw(ZjejyF-gi
zE~UE7k9Pf^;rH=@`E<Fy+Ju=eDgu)yxEwdXy2o_>B>w;59T}Te|H~Eq|Mh(%TZ*Xh
ziIRe?8-J}fn6+c0A#-|Y3sb-D9FuL|1vgi`Sf}5AYEq4W=?>woF^*f!^FOUPH2E6u
z6tBg<9==Op6HT%{bmC&F*PJjxzR>+QEN-@cdT_Ac<V^SUAg@Qy!!Jimc%RI;{@AfT
z`Lo+O$8`cvPaD0q`t|JC+AN{}3IdF*0*dEy7S1?(=7H4d%DX8)7m3_(zq;z_H&M1D
zDl9wp-}wFK`)>(B6_!qiyLmdr_uno^o|g5aGe$qFiuc(0Xw$;)wHIe@*j;WSlk4$r
zN!a!|ek%?5A6x8?maFb+_``bRnW&Qash-SL(;taD-3|Kn()6CQQPAc;CvWXf$Vyp%
zz<CC<*u(ssJ7xXcZF|obe(LvKz{Po<$?0YFQ9tdTeBm2@t~xg-RZKPzdFtBQ5&A+W
z=C$Y^p9sIwRbp&TN4rd~P3d}<w?trX{ZyxB?Hb|0s_L{j!8z7bUQO~&_&s@6-M79O
z2QEaiR4y<x`sgBTY5x1ITK2E31&R|j6(8F^(pbHErkdWHV=Q|At@<~)r7>FOD;>2E
zG&NQ-lW-LLc6h=hOR>+~l}$}|u4jaQ-c<OI-KUy2&h|^T%dR!YR~CO`FO|<=o^}3o
zb+G&aCeffh6E_`u{~{~oBFlFk_m<;LMt-v+w@GF-%uxG2$xiJ0g)94P7-mFoynH%o
zs=%e4=jYb4U)nUE!K*^&@U?Rr4~6eodP@CvkWN)g_P@;%Pvo`<=IrKG=@vPDdDirO
z&yJk<#jEbLZ}r5<(GFSNM(#gEtlyh7-TW4HdDd@H$>cAlMc-zv)VXEf`RSma$1AgW
z^LYDjHauxB?`ceypZ#N3X0`glB6}t#qZ#_wLzs8_te<2u<KS<86_ebvm2o}6u9E#H
ztvA@{hooyAtv5ee5@z|yY5(#oiajSRKdo43r)6}?L{g(Z>{`)L<<hY7U6rq$bN{KR
zcC)-$^<;+KyN)Xdqo<lm&uU+~ym@s%@czlWOgB&5G;96w$Gf+^4ZbL&vqmO|A%p+r
zg}_r^#05ES{kOf*wO6k9l<efVjp6)vwme-Tk$vyjd&k4u7mB2D_P*Ts(_QbCjopFg
zTECAxX{|fq{pY#1;f9vEahK)>x-3s&IWTiu*xKdW`_8bLiYk73VRYG?`(K`z#)f?x
z4|y+)HD(h_j5D5)&tsx{`gL8dvaW^7y?=RDZX8=zq|G);{HQPU@<PLxw(eIaa{m1<
zpTG6Mhqzyp_RM@1I598sngsKHzlJ*TyZ8HM3m@9y9rBS|b9(=q^-JfhQFYhw+c`&0
z?A4L;mU4&wo|^ViY{tR*OA;k-`JcT#bLMKT*A~l@au+>5+{!tz$aM#c=euSJbur7-
zl|NicSo?&m`?zC26$S@vsb}cmKY2dqh705D_Z)ZfwoJ9L{MA%&UCRI8KF&1h4K~@k
zsuvl}&%2=ci2HVr=(YPBPb>dA_I=m7yav$)QNK3s^6u|>B2@VKWS$`Vv}ZZN9JdzB
zJbn0Mp48qAf1`X~$YtBrZ&h&77F}&KM>%M|(R!)E11?Lxs<j;a>AoaCR(x(zQ<j?e
z@x9Uu8w+0wot7zQd1dT*c!THUs;dX04=r6AJzYU{CHse^)3>(7t<MiJcGwy>Nk95T
zV${R(D4x0YhnSXSh_6jM_gn0~Qn{{L+oAjW-Wg1h$@pLR`#tl&bv9nwM!%*BzVV+G
z{<M_UZvIxmcZFUqDQ;dOzx?OymttDLe%5Hp`+NVT3QQ6fFD&AocH)Gm{hfZxms`p$
zIiK&CQNt-Fpp#=OYnE|uT21+vCuu^OS!MJ3t@$3FUu3g6VaZa%IZ64+2Ni>Mm@v=$
zv1xv{z_hhCB|)>-W|ymLy|=r?H;eW7qvh<=`Zh1`=PDPP_FzMFVAG@n?rrZGv?fNf
zK6=GobJ2@QllMdU83((7&;0*wcUsh`zHE8_c2eFksZQ5hU(^mKPYRlG;@WNBvSRLq
z-boUFulU#BPTn{7V$OM9DW%z_3)fetJo#(GSGBUdKQH^jk7yI^EbC=|ceTH3`p2sB
zAn5m-?!S8bW|!=ISR|(R_Voc}#_3a*yKj8en!NjEj?vPRb@zKtZrr5$dq#)rwa=`l
zy`DZR-Mi-Xr`$ZI{arDRUR<&AYaeY<W}S6VT|#l$)1w`Xfnh(H8$T!~zR9Y1!y+*~
zX%e?gd_%}@abpEl=L@VVnoTDT{JXzy-ig@tb0SMWZR;v;?R;%=qH2F^#=WEntIZNs
z#V#Rrx{Y4DC9Y1<Dt&YDcwF+N3oZ-PmK<hTaV402$7KoWwX5AT(jWRssqA6kxG+~H
zdeP*jDa#nLPe0B2q9kVh)KHpx^7+oh##n*wy8dSqSUv5QE81l|WN8-`-fnYGSt&Xs
z>gvmTwxzcYx=xKhxLMD@x52E^JoxpF+<nvR4)uA;dGE5hwY9`fF+|5HSiekB{Y%dE
zr2MA`@~dm&w;m~Z^(t!ppRS)4%OBpkmf8EE;#B5h$JckA!ezcil$=Tzx_@z-qe@Y_
z)&67||F0WoOIyWmVHf<F(V%SmB5Ka<L<I?(IVbb~C;k1l@k8Hl=G@?UZdVsP%m32M
zRDNgeK8tuYlM;W+y|aF6b)G5iefnUBO6^kP>a*t<_sU6MO#bRI{gC@hv7iYnm{jZ*
z9{w9w!mcVN;CJx=dkt5`-dR)r`|51vRO!uYDSA*FtimP4u+E-McAd)a`8=!kIc7Sx
z)^8EDSkbw(;y|1_)BO+AJ@(9f!E<!pI>tk%XLdbPvvhf0{UP3Qjo~!r3pMpIkpj)(
zyq!hgB3IvuwA%mwW7NZQNrfsKPD!XF+qgy@G|P;s*l=t2GbQDW?mbmsasn<@SMUA0
zx3*w+>Vk}{@`-<!R!D3AJzuYM;Q0Ow&7O@CMQ%}@=9_juJ@+K=ZR7%<MeeO0KHK&s
zooa}>{{6b*!T;7pal${R9;(-?yu2#wvXi9Kga_<zwu+tF9Q8**gU2c%Y}&=EzvMp%
zYCoQ~sk`oa%&msjQ-MczCLB%rzq{BWYVWjljyoeWX3k#2#jx*?#M8oP*4|f>o&LP}
zmm|7z&%PZ?Hr}rOUBxJWbFGu9j-h7hg3$Aaq{C*NxU+ZT)YEwhe)b-I!ONw71;2Dn
zEXujozPxSAe9pydSN1<P+Q+r}6#Ib*&XfQ8l;<>D7k;=hd4gp7^2pij3#>ac_h#RE
zasOvy`&=mnj;>`Ee#sl}nkl$n4Vxmg?B-Vm*-3xXYknrYG34sC+3?MmbCIx9!tNWh
z{X_2EJ)}9ud)xPOW{x8BF08ty<C<}~sj)xLDs6G5_@dyTh3A7*g_HOEvoY{z?iV{;
zF)i|#WW_P=8IoIK4svC?^JbrW8c^`EVD<SsxexU3U8s8UP(`-%qxexvyUE<C8Ts4q
zxVTTv&35{`Z1aMj`<7pP9n1ZSrKxVez?G1H%Gvsb9~=$VOb*kXP_kyrof_SY_$jF?
zPdIGJy!XTGgO5w)p5rh1tQ?Ls?7L^H|F!L3#L1BB$q@-YPx$h>j|B9JvM*Tm`R%2K
z7w>Ym9N1*vBdNLciDE1(d%xU$JFf$t`?EGnb9|9p;?vMN@#nw$UtQfNZSZX_&Nno8
zESP$$=7~W@ZTe}=qz86$R$W~sQGYNesk{1v#TrMU(=k&Y8SmQLqtH-w!Pa)BVP8Vf
z%DC4%&9Y8>65j8)?E2d^u8&ox@#{!h&x-uOVi{hu)=ji}*Cum&2icw9(n5+jwblii
z8R#v|nEk3P!i_ajf6wU?BL6s-3(X7v;Cy_uUyN^AUhbdZ_*YNnr*T(xPy4a8cjk^Q
zGFGcRtIW*zP2fuRl%CtHJIzz}(IL%=Dtmuwrrv!2dxg!#Z5N)_pJaBg&Cp!%Uef%{
z6Hmi!#d>S!2TCZYJbZRHCAPo7T(799Qo73IfQhsDK1I`({da6n%D=mN;LV5B_QyA;
zY76uG#weYN^?heKov*WJXPbP<tcAz*C(Y`R&9GwGS!iXj$LN`n^O0S_&&-`W%o!R!
z{92%A{#Waqa)8$<mYfZ0^9-LBOf9Lnbkp!f+pNR`n~k!g<Tv_jH)r*HE{^#e)W(^U
z^<3(-@P#jrIG&qNsX6_|bkmphW*=IYHhR9gow`zIBiEGvfA>w->Tv1aTBMzrToC_g
zOQVggWwq3$yB8KY@JAlJ`_W40twxGMnN|96u{lb@c9++?Trt+S=9gHbUf5WBhSMQ4
zdXDHPzb(9J^N-qWljVCi@&AQs`(48hU-PqGUs)^dXKj1TR@CVADwbudgr(o9o8Gj#
zWOA!D`dU24j+p@!F<Cn*RokA_Uf7>>H6s2N^SV~ggo_p%+r9=~&0}JZT3e;&dp5I;
zdHr^|g3oP=Me8myE#%3)_I@F!qR;yGd)Mv`j(?c{QGD0et)F{V^b7J!X30%-$y~Z>
z0pG=_Et-#AIQJjhyi`<XRp91;wqgaH?wP#&4D*5}vfd9(4)EE!Q2pB>&f^ius&n7X
z3)U68f2wvW=NV1$kJIb-J!vploqk&8w!@n*r|cGeJs-Mu^VA;y7lj5&NmgPueT$?F
z{~IN6^2t8gs?Fm6thZ4xD9={9;nZKFEeH7&SALzOm>hiLd7t-j_V49;&pAApsA-@5
zzHH{a&mQx-IGiq>EcB?J@#j|1cBP5BZr)oXx;=I%e*SyiY?0=+vZG6k()s3`_~EN7
zJYCK1mDDoF)8+enU%rgxxKv^o$@Ttb2FJz!|B}2{tl^*hK<DAB^}e@dZf7$bT^%a;
zUSdm>O3}?1tX8K#w*BT``dfbQ!L@%=CG{AXZI;_GEt78gTGqvrS6Q?5a`Ru=-}^sv
zEJ{gi`CR=*U}=(TNqOG1?BG35gSEb@O*vj~tFg@Pbx@>U$j%bG{r~&#OEv8>IW2O)
z(*9h4<}cZ=+rtbCMHW~*eVsDFtm?bacIP(rnc9ixpH7^q|A8}P;%|LB%U9=|o&^bi
zG4wjve8id4PiRR`l>W~%flKxUt=_!BuYy;;aijF%lI3fBEPHC_{NS>_=RMiTJ4Y)#
zLTPR7)}Y&)7G02yW~nK-mDqhrp{Q^EVRvT9pAU2!c%Aj*Zf~tz`Maa#{e}(ESynuI
zYWJV3>(aLubXst0hk(e9!~Vvs_vN=6ofUa>-S+Z2MK<}MbH|@YeTvg`t(g`3I)clv
z$ljbKA?LQtA(i7Ae-!GqLJSo3E}T5*ranEUQ2c_3d2!R7w$4j(f4TJ@c(H8{{d6m9
zYE10bhDmJ2TxQy_$yaAjV*By9&E?nIK%OpDRf99{-yb=({EB~y<{!(z*ih30ho|l?
zQq;?p(ODrJ&G<k%_4?lKiFrC_WjohB*?&^OXj-o13sJGtRa;ax&p(yQ{@LizU9L(`
zi8p`tpPT5ZSGjEW64?;z?bBa4|LXc7XK;GjB7bYS%F}Zi;uU|X_q^KB@H`-M!Zfps
zHq2*F?6BSKwoJu8{Zwh$<yS_l-k8SETsD2p>)7kd^=w0)zG`{xJbV3=?tS0&cGjKN
z-`H^~Htkr+l<ZRD$DXA>XY+qq{Z?V(Su+mjxYNI*6wTGMUi)7PdnKx=Tz$Ojvrs|R
z#A7u@IRUd%%6M7ZU(DX|=>6#?6CTm{$&u&6bA5%m54=0K!|Pqc`8&ZCRZCQV_RcSL
zTU_hIzyDLxxwJQ*44GN;YxmDORMXcWp1014e`X|O<A$g;2IuY1z2g!&mhZoPzgNS{
z%33+rREg^98(d-~%(2TEw|~4h`?G`O^Ox+ROgGgxG~8tUTz2%Ll=9tILbWD`kNQtf
z`1oxNt6cFhm!C%AyjRZ$2kS9dS6a(#Jo4q!al7Z2w#{8%^kS9!6Gf-sX*ER?VmqX#
z=)An}@UqRxs0twq{jlXLzdJ-~U6<v#{er!=NjK<}{E`1JKc^HHT<Ivas`A^lUasI&
zo5-~^dx_~93opD05Ps(U+B-I(Tri#IpYO3Kfx||@f3!CrEKF|{o073g%TRiin#D=|
zhvhRGn-VuvxVijaHRmp4S99yrkjPa|GA9b<KOZ`2u=clO9$SU8$2rF9ne&5<T+SD}
zrqmi<y{jhhd%4p;hSzJqFf0s@e)=Nyq;#E&V4u$3wkv+`r#{~y#qTlequ+1N#N+eh
z-CtC?Zn@dNw$(|s@^DRQ5%>4U`j&c2FZKL6v23Z?0jqw)-NBh3mOh-THgQp+QeU0f
zuFhEIKQHz=YE@;QFw%65OO7;q^gDdk&(}f+%y_!4PP1<fQwdPnVE-yU(aL?n`!z08
z)~vML+)-dF`tLoL!j|QqEsktd|GO&p(XZKcm-ZYh33hyVA<Hi2o!0l+FJCkT73ZlK
z{oBrZmEY=n>Pq7cPLE%$Xy3R!c?R3kEo~ZBP7f-UYP-eoZ|jWV|5F>e|CEUwOHg&n
z#n&4++c-CfUG1MG?fY8(vxI+A{akZ~Mb}OI*Dsy!a>kSC=<I^1`9A}8xSrGg*{D!`
zX|2)}{`wbxe*c{{cSVwK(VnjDEB#6t_KH`@Eo;pYGrTd4pY4js(TO=7LM!%eVS3XZ
zdR8%B%V*~Vz3<<3l`?0)G}bj1vMX<#J>M(K;e4pHoY?N*Oo`W5EKW{MduDX0=tAha
ze)03U^Msb|XNmkE9=yP9U+StG6J7~)#s>w8yxO3l!1eql&)=6S8NsZDNqhRv-96Cz
zLuC4m%hk_M=knZW`|+<;&2-V@Lu_K_8?E{tzsmHh+Tt_Ia@qM4l~SdLZ2g<`cpql|
z4AswO$d_rG^3$*Lqv@7UdtNfV*JJv}_TbUw^PaOl{gM_)WV|mTu*%5k_@NzJL>e#W
z>)jF1UlNiyKYV}Q<Y!VEs{;NPeDph(b5YdewXj+E(dvbZy?z=>T|3pf(Aw|Qi?~F#
zC;gZ0SF;sAvB;3Cs|%9hx-4_-SH}*!ZF6_uJH)U$Yl_B^z)4%@6bD^vtvEWdBu3@b
zT<eBO?&@>8XWrfS=60jQ<{h)8FJHJiRdD)^<=mCwOu~AvZ*Z(o*F3~;9LOsB{Iy=q
zk>$d(&FT-m{OGi8o><Y#RX3{AbvWG&7i=i=bhEuJ!em(Uv}t#>YYxMz54RPb9*IuK
zSj1o}JL}|%HS^Aj&9i$k;WhKE3l~?WGRZDK)xUSI5rezjMA_B0dmZPnOkc?AzRGRu
zWB*&)$Af0w6+M6MWbA9*h9f@u6-wV8CyV}^fBche<(VC8?>XH*XI1X?Iyn31-F0r^
zQ~f%&#2t|e*4QiHw2R9&p2f%R$m%UV>1^e{q}VQBp8Mlx*^V=6MsE(SsH=TwGb>p(
zC#mI0L3(;kbjR$SJA2+p&ZxDVJ3W0W=eeZYxhEBtaIWdqoG$Wp1=G6YZck4$rwB1z
z)#H2ddT#99!1vc4Pf6{Q48As7YH5kYvn3I0L$CHPOW4ozTEX=D+ujdckAkJ#zQ5+{
zU6hu)(oV{J)d`*~iG(}*<=Yp(zET*nvVY0E!qfFU=053@jh417u40-f+oZ%*6cIN$
ze}kDqM*fbP$-7p~QV?Fty&-1tg$cs_hV8sF*S0h#S$21=owY-R|K0WQ^f}jV*<IZA
zH{{NAm5Qd4oU-~kHTLeT9Next&%#cq)^IHl*I<3Jv6ZR&@{0}gG-AR(UCP+GgX7}o
z-l#2>_lsFh?&+GybZ&Ras>l3Km{T^{IpwS>(t55K!7TlIVJUywoTjfIcS>?(Y`7|V
zp0_MXDIoOqVb^?1p6u`KtJz<CjjdT2eE0wxYw!`v_Z81%61f%5%P0T+c#_Y1^E0dU
zzi$3n%)-K=)tQhfqi>fMtksq1kvn6n;m!R$laKODdsS|%SpJLQKudaC#@kgN8Zx`W
zbw1wk_%QA9p(7Hjx_-Vp_JPm)d)&$18>u_H*KIAEZn*N!(%@Ayc0HQm==P&tvvPT(
z=mGVlHM9DA&#H%aan6a+jq{qrkiYPyt>n8WnhueXs~&B)5w`1icGT;5rd0Wg!yh!u
z&MaH`>uI=q>g7OL{cDw%95QwCBWF!JQf<1U-Z}cP%VfhPIg8VF8}8-!#co%@$fJE&
zJW%mL`5DE9ts=iW)|ot&KQ^`Dmem#AegBWy9{9`mZ2nCj!56<dv!$4Aew!^>T>gF8
zOF=)S<mLC=s|~tT=6+jxd{L5Mhc`#TdF7|i=bRS#dh*0<KJN*7>AB|rb_HlI{QpRS
z`Bk&_6k{2N&d0WP?{9WjD%UvJeXK3}>+$W$xzpc0njY&guH)cK*gx}t!Hk{Rx90w3
zh|~OiX^N`lg%$e?#NV}b1@*<KC3qz!-QnE5>(Qk3Q%qEUGHemuWLr5)``|<!(^wOC
z!!#p>?VpZ@F)w)#(iPeKS$e&KNawrB6%uE9w-~7~Zx5}w`|Rj}b6=fxW_sj2j6dsq
zG05XnoY?NoGn=F5pIdTopLmpy-VMwA9)77dVgAkc?yCE{-Z@i$?XZ-?X~}j~nFFtG
zn!e5bHLb2ac=nNdbK07?&vxW)+1F7LT{dBgxc6~0E1xx6wrrYly5q)dPQw#<MP`3y
zt!_0b)d&g6IwADcjQ`p5@>!}u=7&G7DW7-Au*Txig$%A+J72M#`zdX>>gQ&!44r)$
zZu2MEy}IP#pJ4pA$>+nzg`dye4~@*>y)!}U>-FBd8v0lNdF4A5-*`9W&J^>%Q?5o?
zEGfM<|Ig&sMUrp5lKJjB$;MridSzhmq3gQp@hhJEy5AehLiF3jC3kcj5BP9IFMXb)
zW60aK@;4V&o}Q%P`KamM3)b?64N<vut#f&&tqr>JhI5<c(Yq#JzEr%F6z~a=+vebK
z{?mtow>=-i%WTA+I~Ce~V3!u&oIdgHwnLuTi|SrgD2jbx&senPN=3WEmregAmma&D
zckWn&=Y;9yoVFfqH`gvacHH}<(00y8(RVkPXs*5FBoSF9l6JZ1g|6b-cS~LK4Etnk
z6f&E(bGldF?U?-Gek5zeQ>%E@v{gT!s~wHYivO%SdD(oH6-JIbe_!?3KEZfbD~rQz
zj?}Ohp7-rb#gB9q9<$$*>)g~6a<j2hcyE_tTGEr6BiFr-rajm+@x1z`qd}i`Y&h%B
z;8*$2;&ZyvhJ{WKvM<#$SseD>9%rU-<I(%bmuG+a$b_DJDVg}Z&Nj2|bI9?-cYTjd
zjCEk2DIw7$yno9MyA5+23j^k|@QVI?Qt>Xpw#`OIZ0@U18`d_Q`f6Rj>YA=d+}^s^
zXEr?lprYLL{=vnwXI!p)Hooi=+Iu9`Pjl_6yYoXl`B-&ce3hSn!8}NE*NX>v&n4Pf
zUmV|j;Kv=I7Iv26J5Bs`&b<jMtsi|U{UW4Ob*4NaWQOnAEKifh0_FR@byl0ZkG#8d
zKVj4Dy{kfXYNCWs%Ff+u)Xd@A8YIuDFhOdC^^G0#Lrd<8bWSX9t-fmcfcaD2L}{I%
zCx+d1XOh%s@{}{b>Dwyize}|^%J0BK&J(Q?)$`0)LXWV{+pEj4$p3VQ_1Bgo!D0#K
z?oM4L3tn6=Vm45Wb<bgXugcLOnsJa}gGln>1NHuY{}qW<)!b{3Y2&?gE!O1E85Q+=
z{CDGn4{qj2%M3Q&cqQy`|JxY$=mx8p$gh#B3*u(lseZ3_^mU0Bt~Q8>`(@f3_v`Dn
z&ObBW=Io!-6STZe@kj3oTQ8v%r+(^O*=Ww}qJE+1|AcNC35y*ze?-!Cwt0mfn<2@f
z`6Xyi)q=JMoy8x*<d_rZudBKo6%}*ldw)o4RHLqIc*fT$?)57VzxQaq>@ObwN8!bB
zfw?s<ZW}FiyMkV59Bz7O*&D4VGN+Tdr?ufI|6~u*b#K+{-?`p3RWq;Mktd}zYsZ!*
zjUzVQ3!AP~{SufvAxTa^*mdQB`A;Qx@A<ZS`}PBK_NY$Q-YuE)SlxWB;G?8T&uY{z
z9N;xLeDd)#ZpW$>9O2PNxz%2?_a!fSIHAvEWyg}rlQ*Lq-RGUmU;F*Jn>_nJwhn<Q
zo1X77k5AVtI{jHz+et6+XS&1n^O???Pb0ePBovv}_1^rX=(PLBnKQxvvP&aFr4}AK
zTv|Kt<B#?wwdXc^s6WpMjZ^!!`@3Ha-{&*C7vB1zUX{gq=bDn!XIE~<{=ZB~mE3__
zmlb_i;B(LqWjd^^btmk@qzipFk2%fBJ9tdz;47P`$SIeErmdJ?cJ+*Q4a4I(kB-ee
z(ZBw`!6K88)j9LxV-D5Ed^X$HqxFAB!<Scke-w$UIh@{BUt3a9*Y>-g>x2J<b_VuP
zkH`DA|Nq?B&olet$<nV4UpRjIKKWV4U-G>(rRmY1ys{*tP=T9Lj}li|`8Uu0o9y~x
zS-#1i71tvrPu<z0ecI;b|NHV*2TMP^=KmdiTXeo%`KphL(`+WMD{FMmmytO7Sz*)t
za}s}3j@Z?|P<$J9VuzaL?V^lD<$F}VaV4@fhg_+!X|2-IPky8&_MtEQ=O<I;lC8X5
zD!Pi@2QRp`i(S3*?SsM+6-}Y19~NEi<)13R-)EqcAh^D8!Hn~syB0aFdlfVFyt!|H
z-`Yh-<wK9~${bpecWcti=NYSGBM)6IRGvN0<=(2OcDBFK3+-&)b_M=w$zYNwV@pX-
z`yhR$A#m>7_!@4VtXIEo%)iKXVCBCXT6fMkH{2>Ho1?h2Q}##S%!3JgO%`k``g`)a
z)Bn8qBb$$M9NqC+bx;3ump`p6wOi*r46`rG(*9fTD#*RGciHr-3{0h*^@^Te^<pdU
z&yW$@r9RVl+VAsE{$5yj_jAbd#X<#}cYdo8my!6@l(l?Ccb~3s_TrQXwadjDeYU@o
zZD*U+oE-A9J3mgi>CaK-cZV1239ngYq+NDwMz=wF;jg=WX^*F$EH0C)`I~i6pyltk
z`8}`74y{rvS}ij_@7qr0KF<jPeXVNU#co$BIm)w{Z-<u@l*xa8^4dlxc**peaY^f5
zOgQFOv0T`(szqe|l+!U@>@Ig6ZZu0i-LPJ2_mrPyd*Wv0>xOP+y*Y3CKDYg4$9O$?
zPfgRBZZZF8V$Op3H~(3$3$!Yl+Ol%TCiZ8OeyzM2)7xlype)7eURQ%ljnKRQYpeM$
zzw<N8{ofWf=~|!b=PhCX8^6T1l&xA-(!T7s{Qbo(eao9!ayP!`Tc5K~eQwh9O@U62
z6T7|y%)Pimy0_Eb|J>|~Za0NgUiS}q>cYnyPZc*Eidu1|#`)CK{3ENU<tkgZlq>DG
zDbhN7deY)Yth<7aZQOs{D0BDIvhrgqq9n!ZtYi6nB4ZDSoGR)4w6iO**heL?Mfz9p
z?5Sr}{(Ejae5m1Uvhj*jp3^lNk6D%&oPFDKWZQ&)J!f}t7;g-CvyO4k-Ar${#leSz
zcNICcl}A4d-89W(K7YiL=CFcPO;uBK!Ss8Pj~O_59NY>Q+})isH8qC$$hEAsDs_PZ
zCv2uH@Yq>1U$=XHjhU|IU!jOq8<Pt6Hr3@Se!k<JJvT`(>s;uPNp0bt%Y>98v^Ltk
zjb3wS#XqmoyGeOlrux2m{ibR6{+_5`W(>RzpY~t2jtgC4X&q-KURQn~V*0`SD7kr;
z;`SV_I^TKq;Dh3bg-qwyEocm5(0R`I-!86wf8tl6%(%;r%gbi$z3BNOdv)9L@O__R
z&)@rbQjXj1^Q6;X7c6~J#Aox&^~KJK+oFyY$~tSUaB-Ed-L^jOazpQd7OnDmuNeF@
z_<ceaCj52{U#|LX?W_9=yR<8ABnZC`_AplDvYM*5I6ml!^X$z>#dj8QS}pROnYF*S
zQb%@)=^6k3rCQH|-_$i5i)JnOyT9q(!pfujV^6K{+3Pg_x>D#|-32z`8<aG1Zls=O
zoqKtS_^$)eGtJ-MeLu(d;8BHpVn>U<-#P2|NZ04l@t4tWB6k_?U9*1SD{1Bx#&vwp
z$~@Fcp2^M3Y>qwCr{%N%wD>UwW_G2w@A3tIzuEU9P{rFN!&Cd$_tGUCjQ0(-uiSP`
zC}<VBUain5_~~eI@=fbW?rHmzHrLEDofx@HDa+k#LG3TWv#aO3FN<9-^m?LdH6O41
z&(7<|e)07E*Iafu@nZVx8tWB1magaG+ru_RF!U`)apI+Yhp*moU;LgUZjbU?m8TPr
zPE-86U);S?O~3a<+^pUcdQ~#K+uzs=M>m}DylFm5Bz|f<e>_|MU0Lht=jCRI_WeE^
z9J}bwoXuU=S5D-J3(RfOpV8oJH>vhw>%+TB^I0my1%l0$z0%hkyjvs`z!z)iC#H1M
z&uHn~wMTAr1kHG6W4iB4<=Q1X4a(-639^2u7@P6p+~XO4L(i}&++$NKF?ZQmm(HZo
z^<ur?6gLJ}R{xtHmo^_$-8`S!_*JizYR!=rmz--cdv5=VPkP&1yJ+@b11`DBN~U|7
zYW|U1Y~IPR+!dG4d6ik0Q@rHg>YPu-@~e(>7Fu*ZYrp=6^XkMSfpaw+%row&|J$uw
z_L!}(^4vn(J%6QUTV|V@-JQN`PidMUQ(Hlt_|~WEemrSv*ngXS;=;ToGduX#os+r!
zD`xS2wZ8N-6K}uG`KaD%dUE#!RWA+o65*LQ3UAf+J<2T*DPHOLLVk_Rm19TboOhb#
z{!BUJrXlIy{PzrRL>P1J>hl+ydGuAe^|I<_c|F$nxSpS1fcg9Kzqhp0xqpA-b^f_w
zrQZq8Ems_F-K?4VU-oSG$K58cZH~I-KUBH3;-6{#w5+QYZG468vs>6BHkF<`KRy2P
z&4-Rp-z-Sq<-T0_`>X{YjIB0&p0dv|?nHIqN~fF~w|4Wco7Q+|^Y1)X<)oLBjys=b
zYwg(c|6}L>9cNzFbaC;<{*Je_ez7TUU*nlo8M9ohU*t?%667yq&B7J>;~HOj#=J)#
zzP*~@cg<^u^v~{@DO>;PwiojKKXO0(+4sHGS}Ql-|9kGp&n;_~#W>1vH`;gcKK#&|
z85E{3`Qt?Qiy!_c<-T9w2?<&J`DWh-w&P}xUR~arpj<FtW81Me3uNS7>(^&)6EN89
z{+=t6$<NBk?N5i*H|_@~?xk+!JAAOT(Aqryy~9I8--H)xw~8j_&pv%4`TqR6+gllo
zuBRPhKD<%ulVa1qzo)Nnbet?UZ^iN0S>N<a^(I$sa&5S|QvJXC`BWV?t4Wq+4-LQd
z+}T)d|0T%!ck$ozlb)>0z7@8x(3|P-;kuhWMl;S#GvhX$u)kcWch$0q`n8`b&foai
zTJeiPfa!F)AFtJ)-OGAx&%RBYQ>U!-&)!C|xABU9+yUpcSC?uts(mXA_%gxT=;S(%
z+Xd!}f9!}_E-B4Yv`u^CV!!o%4-QP7Vy!o$@QsIl%Z$s#RU3~?n8r7?QMo|h#A)O1
zPR6-PY>P!b1w$st?qf}5H`vg`^3CROlY+?4wv6NcK{M)deG{F%GndC!m8SQG{OB)k
zx$`lvd^vM;lt-dto!>U8N7gsz&J&*FAlO)UKE=K0&+fY$TK4dNOSLuH!g|W^OM&E_
z)2@pavahq9A$Ci$ex~)#ZKg>V6J0rvo!GNL)aUQLm1f1O6?FtxJG^pC+s!|BN3fA-
z@|@P_O;;v%7-t+!%xO%0UX}ISXMccm)-t<$vsJiylAh)ph3|R&E>`mMEE|g}Qy9DX
zR|ME9UNF1XvY>d&eY*qde%Cm@Y6~-c_vjP~ba}Pj(vi2&;^r<sQ~lV9=RbVm^s=+~
z{O&>0wAy1CMP&<QrS_feo$1r9`*^vH;lcTx$0Wsf@1ApA@U{Ijwy*Eqk~ZHun||9U
z<bxdVYH77R;Ya(s%FZ4=eA&TQ;Y#yGn;hW+aUK)%sQt&X#Lw&AKl^yiKjrm;?Eblb
zYGS7<g(~u2xg$N*GQzp;fq9+YsV_3jyW^JE_HN{H*E_R4@T7dy_xLyLLcx>WZ_bS7
z7P<Vz(dYNA6&8o@c|0=M?UTFgdzbvum;Y5JDTuPJI{p3JuBnzD;(Iw|e)Cnod}#G~
zlg;9j1y?qT3#flMT*xzLqqAq8d)Zsw=a2UVezHtF5WTbM*W`Iiax`aeTK${hoUBBv
zx6ZPKzTY)kiewIW`E>s)tvj=5w$IUs#f2C0<foSzL@G&iEHPzqDW15xLHwrE87YUg
zNy3FIx~`aA?YZ0e@ooH^S%tg24y{`)edNq$uDbbA9YHI%?v$}QaM7dq{1?Y^woiT6
z6|D~m$O#;K_d3_S=50Q!M7i^J&a+YszmMJhbAxH?sZgyCOXchZf1Tr4eD`0%Pm>A(
zp*oWr-%R8`N<R$uJygWB?drzt8N4;$wfMqbO_*@!+oA(AYHrj_EL`}w!gvWs6Z1;e
zPv_pU*w?1UYq@=4xWMb|km>U0X#3?kKQF1Po#C6~DX40EatrUA&GoO={7b&UU306!
z`g{H)@#TwuO>k$sSXwo+GT~gm_`ydkChw=*`mK85_2E-z{`Ch;s(I<bA7{Azg_uRV
zpZfj@-<MS7oDez0aXFUH*GGrhPRn_k+rB&3-dzcsUif^`ZcFWx_jQgKaFvVMiSREw
zYU%q^{g}Ya1$X=}>^~<X(#;cSKSwaiAlA!4-m&O#y{g3Zla1kaZ+0J_U-007&-Bgt
z<pL8lTy*S`F9z8udj32&*GYQ&M;W$;FN(bUowmhUIj7Gjmxj&v-}P`goA$F!ML!c>
zSzD}|6K?q1$mhM?<7t<AJ0BH=UYMo&zo=B<kMjOESEdy#a$;ID=gzVg^VHg%S_!7-
z3-wy<+|$jb<sJ8v{uJ-GFx;oNbJ?Ldowl<&Z7v(Hnaz^oGATS+>*so?eDc3V;SBrs
zF6jFjTI=!T;s1wiMJhq_Lnh1QJ!JVP(74xTS*HIYi^7P7&t87|6zD49?c=;Jo2TW5
zcISU-za@J!Un#zEQFbh2RC{q?ONLvNQY7nT`90@OznG+N=f%JJW?-SmQQ3P5|7@2$
zTz%>Bw)3;1HoB`l_^_#@<y$K63$Kg9ee+~Ja<~`pO*d8C<9Bg|N#Uxw?}Zf~SG4{$
z<DH|rljTrBy0AA#+Bwb~8!;Y9;fZr@@2ERo-sRyc`k(8i;frbKa#GgC&HB6T_#=aT
zjRw0G?=U$VCSR&AEA_fgca^KkCG*6MWw$l2^u@XDzwGZ~EcDLdHjmVcjrxxhF5O<P
zDt1U!*<jtGn|s20qi3zX&uD1#OMauHYuWrsdK>oKy0O)6zTNV~z?3(KW^-;&`|F;&
zVqS3Df^}y!B~GssteqWiI&bCXU6+1NW%(od=u^~RsXo=+^S$)r42wIzuTgu?KJDn_
z32RMvPI$eivg6&vE14mtPkyoPJM!g0x<TxwgXz<y{QkD=GT*X^_kPo3=6ThZ>pZr!
z>n`|_a^t(AW|e==7pVj4C)*2T=HKVlQ@y<+p68X8gsOF^>G7hBCm;6x{WQVmgjIKV
z!TMQ}U+-D|-_@J^d)YQ7?Oy^R%LHz7UeHqZ+s&%>Fx0Z@X>!Q5cNaeY`aI!XTKyJ<
z=G{tjx*etD=k$j^ef<2?yBT#?5^o$(fBVmJxmwYy&pef)8P6-;F1q?q^6$r4OW7@w
zTAS?>C6*k>m^|gxwCRBn66TL*a2dLr>3{A&Zf2hKV@c-oYg3C7N=|c0N_0AX-YOB&
z6x*o&YES-biN6v{v;H;sPhELPyv(2~-u5uR$#3S_9y|`Mf?I#^@dPdE&JJF>Z`K_V
z&D0P3IL<B#*4-sr#2xo)=US$g)+?+J>hl*~xazF9m3PU}HI}{##_8F%#n)IQLU;A3
z>9QM~d^|^^x^L~81i$acjRcJA8BEUfEB!rwUjI^fmtW@QCB<&K^PeU?ea98HF(LSH
z^CvFL!iTFKC2cTI`E%`!YVC3TlfBDUUu903wCd;gZPjlVva9|`e)US||Jpm9bL|_f
z@_W9OX!hm%8%~L@@waB2{CdIj_s(y{^`6*jU3$MPBCb;|vvsfF(Pdi}gg-1)4?6Vg
zCnNtyvrg97#r-1FPM0^9o>D&Gn6z1@VBQfwjee%Q6p>GB7gtp@L{@F($*;Y+blTQ9
z%{x&EVood!w)?HtJF&fDJneJLp-$<};hmv#I7)rwXZtMuy8BU_`H%9s%zx5n%C9W^
zDsgcyclbu5&+*^BCVEMpet+_F_)}evUynaun61qg&zfMaS#mz^%Ke)X5mRq<E%Hoz
zH<|0w&o8|?jYn3^i&?tn)86Vh<zD7}qKd9@wtm7@2d8p(8C}xi*xvr-ZdzYvi$S4_
zk_pq|%PKExShJa;cNuLv=V-X{UvydU&ui@h)0c(ZIOq9>agE(SweUFee9c``)sAOb
zB^|!9`pm*ry*t0$@w+Xz>Cl}|cSW8^ANqM@=F@=m6z1ie&pHluR!nL=bfU}m@e3oB
zq_-0<`FfsY%}Q^}WRjIQAY|44{>GH-xj8E7+ji#^91`=|*{72JLRNd3Ra<r~NAc&*
zBM~l)ml~9L`tM3spR;C`b=aPj?GXK_*odVzDfDZ~i(?+vUnkw%F5|f?aaqL@iLFNs
zIl0$O)>L@1xL`qMWsKJT<@<Msw%+eo7x3_W?P^~1;m73E=eA$G!yhWIkEok;ZTSHP
z3$eN4J;BePe!Z(I9J=QJ&ULX;+AGfo+(@t~*DmJh6q;5aRLRpIUc@UkzmEBRdLOfB
znw6)`5s8aEbGjBUu-Uce?&6g8?T(#`V=W{8T>I5H^>VNBqj@upr*a;@d~D(}n;F0E
zhCJt2{p$E~+4FCio?kt9PMo{5Av90rvBHe-6^!mYQ+z!=r%v_{jGP&L=g75?|6S~p
z5)^l+$eD3eUbrc~dG9Mv3x~VWs>{~pDkvSD_J#e(g4~XerAyx$Kl-`vz{;}+0)%~;
z<n{NzNJzcd+%Ti&sqYM#Q_NgXj4z3BN6hQxd(*n~`yPoaa^HRDuoO&lNPeX+-E;6x
z*BOpW2c6}8Uf=ZeZ`$;l#j@z^@*T^qJOag^uKXG~&)ezBy{=0eY~9z)vvzzUb!%6f
z&R5e-1+m;rUWN|mYaX!0E?br;y)3IdHuP(NsrtL)EAGDv_OjB-T-q~datp^opJH|U
zO3T0BAN!ezx1Qe0IH%}Ahi#wW!qZ;cxF<f)&T#a6z%IKcddZwmJlj?W`&neT#or5j
za&Lb49&sJ^83nq(CYFXitx&AvzPDFKB>(QEa2G{~(*dkUwRgu?S(@yc>1#FN&Y@{b
zba(xiIqjP=|KP0!zpno{dyK<lOVEo?v9dD{DOt?YF4UI({446%JiRNe*Az<rbT?Se
zNnP`;X?|AumdQt!9XdCKovp(1z{X&s(v$Mp?^H#j&+KEVYCSo90;?>C?6l8k_h{U4
zm6)F%(X}~7Rds{wjaT-;?8+Qw$!!-mUfA9_jsM!;0H2rF8MZ%{R$9flD==yDVz$GJ
zEn1o!*8gmFuAH>`hmuuAVAGUG+Z`g7^XwN{D-!J*^7rDhgGVMGb^ECHBJ>EWw|)zE
z#J2;#?!VCgd;OY;r^=@Wfu7H16)`<rjNi{FN1CNL9TmOJV!QpDSKoBw3Bq#A44KS7
z?lVecWR}}6Q^n8|bGZDUovoyAysGqz<Ike<a!L$Vh<};5DfIL5?Jga!g5sUp3`2D1
zY+bxcK+WODGlusUG(HOJ*~sy4>z>Peg~Ms_Q;x@64Y$Qx_Ndp-eP7J%w>z}K^l+uL
z-f0&0zJ-@wifwCW|8+Rl*Tyy3?M`rQ_e4|UJ%+hY&dqLquiXEnBiiA2)buGg*bBwg
z#p1mmzRY7;A;;XY?$V;_Q(H`HwuHA=XNftq#@ywaA}DoUEB|ZPigjIy`_^$EX}T@)
z-LuK?ON;fJ{U%HDQ^PXP$nA<Li1_)T``e`$mfEVNMvm1p?af3TjE>coe>rnaD5g&?
z&fabN$3nxSCvIK*_EW4#=S{dTU-;B3k{{i6@4o!YT~}vs))fKSg#p|4E)Tl>^FsWo
zoO=(Nc683_n9TR()GW`yDnXkc=_PX30?Z%$<rBG6T_>$^n5Gk@wWvk+%k%!rYrbvd
zh`Fi0py|A^NqNTtmzY)ml{Qu`Y>qe6O?k4&?ZyA88ZUf4%r{_W=act)QFmTUgw^Zk
z_sGc#%t`LI7oOO?J2Pzk9KQ`u9B#g-v}LFb<oo)yee%{H`*u(1kLG^qcHqmmWc9->
zr{pTsi}p@yt~wI?@lEIYd#9Tm{1?UQ#Bzw)ZWB?N;?(ppe6@(iq`P+?8*#b?Ykkn4
zU%lC2`9}Y2$qDnCnsaNHWoa@VV4VD0Zb|>m_6vr#63bNnWxsxQNU&B~P3P58pN%!!
z<&}TG^jVU%Q}$P9%WRLMEj0p9uQu(MY<>3ZNpVNrqPiI0P+>uS;}VsBmMK5&)E+1J
zyx+Fdb@~ID%8EJrOA3RFo-){c*spczV&UpnD_ULNvOc@1G^Ka>!&bSLV*9Hl%A1WD
zmb#XC-D#QFVf>FhreT62!#-{c<s}F2MO*U71VsxTeL7oUK8wVx$n)XMDt|w`4cC8p
zXM(YBw7so?VALI6F~$XU{bn!UN2Y|_w+fh9x$%PagJ0>FP8|tdH#vO!?~7FzwHAag
z;9HbAW#T@kFK=%Nuc<S9EfW<vZ}uHdmg)AVf)4#n&W>DFv&Yx{RqFE<6%RKg=uK`8
z+xT#0j^2djo!4}irY)PX>xFRCiCEF?HV4nO8y?>A<oq@fxeGrm#V;l=Iy*x}^|OUq
zYx%kKjpcv5y(eBNTj?D9ez)4QhI+??=eDd^Cl(y`*o8OzP0Nn(lKao5SX3{$Cnce9
zw)UrwWcMy9qnEFa#(8E520q?+boSb>ws&W9Zmd)&h<J6@ao5GuKP=z+?0&v9v`v7G
zJ==MC?aiXejRCjbP3>|NI^KOYi?67M$MihAjI!ZNsmL$pMpMoI#D5d7pRHD>{xbEY
z%fZNZH`6N=7Teqp;M#At=CsDDfU4<or?)S>?Xk;La#F0e^5F~rH>G!;>AgO`UG{R}
zgNv*O_!>C`!|bk1kzFdhacy7Vdbx`N=jLYEYb=g@`+o6SMdgFb7fp5lI-|d>W}kyj
zLawRK&kY-1FwAW~T<nzYZNxgm%ln?Yr%QGI<XZFJMQaj|{*Nr=Q*y1k*mmdq(Li|t
z!IL?!^zZNO6yL9XJ1uB(PPC%vy{=o4<{P&Zd6nBQ+V^nMnb#jOay1#&OfvNk`Z4*+
zLbDlvtKBv^Pt{y7cWTzvx^++5!#Dq^YrgiwcE;zPbuWApUSBxuFTLu3$L8Xx)~~$u
zl-9dAKFHD3a9Y<dE4R!m<7t4qe8r7W`)yxs{zbcO;l7uruvYl;M<?$kSME6GCv+*i
zpZa^d`W)#ajtdQhCSFOpGXI-H@KW7>mp_RH=7>#TFqEsg>F%WREcEG$w-a{u-_bCg
z&b%;bQN3ut&et=|f2X*!U3{`(LB7AJgyYo~izoGYMQL^I634cDt5>pE@i=Rt;kGtT
zXE`4h74G)8E1Wjod+L;HTEee)>E7YPGT-}(SK3Ts{Ogo?&`9;f-|nXiw?)mh3uX9z
zX}^?|&o}=hi={F?CUvh~Ilq0^bYb119{$?4`<otgu6*?Pu+R5P9Mi*2sP$eFyEJ*_
zpJwL$A#2ipWXIbt7de{nhkwC|_8IG#mmIptAn6(QZT`$xdd=)_r)b=npcT-t>2<4q
z0dvNZvr1N9;;nyw+k5NA9xI1qi+x)d-Y>kOv>~dZu-PJdSIe=fT|s9ioNb#rU+IX|
z^_kh<%O7kIsj&@NzrD!aVYA@R|BrLjR?JshbpBA}or>l9fePxWYt>i(ygTK4<<9ex
zy=_d}|1lW<zy0)tfcS+&7pK@Z_UHz@Td&!<=~$MxaOIpohWz<^rW~Fqem^^IPi$rD
zGJZiNrCyyw7fv<WO<R2aqV{d&g)DIk1r`djzv`dgqdNB^kCQsL{xVI+%RN_@q-=MM
zdw((Fqi{}XU*4nMx;rJt_fIb0QO(jKm@xU3*X&i_{;pmr6MMA8TKHz{8rj(wecJln
z_n0Z$GO}kaDp-8xilyzbf(aE(q6_TuJr(6#F4%A6&%R`S>%o(*DUFwm1dlxP-Qv0W
z*m8!DQ@(n^7v)rSN~C`~#NS>hv$KaQZf)s{Rqx!N_~f2@{EZ{vOYNJ6$A1<YPQDw-
z8MLOt(ddfA0q5sk&8tt_K3H^X&WTqUXR0nmyUT<`a_6R6t65wRef{jc@$_q@*Uzpm
zI&y@0qi=C~Ps3fun;g?kTGz%3$sV64{w;LjDTP^SQrh#w-yLz6?AMrGvgMPQou<e2
zn~(oocwStlCp3G<BqjY{8j~+@t|&EQn*CbFaw~(SVMJA6$_9NIJ>LCIJ+{{ZY8C{s
zJZafv68K&9k2hoNx9AL0U3IQgXReAC2=z?bdx9r7IY9Kk;T3jS(+h`=w%9yTnbJIM
z{liJINBQ3LN;a;#myobde8I(k+iMouHgs11T``wC=;7DKzlxi}7I0mw&$c=KvA|+O
z|3ij0hc>-fu9?aQQbH1=#VaOR6m!I%EU}s{e3#+d>ZM;6T@HAAQZ=7>V#ovG&-(=8
zK0RtUm@BrzbNVj7gU@bs$IC`eiw&B6L^f=4&I0r8&yHlMZ?lXwJ>0ZQMX~Ul{6$-a
zHjncKQ+LfPzxhBt^uOifTahnhZIZ>~iYn#TyPTMJrL%_hQ{CI7_s@9P4lkEvIl!}M
z-h>zC3{Cf&cK^uFnl^{MNg}ZM{i9m~&sl3XUt>P=W^$#J$y2jl8HuB}#d*2f{okL?
zmi{2&8?+&>ud&v{cUrOw<J4wBAEQpyf9Ks&?TgO~wq4zK#`)Uy(mYQMzhl3zu4MYW
zPasr3!)Q&1czXD`B0ep>rqy>pESt9Pc%Q+s-5sy@)r75oo@g?qed>WTv;I$yZCZKv
z(NWRTMwg13sbVrr+)GoVC!M~sSVkmh^8Vvd3+`7bwr6GdwQYY~aMCVkl~&ll>+{6?
zY*SAJ74@E*?zh=>;>)C->;2D;acqt~>2*ft)Rl)U%U6reKF~AWk8y$2>Z443Z?^<b
zx|1WmcIOwNVz)(Fhw4k;x_$nkrGA)&!$k1aC&#ZRmu}g#zW>_O-$7y5cy#kwS-Osh
zSE#uE=P^4nVeiX{$-V}K))Vf`dCX+`D%r&%sZWlvsNml{ixqoY{ufM=3gpe7%s+E(
zZsVnj^S733aLwEI^5No=FTanlI=Oq+JYO7mu;9d{sXtX-%g+As^!JI{jeg!60xl=m
zF4wSZyZSBB`u&VMwx-+j^IPnsMNJEK^i^4JS7Ti38o7}F+p2kGYVyqL&peEMK3}-+
zc|SWgqqN7}BwcRJr@2}duC5(9LLY*z-u;(aQYg9q?_&wx$?sl7wOnkrIm&RufBEmg
z#MxX2V&3pF?AF#Yao(FDyui$KZ}N9;qYj0qanlyo&)M=<`+tRaU4nE<5YO(n|FXH3
zuCKn#yR`dU-jNTY)kj+opHY-`EK?3SQ2J@@%M&w212_IWzd=vfNTz7UoU=2N^wfn_
zw=(9pr~X`OIEgEBIUC!hlPS)U(HzCa^|s3Y)WzNAZcbHb&M6Jvm%rT9)9(A0reE)z
zby7Tc3raD5ny`9bjdX6$<@HAdKi-b-j&^UD6=%KPeeENj9*saX$&L22SJmd)n5cjD
zo%Yi&>G>6*rG;s;cCSiu@s>PRcW`E+3F9=?UjN!X?(6v`<gHl~T`F4L>il$1^?$KX
zS;rPEkqP_xJa?sVl|iCRrEpI4hkci~evc34i0<XP;gk7OVDU6tY14k5$?pw!mkQ-y
zHcVa7zFlM9zhhB7K2ehs{!SC+o=~``c*f_A0iH>L+qStR^-p4JbW>i<e&u=2w@b?;
zkFY1|GwV)0qtn?Y`F44J@8w--VRO{Hm+aK)3t7OK*L~XCsoraHg#0<@^CE^h#lq*G
z{ocBM#(lSg^*@{p`(Cv32C%F?-Q>z-zRPvN<hFo&2aN6*@0&MIIPE~s*$|bN$rE#g
z7AeVHnB}rvj9+N;Iw^tt0RHK3XD-cCs}cY0mYCa}Tkf`feNxd31^a|0z2YpgTou<`
zmFoGwPFs~8!6WeM{pQ44VW~sjs?OIoB&t-`PF~(OVbUo{g;#acYd1y(vt2yPSD-oL
z)!mgX#%<Q_Us}9Yd%SZKv3_`iH^lB$_Ed$FUNVY72YBD=NSpCWFHp2TtaErpyzpX~
z*_WRkS#3I<V}YFO%Se09uXBFSSBYxpf7E-9clyh|u-2r?yMHQ}uNVJ&B)9b(OQHV)
zW{cR9pEAF$-1^QhOYVfmo;`V-#RW$<JQKL}D<*yZBUM)GJMQbBnv@hCEvt&E;&R<#
zBWe32S*7jW<o1q75stzOv&@wWIu?b;ecg5?bROdk5yv&U-`{*XbAGYbEbjQ}H{(km
zKUw^!Bx(N9`1_aI*-yCyrEXbzK{6<NUcnZwBQM(CtYpyAJi6(O*x!HtQftrrcjmij
z&%P}rzxvK$r8xG+DUCTLt?uDbKb)QRt2IcUzWX`3PB`~w>4G^&>l!MyY*Cw^zGd^3
zXuJQqkA6>ZX!~>ON!^y?Uz&@0`BzJv71U}s*A^(_vj0ABd8v%}vA^xi-W+F{3wE!c
zXu&P*@@$dG$7>B+R-E`|{^@~2_2ox~uF_o-a^`j`x1N31cXIpZbq}&T3McX2lRUgB
zc6!}I#lwYlodQ=lzf8Zyv|hvgMsohuLl1<$noqdW9nKIlsYCSjnkB1p`pu0`-(sG@
z%`N=JHecHFX6VlAH$UHPKUDe5mDf{Mymd#~f!yO+`q3wurM}G9`OLE3iC6OE>-XBM
zw@;U}nLBCm$D~i3KTUgShbz<L18>fDp15UyGqqiML)cd_UOnB+wI^P8tdN`|^ee=0
zc0uS&?yJ`g?EfnleU*yt>Nc9ZG*b3)%o6>r6BC{&ZBkq?cYmhd+nwsUD!kbW7ww*E
zO!qA_m?^vB$J3;HGCXRg=jYkK{;Ij}uu#*P*XO0mX5Q@#3Vrc?v%()uj~9XKnLhnx
zJgu-r?B<;sLpQ%<MUB~B7JeI!)>J&&J^eKM>}1{(L1Hc%@AXw3Ha}c=LXJzZDAZhO
zR;<gl9jxovyM?rtn4RREs(0V`|GUd7*Jhr0P<?G`fz~8LUeV;IIXf~dnKw$Dmy^u(
zv`Z4aawzj<|J%EZR2UvATuwXxi*G@$)bm>=t}%<a&D@f-YS;uHu}wH@+p~9xsng|<
z`)Ly0@mje#YUUHJ<eoidtofqz%{9G4@44Cbe==JWbjm&BkdHRY3WMthrA{o?+0UhB
z9&*?F%2m#VFC#WtFBBB{`L$o>7-#giF1eZiR);yBnC5tK%Y&kSI@K$-T-$y2x<vB&
z@K^Gx_6DEVnmWWMH!1VT=Cz#9*3R3x=J6(_nQPB%F`mF?thYxX>*=GPRVvS47*?(M
z+O_4twnI5(dCn7$`8ZVFl{>=W>=oYpJ>u(y*1zit*xPdc&wZBnVDd8cw*NOeL-~5e
zKgXW%Sue8tB%9-^qrRaB_dAxvh`!A_ll@x%hX2(+{T55axVa+^8znHFt9@^lny_=n
z?1wEMx|^r`mpIb0!e*Y?{S(&|kL<s@Qe~}E=sCV}frB%&6%T&t`}lkHoxS@Xt}Yc3
z-*?u?F{eoFdf?HHxw#RO_ne<S|Lvz}M-PotEm2G*hj!)OZd~$VZPpDJPTu#OOP6%!
z&)VoMzIE%yxN|a6rV|xwE$8ML9-F&wujkh6H)Rouj{JMW@{{*pklo0rc`o4LTr-1L
z4CWVuB}$5pnZ26$X<??-rP#yUwANqQA{^AvHC1Jj!2{77u7&!ySwHvPI_4=Mlee%}
zBy)#!C|~{^7X1&G<_EJi_1$AqyshB;wc(ZTW!rtv((;}eOYE9*F~CZh;m}crB`dOg
zuI%@&4!qiHqbF7Tu~~Y*nzyrV^htUDyZPKNVoY{DjQhh>{C`4|(8G5xeL26f<OZ%k
z5PFcA+d1vX;ki<04{IHschFX1`m>ua9eEC#sZZA1obW<lLAd*shV6^}o=+Y&?_~-<
zR5p9TVlDp0SziQ>x9NmtpD{a9dgITo=(k@S-aZac+}otXpw96odHv0jv%7l*Hkcd|
zO_*<fA$LuKnP;NV_L`FACadmFeA7|d`AU@Es_g8fV|^kord+ptkm~JWam8;^TxqLH
zP~)T^1CQ+9lmsvB0FP4T{*c+FZ+a~+d@$>CegERNX>vX5?UVbjSY0aper4g@BmL5E
z{x=+A|L1p5U*vSCSI+UZUr()<vy(h9xx_1BQsg_2XG=o9w%nGG_4|>)c6U#>k!0?K
zw{tE}-=Cj-`<;}yM)8iu9d4f<HZYvLFlqI4`;@zPl{-&gV}E`j?3H1aQ1$Jr(&Ft#
zeomL3F>QY;Qpj)NSJ2-v=~|q(akl)c!ke!@$S3ppFsLd>z2mYd+PkG!?&T)YRZZLe
z?wF^@b+UddPr=?VifugQGyeF$WPd(AaZP~pf*R(fg+0!j#447H_x*c$wB}GtP_(tn
zLaw=0s>y+q4<x#~%RLnfF#BP;V8OTViMq;0&*#ruc4Qr&%SEQ$QtxuTjCciF;}dT=
zo%$=&xv1dmR_Exdlcz41ZoK<ZZ%0uYce-S!VzuwD6B18#-FyC=TQ3z}bxU8teeKr0
zulRT0y85-duH){bId?7BPES6(WJUK~SyjQGv%dbdo_K79)7EXltDi(S@iYVrZQ641
zR^Fti7r*2$Yshdvb*cAXNwM5t3pcLXLzRy>ERO$LQg|ewXY-AQ_-Q{TlrOEj(f#m*
z^{bSlR>ikYeU(3;E_0iQA&|NK5kvjm_xBdD^Y%WkoTzxOoUvMLa_pB<*YY0GDOYPw
zGg|i>ZME6h@!@D0%SrbwG24twes4}r<#bPTKfa#PzWj>Wnm6IK&Ap8(#Yf&uby&c~
z=Q!gGLviw=`i(3nI}6{PWfG3sD8PF$m*<}M!Ir?OK}QRPF1>K?{JBzENbAeqWi9z7
z`P|demYrCAb!*;(_@f7w`K-*|v7viwaE<j{gDt0SY<qf6BWJJKi6-y$yBT*+jbv46
zaF`Xu-urxl!CyN!QPZQJ{XTB?ymoMD^5wDz(ZvgOjSNqG*i`!{jaOhVTh$}uYvwA!
zsR|ao6E=R$(K`R)mgC;a=(UqA^R7FZ<~=_hwJ&qqY5DT0tP5w}voU;ov_rtv=|j_r
z#<z!eB<K8g4&JO@y@KQ0L4{)TH<K&pE|1ULlQMtmjKa#jY6iO}SB7<O`Qx=xlkMH!
zu(*c`Y{|cV`|M|liHqLLy?CkfnSyP4CQNO=PI5G=h(B`MUH<N`i*3KZkLAw`TP}B1
zJ)5^kF23KfSx4gYepWUY>5|ae{o$_#Vs}J;ythOs>axqSy0X7FnNF{BUB2$$f`^OO
z2mV*(n30ghdGfZt`eG|lr?~oa#+}Enqy`1uT&VFlW%_%z^hlj_?Om~vf8CEbynpQw
zQeLjwCOGf1YjwDO-2&fx`s;gx-oAJcrMiz%aJ#^*ube3z3i-=-h_2O(`!FT!n@*};
z`j<=UQ8%lv&biJw@fz1st?WNr7bWTTo;YW+Zk^eK6It$CTV_9Z6;cx7y4F$tBI47`
zE~(vSx@N`_c?z$7<_EF;Ynt;<c-^;o|8*T5TIA;|$}w-w{+`gKdHvS^?#o_5fzO3P
z=2<6*ip^-<y<X?~Uw<b4zp5KzL;Fo9%04woUbj_G;>Fp}s|8YQ74zkrPX8!<t<)9q
zu(9Eto-^yiQ@$+WN0%OX&-W_-=DLq(!*B85R(EY%<uv2`zddP}7a#cQ@p1BpL)EoR
z`yb6{>g~IECTRI4?f6E;y^hQ_tL7>`-8HrM%5Kx2FC_9m=;b%_YBeuf_RAysKA-)p
z>1STA{r3A}UcjdYG4TgIy%$suADA^q^3SJ$J8_zG-&#i8o3_hAZjo)=E#B^pw}W1;
zd}mp9qM};kSJtfEg4TzYl~4B5FB6`~b~e{eN%)&`K}l@b)}x;{+`IZ)xie_Rl5pQi
z>SA%bzL?jYjr}d3oA-2&dz-`|4mt5S`}L2mGKb7b`F6%O?q*Hs+}WoC1T}QcYuMWQ
z?@u?m_9Ii|^|Olmd(S6L+C9_9O)+`D0M~an<IIN<sSS6f*3Wz<``GPv?49(V&D$7-
zrFVPz?XT<)aEzMh?(oO##;LFii(<a2mYma{u-NyNHlw$}ukgBK+OI$Vp3QmkT*|@2
zU6vX7-r*kooe#5`Ij@UHPCChbwnXyz^3>mlx^G|BtUdO&ZN8azQ{$@dJ!jQ?k8fgm
z#3Ya`-+4G@!Wjbti%oN5V&|2bm_E24DE^^#VPl7QSxE8X#)tJuY!5u0<*t?J9PIjQ
zyvc8(rSO-lj|`SN=Vtoe{U*J3@2?p$Yd$7FieNwcD7y5GpxlqMJQ9&F_e?KmKeSu)
zU}nSH5>}U|6C;kD7t;-JyrjfxtrE3-gZv(6xulG}hx(MKEqtJ-WqvIujA8!cn^(%T
z_|E!Y;jNw}9hmm&+fB)NHdkH0JedDl@t9+-wwl;K!B(5?$#uKD{iO?v66Qn{nF(K+
zKVQs}XIF)zRj9b4#=*}a;U&yln}pv_TOQrB*K5YQ+sUuw;tsVP+Mnl<nQ-n^vJ#he
zMd;<1rn>7^Png-(ZCAHMYR<0%u~rA~9|^u0r&87)b@cu3Jg!%7MQgmu^C|^dE}G6e
zR`ozuH6-)7)Z}SaMlRNG8XY<H7hQUCsb`bxJm#dhxq)1-6s|mv`7iYBxYH}+PwmtA
z>SDN+L<IA<{_6YW$>jV@gTuA2!tems)*NoHoWf0VQ-xytmps^XFtO@tzN6>%N#V){
zVOf6<w-|o*m>B)>6pw|DSo@wQ>`vZ3id!x`Q|(e;Av;6#ZQ+|IYPIj=8O6^^e|Bw4
zn#r?guKt&-r8C}bGl~7mCb(bo64%jYcFTV&?=mPIU!^#!%2nJ}>+<u}Mw31F1uA|C
zDlziCnyJaGT3bG;<jFCg*=mbDv$n3;#-)~Y=o!aR`>qAM*&YWRxt`;>Mt8<EBfk3h
z;yD(6TmL=rZ}@lYc+3-fml@q1k+c2XSti~TiAbt(n<mMgcHqN9#pjcr#Y^s&o^dPm
zRB(f?%gQ5cjG6I^TW$thJ%7TnQ(;@!!i`L#zFN(fKAhgdG}lwmk8jFJ{nhE$1GW_(
z;5)5Rrl<3HNui@`MANZDHH{U<4l;^qrLBjRo;^R)p&PX{`80!}#M}i>pI!Oj{o?tl
z+ddyduYKgK{&t}>`3ZNMg~+tY$=4U~ziM4(=i!{Z>etKEH#TwYrm-(Cc?TyceVEnU
z-m9t*_PJ&s<4Q}0prjw+{@L!)8M=EP`<B`4zIxImBG&a0<4);0v#s)%ySW#$Zs-cV
zcPBzbkk@dZtW%Er@%r@L7t^$6JP6v?w%z|?b<$s<Eyg+9bGEi!D7JU<QeU!0Qidh;
zn&&ae_iX={&xi`%>V8g|YtD|@H!p1|Ui77FP2X3O)T$K;{^Ap-NyMckS2fE-OBO3?
zR=lYx+1AeeR+vk?M|-E@YKgdBO^;toJo_?!1vQ^v&U)BOa)RZTTX$Pd3LJVpf#Z(E
z{eX~VHHY7r%5CC0)I6Q7(CXi;TwbpG_6(;|IqDN;OP;FX4k}{)!>hk+>ATiB=XF?q
zJQ65W$<5p0oV|y)`q!U5{>#+4BRprX;<`57JKtX2b<x|P(kt^TBW8Ry6`LTaxNw%z
z@0Cv@udN8!(ETSfK)_{Tkb6d!-OGpHwr|$9pBA|>A(nUj{`pV+#B75)g^qA<nV2g2
z*0IDURQ->W=~GU(Q{T#*mu{O7Dk{PsnZT{I`k}l3-r~wBVr(;4SY11ow%zxj^U<Ac
z<=ei^dAj=5w{Hx0HO`onpZ#PKcr@z8u}5K*PyT;rGdSUO)MM%Ych?e~*Ix<t%D+38
z=MLNKOZF=sPcwgeVzP_JOxrmDJ{jv5ojZLdN<!kN<SL%m1#Vhy<(Cvr-!j*++i|y6
zUWQrcldnTfYxJX?50nMX3pTx46?STi^{ID{r%X^Rxb*CLbJQ!_&eS-`j(7<<9qpOd
zX8g5MX%<Sg3ohU5cH%gf$G6Lef1U6Q&7E7icY)IP<iP%QGOfE)0~bH?KbiEEGb=OX
zXu!*UrjnO;WjB|yFsU(|(|wY~9(6)F?B;&Sc&_BSghw-eZ4JLzpLq7ie(zInC7oy8
zEZTUIY2hiYweOzKDBZfqR)3lPD@L2jBN82V&bD>@HHl-fR48SYyOcXG|6qDb-NFr0
z8)l?LSjuRe3ErH1p@J)T_USwS6TVNpF0yGM=Z5si<*SaIE>50TyyE32<C)xlu9YSJ
zoxa6QJ^X6<s`~z4Ulix8zp-50o^#2$YVrE#Vt?NJRcd+K(b@j}0Y|+1ZPstay&94q
z*N9!voT<HY$`gi(eraK*`QB#B{%rH&p0_=CeT8Yu5^r@K)jfaudaqR$nNG2^IB4ba
z;JDJQm{Tlg?w9{$&4|-w>9k4k%3RdAc-_kPp+cuSZ@=@ZmXTYx!sL9)N0#lWHM<@d
z#bjQ;rFitpf2AX<{4yFh%gv~pmoOpItz=&#PgmZl4_WtDw;x<Iec_agvNpaNl2RH^
z<*L)|54tZ)dc^-RT4Le6eWFf(n*aV?<CfxD|E>7zLng=X`d8l6tN#43h>7PUkHV#@
z>`ayg%8#$83K{<XmE%8oQ*+<4I}XKMQo9$`O6kN3b`|)@g?{Dgw`F7c<>?XX^x%uj
zt}^L&yR4jo9vB%o-*sAUUccSz#XbKo3!C@&b=sRVpZubF@b$%O4;Owo8}#<Ge!lYJ
z-T&pJv-bV*yKAU$N^#Az{k`Xs1Xrm^9y_AG+w)%kJ^?xZ-@-8q#rMqG;w3Qo^Q8M<
z(zy)sK26ihd{s2(hlzjmjL!wa3;Pc*$>{z2DS`ib?li%c&0k;WEV=eE#yxw}V(asz
zFRvQeN6bFQ{Oy6Oz*Zkav9?zCtbfgqg-X7s&dK=lPl;*Dnk%z6Iq-koEHJ%lUBmgx
zcgA%ezU+M=-*n8&f<=>4Fg?!b+W*t$uKH76AFvkDD`jB5^8S8*@$?t%hf`huo%78T
z?kU?Ru`a}8>e;m_z9)+&)v&(ei4X{hykc8;XW^ywUNQGKUod#TW2MmLxxX)l7rxxO
z`HYOsi}r%WfA$+ny1e1|Cn@~=orc2MpIUZ`_hL@IU|nc1LrD7YMkV(tH;bxyqQ%af
z){UC`<J>dupQ6|91te@JOq|;<%r}44RQ(r<DSMrEhVM7|7`pjV#v_?Sk51MfGO6Ia
zmA$5@(l2dpkhJMRTVvDHvr6A8?$uToeRwVLfJCwI0gXqGUkT;hV=$N9w>$h6x6aR=
zO?SWEIQHP4nq%f8<vM*c6H`5f{3*)>-f&O!7V!;<bUtTeyX)xY)K89o*IV$-**N*F
z?e3=+cXOT#ku=e<;Q7!Xm(i}uInQd(<3A2RQh&@;)7kD@xFsdM%W!^-6kq7hOTzMp
zug5me*_iwGdyBNWWSiIx7iH#s>o=6}ho|`eQ(Wkw^yiF2@YnzHN}DBE<;4>NE7qFb
zdAa#>;mw9ibIRUDXXGl%{_+!O?5O1a&uZ2bx^$X0k8)lm)17SFKh}2{ez|o#*}OYG
z_Zipwc@<a6>fH7Stw?$C@4DpUval3hM_HGezv)j5Eb^WE))>y2dF1N%ggJui&o}+v
zX4N6~sL<QzaPy*x({H<)Su>p0`DSn-JCpaHlxO&YBdcoHoiTjiTh_MfXWgr4j~$y%
zRQu-5J`r#DDnq<;PN$4JZ-j=QU}E}wwE$tZ)zd3@zlQ~f%4jIeaX2#jSN$}u#haK{
zzB~JK6UUZ0&&=hXwQ_%$Xt1vBkl3XMXF3i)(Uwg-mXp;VkoULFJ+b55jm82#ALC1F
z@0HuO&s(x6isiAuwH=99%x1Ifh%4C87SCJr=uKCx?9{%Jk1H;<*|#R^SInC)yH}^y
z>6pWoDuKfI_IJgC>o$G6aXNb4#LH{)%r5<0p1+W<=+cdoYw}t<KKxhStDa|Ww`JY?
zcax-2mtI(*yzKK+maOS3!g_L6n|B|1fAHOg;PgMs{j+>szGvqsPCLh7RnvUye7J|x
z$+L1-jK8hs@V;Qa@n^!1>%L`PnJXk!HSP;sZVR5R*rC>LDkAnz(d|_KIf>5?(>c`R
zoFDD(voon+e3!SHe@)J%+4-jPi!Qysw`WO3)0yi`^Ei$k39UI~d4%iJ;=Sh@pP%CB
zGI(^oZE8!&yiL37?rgnw|4Pp8ub<kQIw$C?HT`lw;_CjGGgg~*dW*Db{A71B@5(Y_
zoENmfCTN91?c-AO!aSzvgDp=VUk_pYD(b@a!R+Fya2`9gW5yNNc3LO4{qji=@%K40
zP3PTr|Bc^{c@;-bYCoXx=K9M1{h=YToI>hfADT;U-|4sZ(}kIH4D2QdtDmoVudge3
zi1|=+)A^)F5s{CxlLAs~C7(^Zu~K8w5vTX--#rbLbA5bY>73kxl>#54Ze6T@Q~yb`
z&0Ln}!os6JPt14jU0SPLt7~rH*BZz7MU-t<Y1VX^DE6(dPYOrL{rT8vaUwalvbIX^
zgXaf77gL6*e^Rr|xjwy|rewE*D{)KStf1FCbLHf(F1y)&VrL<n)3ufVKBcNwt~tG2
zbJ85ag|VB9GcL5nKEBBnuOMS`Yt_?~^mua_)|!Go4aPnBEyt(jZ9Kyg7rD~x8H25+
zfIHvYIKd>=LVd*r(uT)&UrM>MWc%lO$%VOlwYtJ9H1>Do3(f7>xb#g{Na$-txoM|8
z-9J_|GE8~!f5ow>m#f+Cx!(GbnQFc1W>5N+>lW>iSJ_xEUz(Y>Hn9EuR2}nOQ%|Io
z2tC$`Z;}cXRTZnYTA=OQ>#Ob>!_)UPs?kI9p55E|-}lV>%$py|p~cy%G5Kntnqv36
zhf6;Fzrpf%=AOglkJK53IfbL--ppg>+jHpQng3izUL<NB%C?#*Z^5ei*<Wo-DZlI6
zmAN7&Z{_X^<a=2vZeR9vNhGs^ucEqGoy-N!j1o`oGZR)EI9$PeKlt9A0^18B4!5@6
z-1hN6wcYWV-_AYt-naE(wV_ys#jdNiS1jj$UuF<_^Yg{u$|sd3IBwfB@v^$2)rr5_
z5!+%MI-lQ*FS)<0q2S}SbB?(wrC-l(EnL7+>U2Hi#G+kEtBo%wZeO%1;O(6L=}zl8
z*RfmqELmoD_SQ4yfR|U+e6kb_Fbfc9jkCJ@Voe~UxO7ggVAbxu1^n5P%8Nb=%(-~}
zHP_b*igL<(3N)YeFbAC~WRsr#;@!8%3!C}A{dOt8{%_m51q>=ZB{SyKt4`e!`F6X&
z^<F<KWt|Tfoq45_WFMYj448Fq%Ae-7e*0H&Rx2HTcqbxHd0N#U`TC8lmh-M`R9wt^
zU9C*+s^&ub@P^yRJ@s};F7ubE?@XH1yG(d$<tLG)&c`bX=A=&B-2QaSf+q2+tA6bK
zfA^$JQ{Jb2W)<a{<qVepxzAP|HI{79ia&q#z?Q=sqn>75Iq!M>cm9jO=0r}{0(-4p
zcfPkA-@2FkVSe7L3%mPV9;;c1%*bE#rAkEL;RJny?$ZyhKgqAzdgp}shQxjkUaf|E
z52k;~DQwxa=1(i%gx^&Lr*=HQ!(g!Iz}?dvg%2g})>}5otq<QK!DFqLDQ;==;al+E
zl}x90ST&gbjGd?X!Ep1mc%dgMT|LabY1bzA7TI<)=>Moxn|`Ts!a4O1(=R{H+Yq=!
zMlUgX(wYykinjR^ip|S|VmyqcO&KSw+v*`xvHih?i*MqKCtB~nb#|`<|FQa4IaB^=
zu+QG@T&XtKv3=?k=i75R9W6e;x*GFgXQXUbM?;*6`G?%eb<QQ(*N^-voi906@?FEx
zqF|i~`*fY<!c*Q$t~hw-$i&`H=>h$#k7xJWc(Bb=OzT~7a^oJ=9BZwgCj$M|+UwG9
zm*wcc=$yAO>rm8!rJt1I1^!Ac%)P&->>d9-m5KH%{uY*8@xIb|XwM@FBgLc9OIbGh
zT$_06`ir&aJr=#VGQal!KQGM(FBZuDo%`xQl-6_Ye=eJ4`=`G0U9iLD`~=C{i%Mc%
z^4<ON<dwwsEkgOsB}Wpy>^M0dzBhdQ_@u31<U-5dd0(XhyH#qJ>WP^f?CNRysw<vZ
zn)T^EZ&k>dOA`*uu8!*d+!LZMv*GhfAve#4Rb4ZV=jtbYu5gH66Dlw3>6H5V<tEQ)
z$t8LGS?5e=f1Y=+Yx*yf)C-wg|J*iT6}VZ?o#)oHi96QlWE46CgzRmMJ1ok4^Y~Yz
z((*fVBiF7}J{KLK=W;Mmp>}hJa$e};$Wy!@<V7oj%-7jZ%E=SeoOk%!pLg1CUMRkf
zz8aorDwg`bzyFZ$f(J*ObNA1%Saf?qSwQ}aJ(pgazYbftLZdZYcg<RN?%sFzr!*^F
znDX%gXKT^#1nFsJi&<MGqu)8*vYXL3mFxb_18SL(4;vQ9KXTZ;y(}&0tHIg{YYxQB
zJ@V<vhA^fKW`hN>XZW6dT%Kz6{`V7=Q#0o*IQf6(u7w{Sh`35@_`CmY<7VG>w(E+y
zH+E)QcU2##)KYKT%_GUf@&43Z)ezxT|E_2Way!LeZ3~MynEhC=v@I&MX~&O$HEU0O
z+O*%~mW8dYk<R2o$L$xg;yzld8oi5e`z6M6%IW*(>i4gA-{=1Rh4HSDbTCWc1is_T
zgPv{?<JkW1lVG+1)4V4OuTK5bcOz-K%6TE9SIJSkJULXDm6xS+#p~`*4L_Rt{>jID
zNoDtk587=`=Ze~RNVlcbpIpkU-tGJTY-B5k<=NeK(f=P!4?8`-kUgetR&{Rr(<A%r
zw@Fv-)39E(>Wj;fpCx;icT~!(^7K*fna#CJBfY}+V#Keqozr&6F<ZGkZkpcj`R~<_
zLs_lW<v+6z-8$1|@@7|y+u`74vmIBdy*0Y}A@HB$yI<FW9%p`X-KW_y=}Gk4g>la-
z`uu~^3}pG<=YDInYn-bU$ns`;d5a&<<fKzedF}4<-+FUk-j2%P;A!%|V&|`Q{5bo7
zd)K^&8RiYjVHP*{PLH3?yFmZNO7C57w(Z_4E~K1$-F|Yal0)=;*|V)#Z}NDaA7(ME
zS*;+LUG~dx<&hKHXCDdAxVKrfFRyNzd8X&-a?`!Hdmeh-d6%7k>=PH`f0nJ6EVh2+
zeWsD4QSWe1|Lg8q)(JV)(I+m4mZtBrD}1~m`25D=Mwtb+qJJjy32?8AtM;mGX=3=d
zcI6k%jW>9wO*($Px4t;)ZgAS&$=m-O{r0DM^W#>BpVQ*z+4db+>-uZWkK@vjKISDa
zgB;H~6#jX~_)uu09{1;~^AcDyP89bViv5%dj4wRiYqD|9!L0$$`E^(4@Xq@(XTwXz
z@V^_Q9-Uep7?N@A&he=CoB!^8b=Tq5+&EK_XtxvWuS=z)_dbcAB*AjB*q_^X#hUvo
zHI6q<-D@#L;?v*$)|a1WGYEVVJ@eD(i0J;HI+Zz`U6+e;cLj>D$xh-;<~*QrKT-FS
zy}FfW?$%nt`(JkpF5mn*CD>zc=I(DV-5ixoj+tGkN&J%ka9%_B%cF&J7Hja?yyRZ+
z+fcUb&#Jt_Yr-8&KHratw#oK9O#1&#mr1?2%t%C4{n4u1=W~^ga1?*+FuB0Wbk%8c
z(VE6H$LHLhwT1B)%awYSj2y|QvQn#pe>5MAJW{#;zgJs&iTwkeJ6n~KWOIEoZYZ8O
zzeVcX+vU?f1+b*<dwy$a>G@j?=KfRXnnmvxzWMUSD}&RTdzLSC-!;c?i&xvxSG>J<
zo*YeeuURe1y<~Z~LGr7tcax5Y=&ty7_~)D6LS4rtGf!K7<=XK_{r{`kZsl{CRhCcu
zrgiAo)18LB!jGS(ofOWo=aJdIs^o@E+4Ak~dnP_zyg_Wq%J}|Zh9`|HCUmE=-;WmW
zxWut$c8DEg#>8j;?=h%OdFTFX=9x2zYH5-aUeyM>TnkwFX_okYvHsrU%xAT=mRsLi
zw`%sp9HyhE?dMMW=_18n$Nyh_?jez?#BEon@U+X^V>;mx5T@%_d%XUcHFJOp$8*lg
zdYN=NpG`_x6SY|mJUi;_u}RCf^U?IYq+Rl_ZH%_K$(u4P-<v%5`uzje)2{DdtGwQ5
zf$Qr(eOA?F8;=#J1~*zN)(W{jIxS~XdGf5&O}B_Y5^*KYO}*16cYHZuzg?~A-RC1`
zsy_Ymo5&>6)|Ms~dF{2txAf}mH38bwjTXOg-BJ>_$Ip1JzM-G&`M}e2FI_$C{_f6N
z#$!?*vW6VWUp8J`_uHF+)8X6x%cW21H(u}CxlgQf3e){hk99(4i1oEF^z3}s+nMvn
z=<SY~+Rs{g=OirH(E9JOUd!~!PpX&wec1iu%Lc7t$AW1oGexSx&vi8a{JtjZ<37%A
z%_W_sOkcKnUMLaHd~_=PX{mGX=jHdV&NF%K(K@H{w96~M_I;-tmfi~7D3kci+K=I4
zeC__}4>P#Aj=8PhuNZP;){VdF$4dM=e#~MyP+cLjG+LcEd+Un%`;<37e&ce|a1WQ!
zn|l|IeYo=am*~1##eQ3!_NJX^*UYG`n%CBTEPVN~`Nw4b<(WTSe7`kx>xxqy0%Cm8
zb&30g*0DwMq<`yc)wx^GzE|b2vf83QCj|F$aQ!oWx19Ob^(EEzjBEY3_oy1Ruzk4g
z{YgtM|M@oo{e-*!4xY81xYz#uwR7FuKO~w3J>=BCe?c$%!rZqVOF3o5AI!-3)Y}-Q
zJ?-pWA>F>GQf|Lew$%UHvF*A0jmRS@y07dNqhm9=POgoyPODV0Raw2a_q0Y^fz#Io
zhaIC!%6Cj#p3IZ=D%)g-OjMcOq{GsFkMgH}KRdDZaQ>W^otN^Goz)6Td*aru3UcrZ
zYP~*fQS}<(0)r~2I-RFJ6*iUjv)+Bsa%~S{=Zm;9NBEDAb&k>+Z>5=E9Gf0rncpnP
zeqH$KY8zGgYwNlWEl=f7@GxJ0bicmlAN3Qhmvo)}?Q~R}nR;`rn!&CEJNn`_6&#<h
z<D@LG_Tvw~2|u3t8<)r^b@8brCWzN=yl7Bcv3BWF-^LuK$Xz^dI5ht7zgcL+zk^qM
z-%-zv4DHGcF^bF&&)?>=73rIG)=Ou~I$v*TOZ~^KJMOTQl*LDwa(RDKy?^rIaiOQ%
z1g;dPo~>M4`(5beIq?Z42^#}KUX^dW-B9#bUizCw$?YXCo}JyCa6CTDRpT4`1<rT>
z<lY=hUY$OFrOB7GTv2ImOCsL>h~IRA<+pj$;{?xnQH;;U7p{`FQ(Cy9dg}9^HmTw{
zjZd-@s_u0Bk$&wie)Qb3TNP8QgC_Lr99?(vz`LNgHh&)-oc7~QPRqxC0hgpaPHvdC
ztI}KhWr@|RfR2?l3ze%ocF)`_c{A+2{fpGy4AV9%@_m+Nzi?W$ioy5CBZ12F#}_|^
z#N{6dzsAg4Y*%$F{!zxM`V(of={xvW6@{&t<nyt?+4^91CU3Iu?_+*!k`o1)qL)4~
z<tcag_<sHBbDO$WM72nEoaS~(&3FGVZhGHHmgVEOAkL;^Z`>}st~%z<ubj#Dr^DP+
zVBuWGg#D5CM6%3-<~vPXHfg3F$LeEoa={(qAFLRS%s0MIdAV-e^z%o8Pl%m%eR$tV
zz&W^Tm%tsp9fBb#<)0R+@FyJ+DNy5%Y@PHxD?q|aD>#3PWcIC3p@)V3&+5&d7IxxL
z{e?O{%g72gA?X8Cq&Cg&Di`>+;M5n9?s-o+<m%p9WUGCcz}ei>JZsI2m6x=)EI25o
z{5`|A@$r6R?k}$d-}V;O2;2@~ygkFsboPrI&vv(Nd@Zv4=PCwQhlv*R-2|(ZtCr;l
zyG>p1_u{$Nvb_090pG7KnHKfti%OY=#@ULwlR{eT8*lM_xE)!>|FzR8UX3$1&CM~j
zU!3vL$3?9&70h$DH))+bw1H`oM(b=LSHXEl%x62AO2`BrPE7t?akp##g*--<FTWb6
zF)X<oaN!rrj%w|qDZeaQCy6cp{#$snVZrRyBY&11oTwtWRFLQ7yZ@KWpZwQedh=ey
zseh40Z1r;jcfFER$XPX!`##IWH|*yZG&~h~9FWI!%>GKw<%2@nxoIpb9rzTt+>YGs
z<oGP*GV9V_jjb+*^X<<++VbF|$vhrkZTBwT*@iVT^?Tnoh0lD^!>D1Fn{2ruQtQaq
zZ<}YNx@?$d=~BFhDe3j0nd05NyOr|G8jY_zrM86yK3AUKsQv2b<qPuHxLsCEJlM44
zMNpmDkq?jJnB?r0PJ4P5d2s4@hAz9r<!H59#QRxB+yri$ioE^r++}4t<CZH%#%~Mo
z;eB+i>%dfxPYInBj{UW+a(QY;7^moW3JUJKvU0LfEO-7Jqhp(StBmBz@~1kv_-S;=
zP2KhN%>BRB2Lpvqbg=xDKfCSmOWPhM-bH=-KQuFa?P_+WSA4b%S+ww;n5V@Z;r^&8
z(zy?!UQB+e$NSIDy@Z=Z%)Cy*@9=Ih9?n09``2H}n9k|i<hC%N<HXClFXw%4f09_o
z_Fwk;x`nIU-bJqWxU^8enRCO`Ppl^d7)+0eex7j5G3VyLtuHU~Z9B5~fb^b=OWqZ2
z)aTuua3e6OpY=qi8n5=ui3?99>J|x`PrmZ7v@>mH)vC}tGMrl&M9sH74K9~)jM%5O
zCA2cQAbV~cN3f@+baBtJf31#bHJrtt1g2*F+t2mU`%F_uZ1&~jUnA!w?bs3K{><P0
z#Zy;X`MjsoH+MX$t$4P=@1VZ3m8pNivl3nb4$n~Itt*!-UZPhkP|r5~3a7`{Ql5{$
zGnSvW`7XZnY|Mkb&$jjDwYN1L$kRUA<|N|#d1C-)@Y&$!URlB_SH+l|D=)e~kzvfz
zZ{m^M=k5@bs^}DUVT!@Vu2(M1|MemSY+rhdh}^nz;`B^^aod$KX`NSWg33LtmON>$
zYUkYY&9LJh!;*Fx6*o@)`_nv=7j!49)ta5Q{Kb-bys&K9)$E-frjs*Dj3!&1kg^u@
z&`s~T+iK=kxHUY+oi*;wS6}~~*<!^iM<Tv4i$A$^HRi#N+3#eF9<?ieSKui6y5Z~O
z?F+cQCKg<-&Gs>!yy@EE0F5&iuK%yHKiHRc*Zkt7k1JE!HZCo#XPTovAwZjDag|;E
zNuThI0uTPWKUOQv{xIvkhPtiD9`oyq)*dKxo$^>QP~-N}=9_*0vy7}N^TgtWe@Oq6
zi|y>IEMLX?X|sb;_k-hGeXojNG#Bw#&TC{-l#h@2^?Jp^3H!oN2b9?IOZT1DpCdiD
zJZGbzqr%O$m4BWoPA&Uu)y2$Xv3T=Qg&5294#RwfKhJVM)GwHMYOShem(uy}RoaXZ
z+gDp=ZQE5I8T)<ZzF<9<M^}sLLXYI@UsQZP!P-$&`$TneKL5vbEygoB9+!Uk`M#Jt
zp}J7g=V#To*9+^5H|%&L{9_5jH7UnuA|WZV?<`}2t3>}!iNA5YRkGYn+l$jlSE=^g
z<8D`%8|AZ%uUGM3*Q;5_ack0CE7pdy9qYI>J~&+3ahOqS%a;F=+itJvXXO6l%_jW&
zL7u>mwD)I1`VMwqn!l+!s7JB)ey{^`CA)6p1r~=?wFX;eg%78Wz5F5Rpq10$@^a3y
zrg?iWguQ)g<B|L=_xIV}BVAk1{*u*~EVum2RV$YAqwq>g&{0kU-sZpDjV`Qzc3fzj
z|Cy=%c3I2C%%?q{r^FswW}3AA%lasjz^JFoB-!e07Rq;|-rpc%x_!B=*^aVH!Mw*r
z<1g&`_K9WprnVo9hvj3=%l#B<cj399lfam)r}p>$yP4lFZ&`kik(Y6s{-#e|(v{-Z
zd4IMFBvfwfI`l_M=w{Jh?H~JjIa1$zeq*)%*WGmMFFOjl8N^S{+@WZkut>{|<Ga{8
z6VB*;9Jiy6ye(X<x%1ZUP1;jetW*}6sN8M&@!FFk^BQt=|CK-f>f}51?&rAutqoE_
zuGO!#{)IG6>V3|8j6W}-a@qQy#uwKcsBY>0C1zG~{@IVSX`Kp9romSkm7i>TqpW;L
zKBHto+tQM1Yn7dEZfbq|COtjX;H&xj>usC6=NIlde!Wrmz*M8fom?pibJsLa?k>*R
zy!o=sx*DdJ4YMyjOK_O>*Q&ec<bkqU4$o~_a_uL3*Pi?MO>x8BB+uT<N=&*Omb2^*
zRGcfyz9uAZ=C`{1=taTXDw(HPd{?v1F23SeCoby$KkZ?x|B-F0W(8@@b8B?i@7ouU
zdwG@d9qTu@i<NJ^S{|9bt7xz29fQSTp8dD9E1TtIE=BG6`Bwbn`6KiAHio9!JYx85
z%skWXitmjX4Fdb#w>uo1Gs&W#?Mq<ol7or24GO#B?!W%sc*v9W+=;5-2u1OOSK?Xp
zJ^n9i_k7Hg^8fnw8MWn~zQ5m|Z#IwZ{OWT*9-f^svF2*i1}_gzJ^Pn?SwAO=FquaD
zE?b<rE>7UDWVFuI9+jv5f1D=G)>V4qSaa*?C5!J&>yQ8J4&kz$C*U|`>oe1j=h`Q6
ztWRFo9BO*HCwtq56#1w3G$l7|ov%A-bHT)z%IBVHhAHp9E^jQzU-5MM72k)4<WfTm
z{{^HUyOn5}b9Y*1;_LnFbyIi0JHB?tiVt$1&J`P9@t@*)llSUV31j~HlczVc2c#D6
zI2`oZVE12(g7a4;Uure&VL#>KmQvNHe((E^#8W|T=|w&(`&K+yrp)==);ID*bgbfj
ztzuiH<qP(`_BZa{Ct1w+?Fi=*uf0V@&&}T(w1?*GIVY%N$eJ-((>i8_za#snGrPoB
zoi2G6<Ey%>!I^KWGiM~Dko>l<6Y|<@JmwsaT2P$ySoqKZrf+h-0eMAhw1VSJC!H7M
zVJmo&`erUqj_$D;4?n%G-NMyv{(A3R{gO%kug-C9tPRYb^<HzQ{VPj>54R3vEL$VO
z&c0}KmgtsOJDqCFO`dmrNcyF#z<$0_qHRZPO>}VA{ltquw;Jwq=bkc8>dyy%V+*T{
zr)%#{JaND-=kL}}hv&HOIA;9$+nn5nFM><1NFCeWw5guI|3^lnMS|qz6G68Q7OpD^
z_`6?)(Wi8_$$6fXM@OD6K2tH-+a%?j@zq_zuD#oiPGbyg_}e1(N@CjaMrp@WSrd1A
zdGj`|^vb_`tX<u=$K;jMq*~V1o{M9C)lZDwyI!^ZZOz6G$sUG;syl_dKVJHh{GI*S
z_qKqGtXg4tzq2$WrhUopSYG42$}?;g_tO0BWvy-<;xQ7ZW#+eX*d3gjpENB{&wRhL
z&7;zyoym{6l-&cA!^0MQID9KvyY$olGDFoArPS%QMtYCbZ~M)gmD%ggC;gJ2yLL^+
z?;6?1L0Rva9=qg++cH*L*n6fVa))mVZM-(scIAbsYHw%tyuN-bA>8~xO||P3?z-<9
zHyc>eIF@xy3)a@`_G0=x?c?tR)r9gHCM%B?C@nKTz%QRsT(atS@QI4;DQ>Q^n+0yB
z9$mt6Yhvt<)(;ZSnU+Nw8*Z<to1(ACl&>LUwWv34!HT@;Y}}Un)wfrrb{&1-c$ksJ
z^JC_s$SIG-q?A0Sl)RRbO$+{=9Z)hg{Jv*B@6Y((lf`EJZitTMJ8j1<y(TbLW$FGM
z?T6;*cQ(oOomFW5dw(8_e&+5|!QB%?&Rgbkzcaga&35kx<*@Zi6Zt-DVzulsF4@N!
zqR$k|7k9E(GxEhtk9<`&nFRr#-uqquyzI|nXI2)0^o33Rd;yXheCH}Y^tz#Z=kq0@
z?inUxo3vXt?AhIHWc%T1k@e^Lhxb(^6*+$2IR3Uo>-7}v&o+nbvICvIp0RE<ePmtW
zuvkfajoI-&n;FXv>#X^+-D$@C=gFJ0J{ToTPtLjWVyQXzA@x5CKOZf9wIJ5y?(W_H
z&YfM<C1QQ1FKQo~;Gg7WW-MQIJMSmx^Y3WwzRGB7_*Qivk8@E*&)dg&w_km1UgXjL
zbpyBS90`~3rG*=q40_MG1?nz%=d3O(b11*%RCLzA%uR_6r^7x3dQX>Lx2o&f_t+VY
z|DJE=5_)>={<@egOWSN_tov5G#aFA~@oJUKgt?9i%zDn+ADR2#Y3+}SsTUB>-M?8z
z_EGW;PF3D|c4o)j_evG(8x`K{{J2r<!1KMObNZ#`vYLs$?%uwNLFf3Y+X@~_+z&|h
zJeO$rJMHz$hCf@?(<M7|tXy7vTK1n&A>zOAqKuG54GZUV<<4(qD|)nc0awgH$G*mk
z+&sr5e%v!Mye9EyQ|FYH5SFg(AD+%M*pln8G4AZt!rN!8`HcgsKHRugW+Jd|*HqJ0
zarGifEXP(fKM$4&mVFlSi>-Y2#r-xx2dA9h9q*K%rrYp5bxBfKb7^01-0Oy|bDAda
zWN)crP1XP7I7P9~{9G+d;okLg%;&VM-SH%@dTM!tY0cIXne+dLA1HLrZrVKeSdh-0
zY&-ew64wPnx@6<Tt_$YwdG~o~>&|U=${A;zG5s#&HeDiO5r^eXd!fP=8C*9W$E*oh
zJNM^o$;)oDI-R1I|9TnnL;aU~yP0S8j@ntv4;7>;2^`>Y)0mgB@8Y^R@jLtu>p$>p
zQpi8};*iLUFU}4d=FZ*dBFe&L*ByT4L9EaIb8`0*-`Lvha8jsv`?GybM)dU!*RB-C
z%iqjbcv7Y$^-9a{`QZTHV9i&>{#)XHZAlm0nf2kh)|UK|eZ9XJSEd|VrTxOyZc?PS
zx7*%>`lqhm@hvUxJFH&*_W7#Wo-6EXzQ1_U<rC2Ovv`J9-6`8gSwCO=D{y}CdH=@W
zQ=U%Q;(p~C=ls6iGxqGcFZt7)EBV9kZHd1-!c6lIzT;c|S7Gh*bxw0@MARFn{V3bI
z&OfcX@5Hy=AK7&Full7UZt8qQ*rzUliB*qr;sK2r&s!^xK9M`csc@xcnb69}Gi-V*
z%OpcRXZtTXdj4eJ|H-^@oqyimSU2_i<qx}Rmq?s_8Mrx>zklnb9d&wDbFJOnrmBC|
z<(?`%p>K}$2N%zE`o%wYxCq~yrP;%>z)@uu&&plD-rdh}os;S0YIm74$K|h+?Zx$X
zn>EWm#$_;v=deBD+4#X;Bk90Py*^W^7P0lkAD^U{DE-aerg-GYi>6zNJC4tY3b(!^
zwYJFjVOq(qYTtD)*PT2e6{PV_asRA~Wx3Z^EqndjvE}GB)@^&<>|VL}lg)njg?_8o
zEjiFTE4?&$g3v^sHHJszOC~Ro(Tb1Hw->tnzkg!<B5T1z|AbuljGrhTUL(5x7w@?b
z?ePIS4@_RZD$lscOX%B!wkrp3708KXM^+|WIAOf>;fz~GcatpZ&Xmt#<NU^A*VXED
zud{i{&u0s7b3H9e=5?KaHTyE-?Mdg~XXV{?iC*e&a+aM%*!bH2iBf$_cfQ}#G~-c|
zqm8!yF8A$g|GeJA&||##N37-=-={Y>b`{>L%$1jQ_fuH8E^>+c(z!eOHwVwz(0fIo
za^<hEY2LTYeYCgOD%6X&{rtt)nAyy8NqeTea{+64$h*0(uHX4LU0c<rO7Lz&_zuB3
zOWR9x4fAwQ9d|pb=h*#h%PxgF>A1x5o%$VW@lT}v6K}kJc%oY5&O`Cz+QkfaU*{xV
zcz$5-X_G5H;%;nvel57K856R?d*}8{pN7}Gj~DEXt%~whQ?hDV-SmOwl<UKF)p@FJ
zkE{8A7T>)kTD|r3)g@M|7)?1>S?=TY^j76_pHtJiFTDE1L%sz?lV832)VN(D>)!6y
zS8bXep4XhUOl+~h%_Cb*M@ci638WQ=UV8RcmidVPi+Al=(vd>3HZubSFC?ry^7YD1
zmA}hR2qv0{Ei{&kvAg?Y{@*ew#V4yuosTX^w>0ekFeU6s)fJDI-;N(mpXOYf5OYv%
zw#??K7MIgQcO^Jq-Y4DI``I^hMcVeBwH*_9H-0MW5Gi=)S`?niSblbsSLZbL-BF&o
zS1-;p@MDeF$(xaN+^J7fvFh^DNtf$3S^k^%^E6wUy!Gvl#)UQuD}TiA)S4-|E$`y#
zc!v|8&c2C%roZ)ZNW%u(kBY6=EWUj``TU@CdU=gspW0DnVevu%_NHrl;{(6%Jp0kD
z!roAbUpHCvb3HdRfAqD>XZSL9Cl>gn=-)ZBi<_rocS2s+JLktd+lpE?MJ%1Y?x*gJ
z^_xv^+Z07+&G?$?dAqFd`yK1x4M}GOX3xm1ayRF?IO(2dw8L~uox}b*9*2yw9$jGh
zmu-=gFSNFA<Lx_p7bNp&Cj7rK&onl8$}Y}!g_IZ*W<ilnuVM^*W%9m;_Y}z9t^3en
z@UV5uk2{O~lbD^I`{t>A-1&Y{^k1D!=^L2`_sEs^O!L#{xitU#rqyy6U4P6txbFCC
z%L0W-OoBR7AL?#O?fRP@r}06(CPa;Uy2-0uCnhPlhh3D~X0v~n>9Nj*+QC!I|6lsD
zDDmzwHI|>ZXU{+QICD~4^Vc&<$EPaKzH#{F$0gbwB{$TI_x+E~eH&rXUQ;IE_rTDS
z^?AY}IipQ2`sWqYwJI*AWPiT+<iCnKdrR@@XH71q%Q!zZ@0%dA=r~8}=PP{9eoH0e
zCeBE_yS+r-jd9MspI$1jmg{|=^2*jWR%BABcq4nPFl)x`f6Uu*xP-e_FI$k)cHu#1
z`s^zle3^ENDOdO0cTqhRWsv(dId*Hs41Epzw_heFId6U)8<1lD#jMiFB+XA}*{X;l
z>33;L?7eGd9-RC}>*3sg8y?L+%Hrox`DjCU1n1R-x7{a+bIxBU+cQ6X6|-H~@&4Ml
zJzKZ*@jtqke~mv-OmfG?x@R5h`@RKjo*py#$KEFQ%3r33rbRA%d1g!81^dRMFQ46d
zymP*xq`BVng#MUs!CzWqn!`fvqm|1}E#vv4mnIhRKU1bL`p^u&hEE=ec5FNn%12N7
z+iuI4D-`tm($cL9-!s`(%Ir%_-6pVAXyK&1?4$ChB>wMT9Bj_$CbeHy#wgLM`oYHB
z-1%1gp_g0L1f(q%?D`sYxNp;q(3+!V)q?-^=7;`{)3d7IrmMVAh3`V*-@ASqHa(~9
z*eza)na}^Q|A|+S_S$P5*Mki%Yqm#6U5U;S`?$JC!mR&`?9?^e+B~;?-nstqr(0L^
z%8xv~<NQMDmDtbO-%XA!_KJPo^eL$8v&890Gh?17UY&ineredu%VCb5{AiWwms3gl
z&re)kuJi6|=xo*}8VmjFl&hPzt`m4RXZ<SW-@SrA9z2saJGO?SsNl72bis`_sjn;x
z9VKmR&Z*|jR&R~qeN_Br^QqM-1!_O471VDpoMR(Uz9K~>z%B3Sh7CeZ{@3T~pNigP
z<a}bsjY-vC#TL9NE4gxzW4U1$8&{#xy491mzYN<MVAVdWx{YTh*V2fqTZN)6!VkaQ
z{%z^!nF(FD*DsyY((W0--Pd3w`N^cAxUDoP>F#=opdL4uHS)e(Wm?B`<PsPHUAMpZ
zskNBBX>(Z7Vs3Gb{kOFhn__19>UAVa)%6GWha?Eixxe;=N0o!o-91I2Y76a-UboPh
zq}=C{S-8G4XXepR4>Q;7AMGsOGve-NS!EUOHQRdD;47c?CZ8=j7qg`IUHR$w;j?7W
z@8wI2(yjE*ms=|M$Q@jLU+S0K_Wuf(Z%nc-*uw0&QtZ*i4DF2{_Pp3t6LFpQj;3oU
zyOd8=r;GdQEf-R^e&ka4xLa;t$dc^N$v>Cewg~^H-#xkHu8c`V^O94aRxfzHVk@ib
zcY}-hQErJ6cFvD&&z}#k{!p?@zMwbooBxCRZ&u4$AN!S*_gc$D<GBrAX5^)L8yC)g
z?iQ%C=xWQ{Oz*4i4TqNZ${&0COH=f9_98t)QLUa2e^Olb#qODC@s#V3(WR+EpM?w~
zCNOijWZSiBT|Yki>2$#tV&a$dHvXQ_E%<J~@4PGTb1EfP3M?yM9m9N{JEm->OHCNt
z|8v(=&zhfdK7RLdm(YjlNBN)4aY)!K#-7fjl72hoT<DcGuZunJ&C8wLa=PV$+lz{6
zY$5-aX?iX`5nQ_O)I9MIC+=7%L>vo$u!+l}Ywse9N!16L&5rzY5=qtFktjd6H}-a2
z-|S^MA&(gZPYIl`b30UGbL;a}=j8HBzGlWtCdubK{~`XQ`q@IgFMs115?jn9e^;x2
zusPKhXVDWL)?B;J+HaT1W<jq{au)I}+uq$bjgeg8>2%=9yjc@Nc4)iyq#ie2qMqEr
z!TWf!gu&U1OOF5jzEdei<bT@!cT!Ey|G2~~oM&R^YnO1Gm8VoP{}spN^HIF(HyGR!
z|Fd~JpZ9ZV`((GzOFN%4)K$#CofdcIg0^>?f0OSg*S~Ql*^_cV*4v-BufF3>YWq!5
z(eMOA`Mv9XyDR%!rrq&Y`53d(Lai?FMBMSmvvc!JgM<QIlot8Rv3{FW&%2@_VY0c^
z>1A;)Mvop{nz^NNx8%y!tVMDnb^ncy^380}{5nmcqH)1u$>kP%eyHB)=DTul#(bV-
zJabR$@Wv?x7c2;3sgP;SIC)xZ!G5tPv5Vd%2OP8zy~SGgWASS7ZIf@_?|C9;(03|9
z)o<#xnXC8P)&KjF{`Ag*8XJiY8_PR9Gp3uI-E3F8G+L=thh@dob!U=XU%tHa=Tu1h
zr(oXfs(!o9l{|)P?`KSQU8TUgy@cs~q}VOBpC=y9HM8w`!>fMm%9D??7tj0|wc)tt
zwL0^aKNSD9<<H(%-#Bx2(SKdJpX;}2p7;9xWsY#MQ<z8Y>?{#0!=uwzujj7{Iuqh$
zKPkv6R;e|$SL>o=z!{70^|{?r+TEsynI|pwlX2kmndKOuvN7|<#gmeLi+fbAB#BMG
zJt^`Cd;C9_ALkbQ5??!Gqq)y%Ddu-QKC$-C45FHr7kH?xc(Ch(fL-sayZWn^U-e}^
zm#bZuHoYNaX?QjFTK0=}Yra&=XiRgE*~sBO-RWa_*|)5H(i1W-Iw?q;J-JGE`l4Ce
z7TtU4;Zf56!{tKn#{54sl%6a){>f9-W+!KQW=1g6iw3RvUoy^=7mIdXs&6QBI4bz)
zm#BF7>zR!Y<>zOGhxc!kH#I+z=fwQ=ZJzIRpD&?Xz8NzqZ{EhjA+3@=FURkIwE8Sg
z$tAUuFDGOfm_IU#s;!lqxy9HnOLWfl9}QJbfj@Xm@94hqk(+YfV9ukObyr_J+j!gd
z<CG%x0viRRzc)^~xu52mmKAaF?Uw5A2ad*GJ<NFL@vXxql3UNlYfYUeB=AIdKf~@v
z+b+D{#ai@n!9Abl--356*l5lsDC%~?_hFfi;`Mhce<iKrusYTedFsA;+b)N&bEzzw
zbxc&HnV5tw^<57Atyvlyv$dZ~U{3PGhsK&EGd>(SGvoCONtsr^#TD|O7$W7DGd!L?
z`OibKC;^U3WnZ7>2w5E5{8iJ&Nh<mK{wkR%Kl_$luZt5}Gx-GnoO5^LE|hfXmUz8y
znG;<UHb2b#>gj7an;v)lf9SBMQN2~ZiTkXe$)4k%Kkec9zo{|$>>};giqno=+3S?M
zKd1D$g2KEIIkCE%cFUZV6{h#6SF=UPyw{4>6AYi$BG%>qFVJPF*$(x{SL(`K=c^xw
zJ=K5e+tIhnU>&#ij~t$r0iVwc^IW%f^*pn3>7|h7iloPr9&gKje*Da7v+nnC2S1y7
z-%p$#r?h14sWn&16TWE($(b7NbmQE5xgw|TZC~dmt^3vQBYYObeOP_=devq3zM>Gz
z|1oNQwsmTauD$x(a)fKX?$UGRh)OZ6Zap#6JCW`4>AHf49h?=aQ(7+0e4}zLASBa&
zhu+5tNn16KoQ|&h%@V%P`jqdJK+$WB&fj~!r0DEg$-^7lc}&~!YqWPjed%%6i}!x(
zsa)7=l=|~yX?eH%tEe@4PZ&+~v`@-sweM#t$YM_U@^jOl?HbC{lq^2WPhvS!9~M$^
zrQG?!y0CYXGFwC^xwgM)uoC-~vG?cmYwAJMJtnDtaJt66-I;lY;yXjuy(juZzv#LD
zQ5KWaVmju-e1Kt9ic+4Y(mwIB$g>)mmIvggSo|{!)OBZHzj|-%&)SI}n`WH5$3FM_
z>Pr(J-tAuB8O6Ury`ybz=I-TZ?OgPYjPBl2o8$H5*T<q8lP+hS`taXv{$iDq(i7Yd
zU%2xv5HND|xOY-sI4v^outM03Ppfoira!sV@kynsuJ-W6&Bk?YySd+KJek+?R53oK
zXm^jWyprV8&OJQt27G+7jyA`dZc6v>bay&>X#FA6d)`x|7fneQ2<0rPWZ0Gxw=P6r
zj*ee`QkKfK*;lwr_UCwpsX2Ms-C)0RaMK1WJ#z*w7Oh4_)_y--q3&&pj<OfIa&(^@
zxVr6-bVSILeHzQSH@Af{8SRi*cAGsj-gsJn=_0eOY)NJZ*70s&x3Cvr)P3k>zIvK(
z{so>kcGf$)q^HST{e1P@{j+m9Htc*8V0Zt0$^61~S8Ws@ywMJ+?qxgbb<;6nYdPx?
zp@NQ7k8&SwPNQPSWUdb%xY*WY3BIaZnHekX`@zu1*89WUKSyV%Evwo5l;dTi{Osdr
zX1R!OoqlFY{H2)U-MQK&AuE%cSy>lH&70HmW6l3J*%epvEGL?8eEjrV@_hR@AJ&VU
zf4pY@zw>L{*0S})yB@QwG1_)G_sHsBSEpV6_Cb9PtFyz(kfu{7w>;e}D)}|A_vf{v
zpQ<mM__<blf_7EDo%<Wlsq$S$EQ}2-?=+_8TJ7c16S`n6IzLEd276+birvnVgQlN0
zAK%k=xMi8qr8>pWDNgfN?H0DRdEIxw{O3;pYtn9sJ4NdzYdgKIh&jMxc2INX{NG!u
zoer)1<iEnPG40>&mH$P)%j#WxpAbAPZ=2qak{hq~txH{2S5UU9Zefn@x|dJnSFm({
z>2lq&>3;M-7M~-T4}`A&DPMX+eMRc7AA*+_oZ_kdwtT6F_|7+lpDpwJVk(8c{nPrV
zB)!6A@}9Tv93JUBz8l?pPG+HQ#i{-)mzEXV95wTu#NHdTF<Zd6BBYVk$M#_CD)#j!
zCr_Nkvd#a<;RK8A$6gCvHGi|W`g)z%naPuRZ=Bh{6m#10mE#2?x33R=284fKU3@~s
z?2Y8)xBoNwm*3~-Uu~Oa_xpiE-PeD|zDFO9t+NX`_3b(1jfu>EqnC2sU1fi$^VSc}
z`|YRiJYjkw>E+}Ys4L{u`<}<_w3=z3!K1&`HHDK_uq9Mqe=8<AcjlDB^9e?B!X`eY
zFT<vM*z#lV>D4!vGnRaxt#_}`j(O$*%|Dp|93mC#?TfycNCj<uF*)z2KyFNmL0amr
z57Lh8vW@?iX<dB#^#7e+hA&s@cYm$Qi4%V$_{2!DUhUJpL+wIWV$$T4IK&TWOZcCd
z9IQ~N*`mH&W=+6adlwBimH1<Sd&Hyv->8|^y@h|lf7OFEA3r^)IJfFRESF;NBVj4V
z2`$3<6ZrFjANpN?wpifM<6XReWf)&Kr_Wh?Bj!&}<cXNP?ds`!BR5*GNIni@S(bBK
z*0OZf_pgWlyj!(=LZ>@hzi(M%<$0yh+T%Q)zW?k#39S!YaB}k3Qx^+t)_cEOV!nCK
zodt`V(yT1|4Zklfn`ye^)UuLI)-q2&vgxOtI(a+!<r|02e{QoTvpQFOxm^5L=k8hS
zRJ&JE_U4CAcsvR<zg=~9uYu^2<NKC>EnK15Q?N{EySv2U<czcZ>TC92PW;|BuZT_P
z#OY^dCHb#!>hE~)*sRpM+IO~r$*a4&uCq?@5>UO9tKXQp{+652jn6fU1$OkFuS_Tq
zFFyKHq~OGBf60c^$u~cq(q3L&6yWn^tA^=q2gg}ggC1AN-e9zs6)h32+c#y-m(_n%
zBsRV{bU1tI_800i7A?sLnz`@m!AFJ+#uu&L)SYMKt>E36<6I{@qad?+_sLLOjptE;
z?@xPw^?l67t9rL=S_k)O-g3?rUiZ=&IR4xyeSUI#!vDxy0cFqr1o|zxD814n?OpMK
z(xY!KFL-@v`uUc6hl@?gN{xG)H>MwBeQ(Quf5kd|-iaZ1ii>|8+<Lq0%_OTA$_wp#
z`%N2dk_u;U5*Iqn-`~-_=KK8}UoY>IUvDb^x%rrDUsdCSRoUWOPsqQk)cPAB^Tp-(
z-ulTej>uU&nU*W@<GxbHn#=K43YRO{&oAd#Jv){yzn^*agBekM#!g>aEe%&@@NUr+
z7T4(h+%Nvs-0Q2n#}(ICFEXQ(ub0SJ|Mk>fWV2(kpi@Hl?HI#w-wWj>Q*;*`TwA#<
z>FI&1`#*h|t@O7niQ&)p*hW_;-{X?XmP@aiFRdtbNZMPL@~LIX@2NYIuP#3I=*_cq
zYp3)jcjxV7ml9smGm|SSNi$r%PJKT|ke-{v?9~h8_0m|H&*ZLH{&cIY#nR7{XDChI
z|K&>=hnwc++wSjvAFB@PJS+IV^sGX6$lu%rlekv6PdpuWbD@k+rHJi~lts^TvR5oU
z+V;R%Sy?z$bBfQQ+kwkY<aZU^ja9w#XKH%Ex{yghxndRkcUCfOx1SotX7lLE6VA(V
z_O|RE8_K)FUh_@7)We-!BmBrIVQ-?u#;$IOQ&L4i6Ti$1lS^ydcRR{!%CX0(@83R*
zd~LP3_QLf)$tm0QYVs$2ogUKg;QRd$Zaz!aCXwE0sp^%vc@Md_Y*uY{Nm_XLqD1Gx
zuWm|0_nK|Y-`5&g7#^4&kpEb5`oyMw*)-v7zxX3QqMI!}Im=moMbD1yb+YcykXo5g
zuycD+v#+Pv2aN(vZd0$f3v!aS3;i=xS9#X3;jJZ`%kKuK_3Rm`O*>L8rYo}AJwHGF
z{IgB7l+8Z|h&JE9m2}s@I<NWZGcCghzDGA{oOxf#!Nt&@`ZZ<4Hr+K=8+~q99^QB_
zd_lkKoJ*Gdx}}>;j!FNonsSMClRt~XycOlHyB}4WzSzBWv7hVmGdzd-R@zK5h!<mf
zQ`Plyg7cx!Pp`h)1&2)W{J}oWX_ba|{dR$)6}na3%l7;BUt?1{x@wAd<KE?u`Vs;e
z-^E6j|Me|RN|JfzW^zA$t?$lMrp^r$>*mNEo#A^^Ln&cy*wn{@EB_duD8JH|9rG^o
ze`giL_lv<o<!X+hM{fHsF<H5zOE~z9ovuf^?+v3xvW=w|m|u45lyRQTH-30hw(nG4
z_9=_+XZX^-eJU2adQFwr(3YX=xyxaLNehZsADD9Xl}x}x$pcnNWnD8$G=6@poo^Xz
zH2q;>P+*Cevdbb5+a23Ks?4do!&@z~Q0{IN-^)+;Cg-TwMjOf<V_)#2GrB||@}G>;
zh4>Wqt4R$@I2;7`#Q)EKs$A{kBD4FpDd*Il6z{5uvzrRDuKl>Wih=8=<CT)xj-9W~
z`A<$*FMeE1Q<AleM`usW-7xo~L2U`V6_X}~v8`lCiOM?M9cdb77|`Vwd&<k_{U=!+
z3!cENeWBtOx;_e;-#Q+)%vt6wAk)vhJlO2JxbF?-J&Vdh9}7Bb{qQ*F`*EhahGa@f
z@Ycr{e?5-6d)dq6Ph!gU&iy9R+@^P{M3zof<t*Xe{7C8H=X0A{r7f2HUA#u@;rxR_
zyZ<`yx+PkE*d6?(Rxx7xog-OM<u$EB&wICjx!QZEq+!pDH(WBaD&BLwvizppbzd=m
zee3PTeAZ9=Tfdh4+$;B2W$%~gKEaQ=w_Q2(Dl-4fx6*^s(srl3e|T?=OzmWjZ~stK
zbzkgHx7y+`IT7}Sjc-`)3u_+o=ia$9Ib-Ac6<PfM%N~A<d$T|AwI6?sy`h63n`q+I
z?@ertIXn1c52qO#Wy;MzC~%<s)!!-WUVYsA%H~R^45wZ7<Qx?iIhl%nj@W&M^ZzkL
z9T76=n0D^<HKynL_K8+S6kR`6=b>;Z;_CH7v%lXwA1kqMm$YkaD9;pi+h>QAr%LVJ
z+J0?%E6dKyC-a#7wzzEXe=tFJo%hDFHSYv7^L#h0{QF_=)yLa*EA5mK<PF|vpQXDx
z#+767l7(y+i#A*q-St#h|J9Pd)<iFF?x%@<R-y$0-uHs<Fe?Ucmk>^w8-DEZCI-e+
z^>NoM7JF~G6!U4tlIAu4mS0*N{AbCB&F7w^t64v7sJZd?#T%ojwY}-_Su&f2o*mNp
z^(a*D%d9;)FT1_vr!1fGIR2LU!h?Uy7D&$3@OE7F#bu#-wC!(}N1?0sPnMs~|9H>)
zGwb<P=B#^MJbQLWSM0+}GP}8|{e)k>^$@6h_PC|#HG7QJ(SWbhDptsxs%l7LjC!KI
zMeV@xUnjSj{p!>{{aM1m_oDZPoT<rC>DPUV|6GXq7oet;wCeR=M!_4re^oscOrLbz
zb+pu8%Vx8HBmTyZ$&+`Ba|^xkT@}^+r?MtGUCd9gRLZA(GLwzPrwcp_HVWI{`gFBl
zz~0!wT+8<_W8KA1`!spCHLcisF8)QHsnQ;=N4fr4B{QsQcV7BDSMQooSj?95t;e>`
zcaJDtc1k~6-Oh(0y<)-EFNrY{o*~_7`hjl^w<xPUK0kj}_7kfI!Q#R!dTx6ZW-qn+
zas9W!ZrSisNq!Hv<t~y_|K56ix!Xu@O0oDMi>q@hg=Dm!c&elXHf7y8y!rR+dd1~;
z_3Y1nVVmcnu)HAVR;2yW|6yg1Ke^ob*YrJ?i_`MkU%npedHqrv<^Lw+J&#YDzUc+?
z%u`b=n{4i~X%t*}7G-~JtIzCKrQj2@r+Tb=YICgR&g-;aSHE#iQOr3|I@gEsgYx<A
z*$Wwu>dttoGEL0v=GQwH&gAze{ueM(spndm!uQ?Mt^Nqhg)h<7Nu0MM_FffRA6@xj
zV`2dNqZiUvE_2Px{g*cWxf`djFs*an{^gCu$~g|QE5xsFympY!HDXzf_qJ6mKlU8H
z7jAyBAc$p>X?*BO$LVc_LJ5Y}A>tdHUWz_@!+82-*yGZdg2@cu@*c9aS)a0#DbHB4
z$xz3<{(^E`<j0z;SEenR<tXQt-j~*YchAA(u1A;O6?~LyY`??yzm8o@NZUvFg>*!W
zh|J?XhO;kQTAR%9PP(eiUuN-0D%`{Sli|^6MmP8Liu~*>iZ~y?FrbfB<x^#S<d14o
z_sK`am9I7wr7dc6-lMeQra<5mlRJICmuBhByUEX}%BOkYpShfFs`&GYe_`KGGe6M&
zzVEk4Y~-iC8+UYOb@FT3uXvPb{!cq^m9@fhPkFzv<j)ts-CqA#N1xAeeeI&_i{q#5
zHGSLqSHvmmi*rit(s{3BKdsO?5Ycf(tG?S`jpxEf4Ry`stU1X?x66c@UVl5M*#Ehk
z%%R?gGj6xK-(UF3c0t(wyria-7N3o))-06$5$w3}#?}R=5@vHgdvK!1fBTsicAh^=
z*<}yB<8JFaB6sy>_nOz?MGao*vm`Ix$~vn#t<*H^)8C|vktvO*Itx$kh?^EuI4#}k
zh(Te@jMFK%XYb~ZO<!c6tx%A1#HaDd>AikJd)FUl<B`x#nESeS&T>Nz;n)TF8O;-B
z#9BC|3w($Pn_p~H{8{xBqoTQ-$NTA*4WITW7j8-Tw_)9-t5;bLZnsWl6Z!B?__gWv
z<#SxJI(OY&9bfm#ZR@f#+8@O}xhcD!uupk??fg&q*ooV10uR5N{qvP#QvcI^FK03+
zoOkA9bh$Mn!a#ldWS3jf8;k6F3%0sXJTdjl>}3*h*RNbTlC|WW>fJvMJ70e|Yrjn6
z`Gs$5)5{Od36|5TlZ!amle+g`&gVpZcA@2+^W@ik%V${4IJ@|Ni{0dFHqqywG0rxL
zou0kI?{c!+jT*b-{EFW;y)`=PJZ;I@ZVeW`$dgttJJ)Os_s!hSRj{Q+$i*Vny?3pN
z{G7QdJW?BYKj^JHk>1EZb>_hqqXdo}R?9M8<;_21W0Sd!L1Xu`?)mPG&Os76$J-P8
zpGqHe+$^TGb*|l67O!k0v14^!B4!?`uPv;Dr-g@o^-k@t7t){pbWvww`?mk}Vg=cu
zS(|O2@o*+TN$FtT8<&$biF@mGwaP<=ddDVT_39VDd}p@xOOfjrC*&Xd%z5(3{T2K7
z{&y%;WG^_)f7Ig8`#YH;`3d2trP<rK^55AXO*4NU-)nq#nab3Q$Hjf^TFxI^d|GMO
ztjSxMev5RuAHBL&^Lld6x4qVn>)x29bSVTSn;-u)QKxTpM6qYk)xv44d6FBm`7@gy
zwdOM45a-jF6sWU2@X@ER!!xfKi}oIy-&LJry0_MMc6QSrk*~+r={t0^7bHwO;nXIa
zdipb~)>N_SR~Ns%(<YmJ<B~An`{3g<$|F8q%)D^n&5Cz_d2X&r>9Sm<dAfJ?zADDc
z3TFa-b#Q8PMy>tyaQ9+kLn+0o7?z~xb2BAg9qHDYx{0Ot&<#^nhi{^HmcCvwBdmod
zW#{eN*M83KH{9>mIXPAE;`-SK?|l)GzgAJmU^(yItF=r0?yuRz7^Ul|&9>rE;uQAB
z-B&NIoVg_FnkL7NW9$_b|C2uIW;o9at2+4f$K>E;k3YQo944~)`u_gL$q!~|F16m)
zd3^TXf5N6$A|B;O+`nzNt!uMQ`TzfSvyZOo*v}m%GWo3bioh#f`rmY}EHFCj@O%eX
zY4jYgX#tx<FMWFT%f)kEZQ3T;f4^)_?%5UF+x`6Bn*+N(8wFI~Wl)@ERZ&#(hATAU
zqdGV1#NeWLb-LDHSikx+FItklshID@I#am?U*CP$;<`Vsb62F7vT~x(1xLkHNx_(l
zA3FK0f|kqu*~S=AczbJVW~1KQ#EBR7t`xKX<ZH9;;(zJ?Qoj%WTJ>kgE%jct)6Xrp
zom<oJJoY*NBX`FH1ICd5+ARf%o2S2D^?JR)Ue<SY&e@W0nD&Vz9ToV!Z<&wtmaBGA
z8O5ApA=P@jmU&GHte;od%Xf`q>uT=Zvwz9NrmZv<3jcec@ATSmHJMYt9xo3(xbTFU
z>jaK>?`&row1!0nGw}Udd1bv(``w#&7cWyVe{}GpS;LFk*N)GH4*c77+DkCi(vLHB
z^X<iA|J2G7WU|aQxqT4bt9x4M_zTt&b=yB*f>mx`dR_J8`<y8g`;OgAV)*<2Ighq+
z+EfLhD+N85&bK<5@|=9DFs=Md-cy#e2%|q`j6RE*U+r2uvFnPbz$IbU|IcnE&yDZ+
z6aP3k!avJs##Gk+-ogtka&8iBLWeU>ZFu<a;gsgn1+%Y~{hPYq_uul1clu{cpRn)5
z?hOequH~)&%`<Q2DG{4L*_LHZY1a&yrfo=@cVo+%UwM9IkBugZBm^)?%!ygF=l<y<
z3R|Xd-c;Rq(R8I-Ti$iU6H<2V*O#97cIL)IYxW&C?A0E$WzJe?v!eXnx49lVRY9AS
z=d&m!FM05JvF!AEt^2=IE|*1}4;85R+_B>L9fJofRtL;kGCVDN;>ylF_i0*EmfRzA
zK4n!>m*>_A`_-Nl2c;d|6}Ymc?Jc`rnCbZ-vDX~>Uv%fK;E(va{DjKi9jiZGmpC6>
zaVDy}vS^nmOR(B}{)!2`0$2Ig<$ucj#`MRws^a%@umACFj$7oyl5QkK)ore~DOGg6
zyG?BA>+<P5Q*JCZ<GyVn_(Mab;<UVI@1^*QZCj^{eE()&{-Zn8ym#^TALgPLI=p&c
zBnCF@wEMj-XwJcT4D)2Cy1bk$_?y-AZ`Y>N0?YZ1c>dUbIq`%{>h=6fYArJj8Sb<%
zQ8YUiyU)1T#cVF$<=~u8ZB}RZWzBsnBDHp%r04-R<H}S+ZuYKl#Z!%IB0^T5_VR6+
zwL~gE{rnUoOR*0&A^Pp}cH6b{UGETT`!~l<U~!Xzb+Xs#sb`*8bH`6MzyC)p<J2t|
zH~sp6FQ=@#@|I|yY7=%`(0E3~BC2(wvB54K3)8;aXZDA*MVW{vRKKjhEVy=Cig=CI
z-s4K9N*yW(y9&RwIey!)vgGQ9SJEze>v^s@oOm3{vg89N<Lz~-viF(|)3|eTq-!cG
zy+tQ%*#B$GZM8p)znAWQ^{T_q>hYIToVjPp&%~}-)YCfO|CfV6bRXNwgtZlZSDT`A
z`VM@V=OME`anBj{70s%#A8soYTHJOxFp2-h+qVe}ZjF)0ZLifA>Q^N+KB!joN?sV%
zma;HQK5oJ}p}oHDI<jH{N^GBZeGUs*#&K`%Z(b9%a7F%|6AtRk<m2!xOz~a1d{ft<
z>X}*ZekYd&y@{#|d9>`Gce!lt>q<i}l}|^P3Vq$Rs$Q<z|5k!WNv8MI;+$8yx!J;6
z6HE%`9!|SI`K)JHI7{r2IHk9lyN>TKUFx%F&4Xt?%k9_tsPDh6yy-&sBWb6@cY1s{
z(!-QfGSjaz+~{s9(hHiuD(}z=qk#B1J)sHF+RoXsX+FOuMl{(^aJLLxuJ8AH;i2r`
zB}+?P{$}_+VAy(dv24v$=f)r1R`M-(%NBi~W>+kpJlXW)6i%;`FW=nLU$@`r&8MO_
zmoI0Ym8p9zp0w(js^inTln-kbovicw(wX|`7+ZC0IgcC9%Uu)1CkkaPz4^X%Mw!9e
z{Bp&W3-yYE*XT*CaVz6K+}iRix-g6BV6OhN{D`MdA~rBt*Qm<)7Ck(*C2Re}#L9{f
zOT^h8%qYn|{D1GZU#DOH*m8V3gXiRXCl<?G`!`o-%G|Zzwg|2MFJW^@EpSO#@}`0-
z=Z>8@Xb{rZCChT+N@?V`gF)57)84uTDDHZ7=*;~i@~2Bx{vGz~DHD4ge(d(ifU5lV
ztR1sfi(e|7TrDSiGv|KdL!pRLBk7L{obL)UKW*>HRCipy)|26gn}V^S?BvQ9k9bY1
zJ%hU)WlSY>d^7HE)Dix;?@&4KdWCY^gHw-d1#^fL>OW_$T#_RF;rRI~!AqOV%k5WN
z?_OeEbfIbPnHGMHi^*rUx(WGa-M-#%=!j^DQln4h_qO<tnvaB5@rgWeIB66oU*GP#
zMcZ~4*P)b`ol6XC{*?Yd@>SyI@utlp^PcOKS8PblF_Af$>mMwie8b$^>v-m&zaLh|
zPV+r_|3|>=P#Lvt{sH~lcOBRKa<pURocH(KUe4<{aL8)k>V*PJV@@nQBCD?|`_8jC
zT|2IK|MnffX6F@dh<u$oTT1MX<`qS&8Lc~4xLoq?-`*R4bykA*`Z!H_vEOZcJdbQ9
zbuDCNXlHnRXO{V88H2#~_AWM+pJ8Y8wAL88f0}Z0yIZx~YU8vj{qH|ib9igbmTb-4
zaIj)tq-4v4T>?v2bk`Ln9(`cNaDGnSO6H)vu6btJpX;WoiyOq-<%^dym*!Q6rDvSj
z`H11ghTM%2g==yiC*8U5AbaD4{d~(VGV&$v{hs#JqkH@5)$6`0E%IsRy5l?9ckgAv
z$y-0x|0vJhG}W)0&++-=<hdW#s@AgZDEGOWy?cY|#G)m>Iqd8kr|vQflI>@ICjR42
z@cUJh)>hu$)08|*JzL9c%fCrHiOcrh3Mx4AQ@MSo?}xhBsLz2rxF>7)ZWOum`vvQN
z2FKF9daLyLcWQW+<~?1^npC=;Ax-1la>@2TM@x!Azbhu~x4(Mutf_L>qiHhbtws&^
zcrwmS7M{e&D3Fr>AiyQ3tn{v2g7!Ky2g_^6eQL@srL9Zvj~C`ioPLjYf_{XeCWF3L
zd(QjCf78zg6)ishM)~+;h1+$f)F17<x};)FPxIZ~oENXM+Fy=3w&HTC^0~>jIVav5
ziFS6(s%dsgvfSgE_l8@&uHnzjiFH3y<z`g1zU@u5VpEo~j?u1d5kD~TP?<UZhxzJt
z)BbpNNxygM)|S@$nwCE0VaxQG@bj+OTLa=Z{knAG*qR4Itu3c_ee~J%b?N8$vz}(F
zlJZQa9m%s#+O4&4;^Sk>>fJT3)MgrdxcNHGG4-y%wOO+_y2(%SQy1~lxV9_Jee=94
zx^BniTb-L4W@jFkNX)2iYx}DZ@cH7q0|HHLmo)hv2h9tdV&3kttc$($+T1mv*RFMM
zd*8)$>p-EO>ayZjrROynA9`O)Qo3w&<lBN%xAttG{!nRs@lD?|Es3*Ad;Gr(zHt9{
z%$!TNaNClbf=ah%{;>4n***R1Gqb;LS8ht__-mbHS#n|Z4z06W^X__kThB9?`Jd^G
z)|2OK|0}eO#ckHqYCE@wC~e(3(=lhmrlh(MZOe@>zh!So;X6C+KwEsP{hxrSh@L|e
zWmH#QpWCxfc$NIE<}dDbCu8b!jx9}Hx06R?{Q+e^<q3zh&&V9yGD~^OtW}j$5*ntv
z*4HuB7`?o*W`W|9xgnP?hNdiITK<MTUR`DH|KDO9y<bv%elex0DjM(9zMLw&c;i>U
z(nlZkPDEYpvX?wtvbRC{+Jwpij@hDhIX{YTcxrFv_FyZ{Iq^89$EN!Kg@f_Rk(2H)
zyKD5BZLjW{u`U0xR$c9x=%s7_hQ2zo<jOLI^6-;8iucq#IH39WXzX)w?g_zdhr_h(
zc6{LdzuCKR{+-{~Rv9{2pAp()#O1%jIo!H)!R8Cooy3)n6xSq5<r;BTzN^r*T6N@q
zefQA~O7|nfQXYQ$s#o~pZOflIrI984ReQY`s9pG2qqr(`_M<KHSGI3{ruK99_H&M#
zmTh}~WzF6_%u(9MiexRP@D&L*#pE3;ixa8zz1I`aZeRFv+pb^%elIIWpXUWP*L~#>
z^RDn@h;QcJTYK`QsfMx1o)mwXL-Y5(o*N<{C_06C0~^=!IZ=fkxlJ?0?>pW(F8O)K
z^x{+2a?hr%6{x#Yx$<RLq=MX%uir!G-=42&P!<rFHr;3I!U^uuJM;UPA1~iD^URI=
ztiS&8F0YFJq-3eGUH#W3ZPy9H5o)YmYoD%KldbOW%UM~-s&KkcGeK_sHcdT|bHBUR
zc4r5K#`<cy$VA7O?Y(qgr>Jn1=Z^}u{M{Rl-EriXy%sKW-6D<W+?p*DUG}}L<F1gH
zB3rRKD86I!WhN%g?~_w&EEINL={py+zvpNA>NU~LyOtQd&4@o5W;&UHA<$F5@!jWm
z?n&B98k<@|dhE?y)2F^G=Mn$uJ>zdi_!oA)tNfj5x2{ayKb!l0OTcNCzl*}BrTNtt
zBr{AE=6rh7?bbWT9g>nKW4}m<9yPpU@+{YB|KEMHms+p#=wsyN{`4jACYP2h*Wq7B
zB$Te))RUi{uv+u6<U8xd$uIYKGHyAmHsj3Ogqo%G{-F&8>mI+}e8($SDur`GOaFwv
zHLFUDOUkbMM_e`ysazgWd#FG7C;tVmWZ|GGbH!DQUiGQ$5;$G;=D|_ptEJhtM-^MY
zbH5e!nWz=-;T3<&oG)EvsZ#n{!IMstJfxP{xmL~1VQE=X?Ihm!%K16}Vh_(v#%UJI
zw=Me|U~_`4;?C_wm4EK#3w*6HpZ{fQs$`MFyl5we=Ra#tmmfd-VAe;Gt-X&^OiEu|
zI8)#iI5jjN$@bIY1B#iS9<m<QE;><OJW0#?fk?Qjk)>+l!N6_ydJ`91jxgNwE`C>u
zvfQ!lhi2>To4s5yG-Ju7MfNq^bI$O8zqW4W@xJ;RwUbizI@6vjEbOh=8Nm6f&G^ad
z`I~p03Fr7)%$z3i%jm>M*O@zH|Arg1|Kkl=o$zz}b6GD*fx;F0GIu_g-4@z7<MU=S
zeX$#_bgx%uoHLbqJ#}(iy1U`p`?uPJ=bR0zQCqTR^4V>MnQBV}&DKatMxNe%DS!PV
zWma3mi#ZuTzt{fNJ)!jY9Jijtwb`d;3$eZ{I-}igv+$?W8C8ZAUZ<YA=H&)`xVYi2
z$olE#H!jZV+#`7AgUX^CM|E12?jBN~>G)AH<eftAyZt*#9<QD5p>^!(|CULuGdCWV
zn6^MaFrYx(PT5uQaQ|P<+n$#<?!L8H$vIX2cIsj7v-g-jr%3f{ex3Tws?NEvw{6+|
zfXVK5RT+8Rr|i76^FI8#6lM4(Jb0~0lJ}zR4xDqG1bBbUk9yhAu)g<B+&;4#yepjF
za<24HHJ<YO=Y!T9$<M~-yb@mFRUu|qADJmljrrj(`sLcO>(wz*$=|spC88#_t(zj&
z@>^SQib})x!#+%0`hlqze@s_Axg_H4*SS-=kIvXV-A8a`9Yeic?ur@VKliNEzN~oV
zel7Q>19|!9|8sV~TqrjuQFHsAqfIPt-n>)DjoY@H`9ffh5zk|f2GK)hDRn|;C;F}3
z)oZuO=+B1#dV;fN?!D&w<iHEdCAXF?KQY%vf@PDxSm7^jm&@hXv!6UVcgpPN)>=-F
z6Uk*UERlL&ue)mK`!N4CQz^EZvEdx=4TknPA(QTBve(Yf5`VG(XxJB}$uH-;sDJjS
zTte$dve$%FI^|B`8y=+ZS#R?4>uzJIhU<MoKi&iy+-<QjSGYd;wx!)U3A-nzZ-k}7
z-3{-g&T8I$_;>JiIm=1zE4-C9aQ{`4JjN(xqLkIYu<%&i>RRvq*RM8D`zSqMXsYGG
zsIy)(BeyK6nCF-yFwteNd#~T_xgiRV7?@YwiJE&w^;$F+OGA7?(td?p$rl-qB|J{0
z{Y}4KTU{~Hzg*zbnw6c?-~ZHE^O^bG`h}*IGw&atVa;rsvP<*h7LJ4a-Q1-d=G2Or
zIvksSd_`g0+NApI8A@%fFJy|gwBNqMzG|B++sZ?a;scUj-gf`%>Y#f}>(_=mQAaxi
zPv5+`AV|mILqE%Ni@<wAnnm+uGWJS72;OLPZ)>DY4ck-IQ=Z?$r#xyfd}Vx8&u{I<
zZyt;X<@hAUPCw$^aaLAf$;E_ET<I}YQgUH3S9LjpL{bf}uiG^z^kVH5uUh_|nG*8P
zoSvF2PkGCr_TG&7nAA6C)}sH5e9kUtc*eq{R?r~nGD&@VcH(p8<D5qs+=>idZjKkb
zCK_dR^Jsh1i%*F?#`YTxmv1(Cma^+@&AOHPX{smt#B0v%xKO_P=Ct=8|L@-y=H~F!
z&UDwsY4aBex<&33zklCUhucE?rt|cChASDz8Q6FpZVPpgk~=kN*&m^3=X=ay*@b-1
z<O28_t~FI()l8Uj_pDaCr{k2Ygt^JKvg`^Ie1ndjyt+Ye@%yHr=40&ZP6RL6BK?Is
zw|$Fwaq^y@&w8RtC){|jwUIY}_maGibGzs6)oZlS*fiy$596ULRUTPpEkBu`%xYDh
zoVtLw@tk~Q{<}vzwO4fqBrR#FRupy?I^nC>`|p3YL-5gs9HCe85<l(J2)=PpsB22h
z*F~KRIwE&uuV1lxMUB2p-M!5gE7X5~^w1Qtnk26LWZsEm@d*n=W1l8Gi0xeaAZX!g
zvzXez=NhN?P73|V)G{+!uvnVm)6smJ?cBCZ45tpRmG=<bov+1lXifOjC?h5J9dA1e
z>wa9A%@{A;tM_tCBd^=Zzn5)S&HM9QGSJet<N2v${gYR36JCEnZ+0Ko?k}f474Fz(
zYc=am#0ldI3|&jFMRCkK+IEla<o&4m2dr-$v=HL^@ww*mwhg+fD*|hUW3}rx=RY)j
z9saN*N&iH|7aO((FD3*#oa7D7K6dl6UEdqcEA!uX9<@1MU-0|u3eA(|DlDdX_D^On
zoiF`JJgCA#<uXUc>aL6FTC+PV%D-NH-d^cv<frl|_T28ATVMP2Vx8W&@#-JU*R}}C
z$uXGUEPRxU$7cG=V{fO1$_uT3rxNnfEAQ35po8ytYprw4_j6ynq#C+1`01xNJ-(S%
zXY%V`t}0}@x8}I|0`2H0XXcCf8ccu7FvF}Qw^`k6d-=VJhy8+=uRPo5vbJdP`hVq@
zU#~T2Ikarg&fiVvb|{Ix{llqyp+du(SO1~oo0lb5!`npEa}p0s6%1=~wEB|XX8W+`
z*XiX=;eVd%#`(3ZFsPVh;Cu6r<~2VZGnRe9VJz4G@3Rp~WT?2kt$k})zU#3K5;+YQ
zd2dU5?@3(ofT`~)kN8?ujYDTc;}1s8Sg`lWO`aV-y=*rPsvWAPUeqw2>G;!0f>ZbE
zIur8>)4&<~PWoi`9Q<g{rzmL`AKyRk<h;9*Kac&|)O_-HYEXvO>9Ewg_TPaMFW9lJ
zxBg!zaM;dZs^@{f5zk-G5PT6iD`4Lg`NbM<CZ`>J&Lg^I%lucHv*+Y1b@Thm7a8-3
zZ{y53Gqd93j68vLb8g-BYrT+s!YaV_UL!-^42f&32A8L|l}xQSH_8+cJ7H~9o?O6M
zU1+|7)q(fgjW<&&f7Sgv*<6viOZM}8<L<uV9VZHZR32}Bk}hfg?-oyL-rJ44UQdj^
z6>>s2xOdj}+tXe=Tla$LH<vcgZ0`Ni^Nfy!yWd=x__6lTy&FxOVQ06?e7$(LK{ZEu
z^U7UQd)fX)r|mfrW+vL=&~wHjK;%@4k=0S{t<R&1o_5#eTsyn6`@!p_YI%+`)~T#n
z>uMpo+)gS~q-67{h`rmFJwDCGa6a~7>9-9=>P=a*=e7o@pOp_e-SBL==#^QUja6n(
zZt^YTYGb#NVmj|*JAKO2+UYSLd%jve*{b9F=BD6Ahg%(sF0a~{ozyC0ktp_UQOObQ
z%9|U+uk|c<I;^p{lDX=1!?p*7ALQA@%Vj29V87&bI`me!|K@#5k6)P}CH8W<y@{T^
znuoT<B5zisZEYKLGP++}-7|T{o22jAZXq^JM~YUqPtN^$kcof)rXPaZ^O)K;Xv}W=
zEAD<PdOt&T(UsLKtKtrxI@g`LtiB^8+;y@36A`DI8f#x#x$YDU)L==OX|R65>kscO
z*Zgd}c5Bu3S|hg=I`ikO%GlQ|buhv8dg0!4Ut9I|tl^YM+n|_`e88OT-@E^!SG?4_
zb&suI_|5w2Zl=imX)F#8C8sUDVp_RIwc@v6<m!`87hEW8J}3O;{yWB#;eD42-{u@s
zkL#VZ<Hx<_zuw*q3z_AakhIw2Ec<npRR{Eb{(F1w^@gU;J4!a(dF|P7%-FyrM3BL)
zur)9F=GldBj-M;MuC@L3w_v}E6TH7KdAXKTb+z#1Ek9Oua*A)wOb#;mey`QTe$TzX
zFTE#7hMtRB8`pMgce~d6A34&~PCQmCI+Mh6t$i-fW}B4_LD#OaFdeC0lKx#%NM9#)
zn=7;TuWxB`f1P}<8-)dUGqZ>=Ffed11b4)Qo!X>4^SvDdgYXhY20jJ`hLHRM-~5!)
zoK(Gvq?F{hv78msM{jPM(|q=rSJRF|dyfP#J=u_=UdY3k;@LO##HI-;>Qm-(x!yaX
zwCRag5#Ni0wfEC^dNmf^|9;VFCzr0fxA*(qOLeEnec7k+;r!NFGw()vE@po8oYVjH
zjm^gI_r0$%{#<KpZN1w}B<}X*`>$UY`Q>M3-b`ixkla7lMs}Xx+QW-0cbR@S`r-Zj
zQ|0CM%d;mb?`&S)+&#~A-n-rUe_9uZ&fM4h>8|WEpP8?J+%5UK{W5ozq2avqy{~^h
z3ey$)s`{&Gp@WjdJLxNaK5PBczsx(jc&B0Q#|pbhkL6<d9!>Wj_Pa`ddGp9APig)o
zZqDzIzWjI;l9rrQ{Hw)<{jcsuz3FHAb#56=y7Y-7`{JUb59+s0@$dh#V{1&E%lYpt
zdoEtMc+166-gC)j3-9w`dl!fXIk5R?i&P)G9Q~z7e{-SXAMa)+;iDJ-xFp>@^6M)D
zQ`-4_$@A%qljr?a)@DwuH_&o?e)*Q+%Fdd&^J2ovRf>BRtJmDR(zRwUSMRCM1yj@G
zLqBc3^x~Jsli=2)D`gZWyPL~OpMTojdUWC*bFnX~e@-S96-M0OwDrPN2@kQZs<;?)
z(}fLHuTruZF0`2{a4N6p*_z+Kdb-sW%>|cYbgT30o(i02TyTAx%!Z#+t?z!0wvw^U
z?RwM{{@iLy`SLYhg;Uv=FnF&$pQ$dkz3;W{#070f=KaXjoqX<<E%)77`&ci%;%rlP
zE?hg|&XURTpR0<Ns7rnL#X3bvH#@p7`=(oD?DK%w2m4-Mf2!8i8RV_3^4ywXtE}kN
zr9Yfj|E^+hf7Ecl_OhK%-R-osvEA9aj9O=N*KPF+$u4$S`<5Y#_e$jP_P9**kl4(<
z@{yu(j|0-hwuuG2?Onb(wBWD7&Di$=<=e`-TdHrrl(=2?w^r`@{FTiTU$^s?Ow28g
zxp{6?RoM0^(#_r~h2eMaUC~*Sr+wdfdw7Cs*!F4Nb0j9o?_GVv?DhL^pY+PAdBak+
z=C(83PMe$iU8;2BER(C-=VmPK^jp-Bc<IW^C!LCFzEk~{ZAiKl@@kuGrq8UbIWEpG
zwn^6cEaJLzPh)%VT$vDce*vRTtx}^_#mOt8w!d{q5ej|s<mH#!X(~pQuktUkC{14O
zwf+0&w?68umrshc`pk>EyRCV`$>9FUD@*fc2cAe-b<k6|-DjECT$hO_QdV7ioBX0|
z-Q@Py+hqH5)}M6Sc-t&_LhkN!YQ9%C=7v93=`!B-wrh^f#G~6{`)}_(RaPc%xw|+@
z{`-pJsbbTMb979ndGzIY9SFC6?srw@^ZVJ$&V|XWTe-A_ec8&*Q^L*!GuH37b}iKk
z{%}9{!F#dN^wd?^ZYm;N6+&_UKir8___+1#E4@=D)2$31NGD7>AI&&vdH3XU0d;LX
z(--_Ld)$9Nx+vgf_dlRiJ+;ld^G8!-i~2*iPJ3G>l~s$5%v^NDEO1fq6btVu7SU5I
z+^6hVtZ`A*>yGD?9h)@@#RDI$TXf{EOJ}ib=k9Ky?*gjtG`;S4Pua0uqfkEZ(Y|X(
zZgxGJb?f<e)__B<8$#bbpCx4^bkBlE<xI1slEp)>8H;6~DRAb?vo(A#*Q`Cb&#}Cm
zf%(2EuZo<4*Pj_09~}Z8)dW6rTy&(_wX?lLNW4Qxyh}*DQ%Jm9$l9edFL06jlpC5}
zZ-i9!1XcA!RrQ2b%esZ8cMDa!bhdX1@e8Z26Ht}Y^RjW8Qn5tiqPEwYqn&fTJs*W$
zc3k8?<%PH^n@eZyq9aom9jOaU3YfCPYs!wODH1}ed>ulE7aeg7Omdl0F-5~r%d4kT
z=<%W>ih++dXcYEp6t30ym=XBsxNGO}4x#`4Q!3VId=y@Eq($SSMPSmUSr*=r&u87T
zz9R0*>FuB}p~zDC@4X0xSsZP`6aOu~*!tPLQCrz8JWYF7cGiXW$0go>Z)o}YtcCYk
zOQ2n1y6W3mjKV^40*@A5xy;YH@;G;}Iajc8)0La2W@NuwP`LOqA3JBHU81|{^I3de
zEQjCiT-BB&x7^6jS&Dtd4u7LY^OKvWPb%kBb6nw}?Vu6RqNyOFd1+?~X95%BjAe^g
z90_6A(8=TzTpnz;`__T^FV|R4j5FU@$J}-7-OOe07UmS5J^4bp(=p*lvQ^*GjkhN{
z+zh*3QoyUSIN|NAl?uN(eag%y|K*tQ*JaM#-p%p6o9nwyzDwM6xazmbxpxO|u5Y?&
ze>mlR%BJ-UH|@JOmp^(lfA*?(E9X2(_*8EAlb<=XY!kEii$kpIT3G!Oxpfk`WVpEI
zcyZ|*WL?+5>X*!|lgjm_fob_<Chx+=(}|77H<(V(U^?yCXzbaTy5m6Vh69l~jd{x)
z%ry$kG%xgW$8d4aIV=`&P9%bjYmPUU&T&?~nOwI{R`Ol%TgsGo?U=XYZJYZKZ!u0Q
z5Y~?8>5e%dn)r4Pi^$Fk8csU3f;vTl;VoNB#WjjH2DU`}c8Yi+w2mYDy<fnSpv4>;
zf3R*iks2d-?TK8#lcL2O8-M=Xa6&Xj@Y;*KfG1vyIX3>P3=Z}EIp^@!)4P(cncE3o
zedwaa(RC^5cjLQ~sfSG`pKR><m*2H(chT0vrra?SMpI`lKNq-;(O9v9Gqo<iYgd2K
z+QVBfFXKJAV#WVjo-^U=ig%<vc<m-{Q>mr#tuol~njL#X()G(pN1W6TCdC_wCg*Ok
z*C=DwbzAd=QKfS6N{Q^{WdU#0qIx1;{ndQ6@07Ugy19-;`DsquyR0MbhVH!iFvye5
z`R>bL$Ai&lSR*Uh8=JNpv3BmeoN(~=8P>?g&yELg&unsexN^e-*=J2_jxakOw4T}I
z@>p}j+&iqdI6dNLUjMo#^oi01zjthJkDN$cVIwWJ_ju5hsXGNk_D<LJDw)UHeJ@?}
z6jv-m*S+&%s$S<?T;(^2q%M_X>asf_rW$p=!TGZ@-}N{D4qeOPn!31Eta;(j#P)2d
zU2U7@GjFzM+QiSjsl4G$f76>6Qm5+Pg#;Y#Z@g{fEpsB*mwj2K%#35NR1covOS{1+
zQsWd5z{tA4g*!?tJFrbsA@Ap=W-AZTKYvv|fA{(DJ^0N1+*kKbh0APvca3wC{n?cH
ztndE&?EHP6jdfls*BQni=M!rJZ8j*M_-8ZuF6)Q=QR|Y*m{{WyxZdz+y>fMQearNz
zw)f<A?FS!AtLN@+e4}r8^Lf)7{oCpHWn=1&{`(~N;M3}F)4#JQ?GIch^quMH{>=Eg
z{DPmRzpd`F$NZaC`?B`n-Tkrax=aK9mHz(pyHV<Y--2V$8<XyD)xXA<_j_;AqVMc#
zKkSm5m>nABr)YmLEI2HG>;4CafPd~!Pn~C%tc%e*?5J?q|5U1lgu#!MdlmT@pVo)1
z`@=Nh;q#|H8wFB+xLUTj9+22CB9UyU@ndxnt2M({^EWGZHyYNRl{n12>HjgiYV{p|
zqN{^T8c*lnnjXh=Vqa+Ao1lPy<=>u8Z#<oUJN_Np^!ohzO3NL;<xfd2WS{bXaqUm%
zM$UgK1_u)t+_3(3G_2wFznyn-`PtQea3?n@J2dW}rd^=2<Kg``y$@KQ{*PN%qkrN<
ze^J_pMxOsV?GM;_{-x?$GMxIqJLad|gopM|jn=S8{)^Ugwl_Gon<<&a@!<cd+B>*J
zYWxEVOg9|*-6QIxaG$-YhS}kl=h|&k<=Kw<<!u#{X4&JHsT=%UFyKRo<H5xT{`wvM
zE*x4aB4QmIpu_gAoNeFy9deHrJeb+k<gD;l$MKhehkf*feQ8`Ay59rs4E`0LS-Ah)
z+=afg?_aujY1Ni}F9V{UZ8?)Wb9;78fx(my?28UQY_NaGz1FW~FLUFKKhxe#Q(nHN
z>ej<|FJBz=7W^Q;<=zG6ckKW3g)Ut_{Jd40+bt|ucJ}6^2)8Z<yN71eoy-kHH%SPG
z9oIQ(c$_h7IcwMZHuV#7%f4;V7x^PDc{j>q`6S7+?S5x`mTf-TWO?3+=Vn$@PH6Op
zR?RYTnc}FU;!(chWx?f{$NMjDnIu%9x4iNE^LdM`Zhf4(a+$XK3hi54f39q9nDue(
z&o6Bk7d?CV;>9(wrusW`Z`~?Un|pVY19w_*w@JR*;)Ob_y8O%L|MdNJLaE2<?6<nh
zuHU=+mvMLOnRY6*djT8whv{Em3fe~dG|%!)bH7ly?dO&_pJ&^%J}4&D=|uWG-y~7W
zE}XUeRo?ZY*Oj{jSnseih<*(Tl)tF9Nx3RcI!eU(y1}F*C##UydwlQ0uY8Uyu|J>|
zx=ONRhISv5zzpTdj2na%nm-;8Rz7E-crKtk<GRtwv#dekt8!z{n#9grx@~Lrzl1a5
zpV-)$0_Uvsb&&{t;jwGNDV58~6XG0CKVyj3o$UAWW>epc{wF1K_9)Ij<7C*fA-Kik
zP0X20hxKf);?6UlUNBqa^UG4<4R(&MFJ@mpdeJp1!P`roeFAHb#p#wCi_5k@?z%mP
zEybZWmuVZ@wUbO;vnS2`;?bG0D_{mg_<`E(&(yY*wmixae!%th!na8q7j6?eulsUi
zr=jotAQoRw<}gWbamA~p(OaMVu-M^Q6zg_;MzPDL#<^8ne!gqoQc<rUc7bhAp~0(4
zXT!77t@S^dXO-WO=Bcc9X3U*uzKk{bvq}Dqe!+5)tzS(KR_uSq+q%W>eSn5sG{ffX
z?B<0D6>G1_cd%9U>&>)i`?U6>+v0l#HWD3)A=5pqSw8Z%{XJMwV8G+RoUMG(f#;8q
zOh-!-<A0`HgH=Z#-TM(xV^C${!S~zA`CmeX>ajbXDGTl`+#x2{lfCePgMr+K?2nFZ
z-DWqIH=4b8&0b$s&G^^CftSDSq{sHnoC19F9xSU3EI56@K|#j7<yX^3gM^h|8}ILZ
zxOi)axfK7eHm7?l#3Z}i*peHaGtb{S%2K(c%0gnL4ZGm(?AD7a68#C)am$;ZG4rlI
zd*5c&<bR!Q(`t-DuM|~Yu=#Y9RiZ3h_)XAO?y?^tX-O(`?=DFF$~*H5o9*mN;s=^4
zPB6r_eyRST%+9vtP8H9#*&BEn^%{~2Upq=kYzuhkvo4^aK>6gxCv38PAqJ(%Qhn-`
zygequm6x=h&k~rv`m{s(6=TmEUEP)!Zhd~qq|!C>DbLDC1F^=3Ud?|6H|YrMx$9c7
z^xd9@z`O!k&*zL{LHz$&WD1#%-9FrMeXU;Zb*{%%Tn7|QOgawVcdV>fw&mYRPNP+y
zr#3wNW^zz=og7<_kLN?9*N!ujiWp@qt}X9w_}Uhemr`ui{GKWD5U-l*>Nl#d4Aq21
zE=ayy;5V7ofQ_--Y@()AndhTbG1JfcHaH3-zso%ov#4y_;y~7rGAsT&p+@|?>+&yc
zj;gY4@b8_rX6|wG`#C)QzdNkF<NU=Mc1KRX$)(HqX8B?<UaraC>}IhXp27EEvhwT$
z8dgj<nVgeP)cQ`SURk{*`|*QynRi4y)7CQd^B#9K2^M_S{>?b{X6)Uyv7cQJMm+x9
zW|Gg+V_>H*RZ;M-QpvW()Zpd`7p{s)DRJ&|Me`pwe%UB~*2$frE&oF2b@j`VM)S6G
zTZJj^l>6>=BSd|{<`YTP4=2h>>hj-;w(7rS?9%LN@aUhKi^&s4_C_V{D|aWJbtpcu
z<oauFZsErT*+P4yk1&{8EXrrH<JzXkQ_(Qt(KV+)d1l=!(><9cvo|~D`p7#zRMOn<
zaN2K)QI*gNk7WyTFDdXU*ZEw@lPolNW5mXka#pa`X7X(-wpiJmT`&LadeYW(QQhEK
z<D!54$Bp=QTFlaE`e!wfab1M}BI}+PCm+3Fig4eMm}YRVMp#bLu7CcCd{=Y52Du6D
zdUEd>nLVq@g01GCcAB+8YR0|#37k0#t2kc$Rn8QUZ#cpAh4saqU`vs#rRFas3no|Y
zvstlaisOp}K}CJ#+<T7QCih(y&S-8FG&pr+2H(@BeG{1fa`!BgUU}Z~2+KB~*h4+f
zkNsen9jAF?iLT5Rw|TdiF3va@)SR1l_oLO9z{mxnS1fsh0#10$)D_vfZO^_$C1;);
zitJi;-N(+Da8!9{y%21XpX&JQztZi0`y;N*fBg5L1c#-PyL+?t@4y8DUswZVoRmXy
z6EwuGS20JK2z+NdtkCvhlH#JnEH~G^yWV%lzDHZY<WEu4v);u58hi(mT9)0Ow(NMx
zQj_pkCb1t@#tHPK99MVXKK~?0I&re!b2qMThdVrMQMoBhvt8WJG96hgyrA_(0UyII
zDVc1q!#UGAZ?6A#=vurJ+XVOK4W?7iEOKl5WAJK?f>t|Y?mQlW?kx|hj6z->IpFPc
zA*06Y>9K_E7Zc?*e9Z)HB=jC0cT8B^yrg?);e~uh_KRM{2bMNP8TbdM{y%);w9kp!
zRr_VnwI%W}U46vmcv!vT_@*V7f=?D+S$9xJ=A1v5)tk#pngTg_?wxI3f7A1>V*4)-
z$GLrPpJm;=c=OVt%2?g%154-U9na-Eo>OLe*zo$rl?UphIQ&je)cva~xFx@2OX{6M
zr_-8!(~4y__uphFU(Ga&)uP?*nqg>eXp|!N@2!F@*H@)P#Xea6bY1L|b$mNM-+Z>N
z_(E)9_QLIRgRbV<^W|Ab&lT!D8hhEfdEZsW|1sR{Q$q_f++~bjmUfEFI4ZV9_b3ZT
z{H5q=x_Y^dN4G39>j|qD-STbwQrGgUNpY7~J=@Ct>}p%sgrtuLJ(m1@v+VjIJ&Au4
zZoCaJ-EDRz&+AHk$zsooe=p3K5@fpaZ)mCpo7$p<SLLp++qZA(#e)YeW^f)~+`RCF
zgMvgK`=PK+wV@N{rh8wzalL!xxfQdrtes269ZZcLbT>x6o8=&K?hNyXmsP<tSMes_
zx|p)ecy-z?{lg{4g@Oh24s7j<D306~dbY^FZRzC1n{T$gTr=xo9nayp42K(UoXR*L
z-k|+wBIAKAJ8jZK&tATB*K%Itj|JDa@7fuX9`3s}XDZXGvrjFTXU$_buqkJ@aX$DV
z%c$wsg5PW@v+wwnubH*_SV8H+zANSuUl-oH_vho~s}i%$%Gs1>ah!G7ankMn&a7G2
z4ENoa?y63n*cjlx=A=&f%2`kCcurf|c$ZK1d>3VUa<Am1&4MQ*6nfehs}<i_%h&gD
zVMfJ<_4x&*lP%A!o%J}TZE=^yx{vGfz8UPSzWT-PQr}+pt0wlR`u3(@)v-U+w>SK%
z%G$Zl4!^olef{9a!>?}q4mtZ#`Q?q@VZQ#M%YQfpPJgVSz3`qE$J=CYj(yX*PG-p4
zO8)TDQQJJ9XQqeA1s0<Zr7=Ef_uT#2_Hp%`T;TeC)rm8QPMcl6=E8h&#ngaWUder(
z?Ds{EF8Q{8))l`QQ+BDnl9u5*K3hQK^BKMsGb10Ti`s1Nzk24(<&-q`YiHJMK6&QZ
z^)qW$pFG32YUa&JYR1+(($c(VpLsK3)=b@OBjYa$`_$6z`lhwX?Y(*=r*iVlh0nKy
z8s3&Po*gNbIr}Y(*mb|PH{%v8&dO@D?*BFW-MNc?FIIU-_UgR)UvOvV^0c(GW+~}s
z&&+yzZg*DNwVd*OOVZNLmOb~*26NVcId@Hsv$t8t#~E)<+x>aoteK{_=gLQ!8Xr!d
z=5{O1y76$<@@qNz8y00{`T68#-4D>X664n%e)c4XArte&9SN)5l|5wxO*I!ktafH@
z-dOQ@_3IO>W=gK*HvTQ3bvD{`>$3HK4+PIJSb1-iXRU>?(5W-w#+#q<{GK9N6}Unz
z?QG%HDUl1((#~?~Yi!;(Yo@8X%HlH@PKTHpX8TEa&-{A*%o@vu_NiiPW=0k-^PX~Z
z)vB41V%)QQb4%Y|nI-%qAZy{Rm8R-3ORli3T9%fVb#~pBg0p94#iloh8fX97B%&(1
za@Li0<H$v4`c}=1+^o#|Wm|>snOU-#3(T9clCHh+PzHJ1UCP<iIJ<0#VT|$SG<PXc
zKTFNSYi_=)$-R0;=e5MyWo0FAugrRDVjpL{HLdz=GR&s`t7k@Te*Nags+p$MM|+BX
zxm=wyYhRL^r|K%T)EOIgYNt)PSr}^kdqUV5jjbtHuk`rlHeEM<b$i!U>nq|%Q?7;j
z9$TSalCbmc>M5&NFDPB0tNTu;<P85_gBa2Mv4-}`|F5|5AfRT0{wv<~B73y16tumN
zeYr~FT!5@$`0Kzt?UldQaQ0^$kGZh=!PTNpv+3McAC^SvZHTiu`*@RM8~2*&YDJP$
zpDJw#tkn;i-uLBr%O#_h9H|0lPFa2D6UU->RksATP4ErQ(@-qwa1U(}iE!?{|C`(X
zd&A0}lL4KkQ@N}f)+<d-<`2DnY*Oo@dB@ddR~R2xGdlXEA}u@VZdhrSndP$8m$`R#
z)_8@dKdcviGKD?dJLtzuJ4dM<vy)l>$V495c&%_w@)bkI|2H049929~vyVA$kIY|_
zaKB3-mVI>`C&SM<g;+lRu;$RmFJiWjPv^w&^oz%zsjbi1$S-SK`Q|F0`gHEAvFB>;
zhlqZUjrm%8k#puF>-tMI&u{s!l32fr@rKNVhpQiL`#mc!dy{fn<GF-YCmuztU-e2^
z`io1V$=-b{{F?WR`{-_VO1`7=r){M|?z-f>*LO5OoLk|^w?JOLX2Rzt`S%sAx>s5@
z=x)1E8hWQZ@ap&0<r};5V@&Sv_{P@77rFX|iPQ}T-?Sq-Y6{(vr#@NU$uv{mz4CIw
z=4@y8dn$eH$Ah08)H(9=mG=5IGam0adogR7NRq}@gDEmROMmJtZQc3R?f2CUnO^R9
z67psnY?qrSZEOEBU^jRBNAW2BkPnOpHwT`Od(Hpts4#Q$zXLS|KTk-mo??3Mp~L+I
z5!;T9Q|3JiKKnFAxB5+Qi^BKK1tx2sPyTsD!^-Y$!i5QZ*JRi~_Z|<4)IB~^t2=*v
zP2{y1W#(J9garv}9|^s}mS>-uxBB9V3!1TS3b$ug+<3rd%bhp*;)BAy$36x{fk*V0
zKK<$&wSJr4^fQY!{bye~_3&WwUxqlo`E}t(*jMyDFTQXi!#i@eQL8pXzfAUZu`J=p
zqA4P~B>((Tvnev4f1UgBP93YvjU5i|4?g;=VBe}4Y`LWAmuJhA>kk_`6AJ3C?0Ckk
zR%K+`wLm=M<ktnE*-N*TPnhP@xLfl3L;f{Q#~GV$HLQ5SV_GrQ<B-j=LK`nr+qvHN
z0_XC+_<6xISVHjmt;W-$0kv=Uo_yn$+j?zhN~0L#cIT|HjDrVVPQ2|@dGzjx!<FaW
z4X2GC)Eh47Okrf6v3qgy0(o7RZJJz8YVE1-SFKvx=yyYM%h@-nMUU@>F1^ZX)Ns1x
zFVDB4=Q0J6oV>r;*plZeT(+6ynQFXt=6XNRz8-=0R67yxP&0M&mmdtA!@b$<<hpIY
zSMz`C|JkQ{;dq05yoJ!bd8S8go?I!f3Y%>doU_qP@8-&LEMncce&WH4tul-J^w@3e
zx2LSr>)vUTm$2?!%l{P-Ofja>+S}N2H|7VY70!^`x_ItykK7}#qu<6#dbl(t=4M!0
zC(MhuQR8!b<9s*nskx_e_}JulP8?)mPcF>A@xJ$$>EXU_5ycid6)mgw`kbFM$!fCQ
zmgxPyHv^sGx6hos`^d@A?RH&Th1*&C`L|pb|5T!6Jk6y@>f*ltW~t9#nYvA%Sy}0|
zr)*`k^3hwNZi}lcC3|1+HkqZGbM|_l-DBmw=h?Nj6O-PaOFYOt<8&5}bMN7c4)b@|
zFZwQcYl^K(iJoEcYpufDoAc(1Wa~>tS6Q99A+-1Ag=KGI_a001u8s|QwAKHvl;*X4
zMN$)Wk4jy%7Rv2XTX^wO-1KP`Z^O5{b(#9REtbA@F?X@x-rS4Jws>c3*>W{iHSYKI
zwG)$M4<s&RxEz;kK4nLMj^N&H7njwnsp@o&O^dp#8pVE1cVg1n=NleOe2}VgDDZf$
zJj;S`hg(ycSA|=?F*J^jODZe4tEG8OQ6{W4g8f&&k=9+6Ymo;M53NtSaY|;>iIU3<
zsfz8)%p#F<d2T52omnjx=(pl_@0-r#f`uVM-*nc+W!*W|cT7w6tM}4`JU*Uz_hbED
z87W*%HfGrA`Q-7$2`{%9EPm*f{?|w|V)`7{z}vkyqo=Sqc(0l+wRO|tz|)t0Ee+a`
z8Wgm9g6nAyRl|VtuR+RTWhMoYar;BKt|_aV203bRw(?D#ko8eNPintR*!~c%ZB5MD
zz5)E=>hg+yc9XoruDpC3rSU-BI8wh{uubV(>C48mZZf%y4n|wPD><a?RJ_+aO?umg
z=_mh|g->0pH=$9_{Kt*cbBhn89xQ5}|Cq-%Nb+34oNaS!m~W-b;G2=jukXIaKS4kE
zmijISHm}|1U4FX=zMUErnk&7B`CQ7Gx8}utk5>H?sh(N%Zwq(If;r7<=jMwQ#;G3O
zxjE*uLi6)1<L5tuj{G!!lX~js<^`2HOXoPNotrOODEGkP@XZCb>3N#IH|$P$NIa`J
z&$4H_!aa4%8?V_u&iwHCl>&FF-3i0{f^p&j{T_khZVJX4rAC`%Qy1QAT`}Kj#pBmg
zeyyBPCB9V7G|)cO!&dEbP{P{V+eD1=yJu(e>pE}oSKjim)a!SNLv@DaQrnb8wYw&K
z+aU6`h<A?9(Q6SIkJ;+j7WS?WzLoBD%SSjqdr|GO3EwUXZMj=8NoSq|)2)=MuZ6~M
z6YqGOQv#cl<4`>#Q|0)KjQP4t{?^OnT4-)remAzybf%tccA9O=^=;=B*L*BJ^(!Xg
z=9yRD)P2^R7v1FN5n|ReH8)cF^8CkVwzS{v_*U6sw$CqfKEL*D-%NGiE$78A#T~!c
z=D5!`_q&mZ+Rcw@enzR!WRLFASJ?Bh^we*s1J<WL$Qgdz7jPo_dBx!$>v-~C6x&R5
zobc3d&eJO6?;LUm^p);>EIsu%>45Dao(IxCcl5=I<fmq8ZF_As!R+JoOyjqTF;Axp
z-1=d)v{p0cq0dzL{6)1ZCwz13D`VZinI*JxW=GeKGd(k_t~9TRcLRs&(+yiIG`<=N
ze?8rLWpmJ#Ky7{JxjW9xnOVgWyuT^n_;t;%+KI`DEBa@zh}T^5&$F*eGwyuxNl~$w
z1&jD@yKOOPyth6pm`f(@=FPKNd5s3UHeH|F@k%+xDd<T-O1in)22MVny6?vYUTw4c
za>Qxxzo!#hZvQ$X-0iAwwOw<zMa++dy3reJ^Ry36t&BY{)HT~u<HuU%%)L5y#Q6B?
zrmjqhTAlRHv2|`r$u7s)o2D|q3$jgBDek`0k(8h2q|W32py5IIjU}wc8R|tDPsMl5
z49mH=^GwF^`I9n^r_GgH_Of=@y|Ob+wQbCg<?JKZWcj@5u#H^kqM3PQ{!@pC|2U$T
z{ta8Y<)Y=($v^hWnVKZYy-$(L-zuf^@+)uK?<VcDvz#w@mM=&&H+b;5HB9}^iA!S2
zi;ukt@q3sb5LSI6S2RAW!OtLk<#yZRg5@6zQcjdL?QFI9%QO3n@y{=@SLWWjJw21P
zmig8%qe*%_>62a@?(lo6v-<0s-vJ!w0*<^p(9V}$*mPjeFFg^XwZ1Q<y=F7dIb~@x
zAxGY5;oQ@ULn9_F<Mn!QympD?T=j(}IZ>0@?(|$OQs-FzI^64`-h+!;HfB*>Q*PO=
z`L<9wWsbsxb#YlIzAy+(Fb+F2_mnH|1h0#F)3SCOtlN^g`-P3v@}kZjpUX<R3TbPm
zSv!iv?Dy#^n>od2$G1dfVcERLERA_zjl!clCAFXWJ?&yUu_Pnh>tsvzQ8S~9o|b-3
zi-nBXef$|24U?zdSs8PcVW!C2EX|qTZ`XFp><r%N=9G3~3nQa|aT%}0)NE;m$%|r-
zFP<86`T5T;T>S6u2JEl6d!WDXaDmqK&kY}L@7(r_eTSd5k>8HG#`X<1V(S;|X(?{*
zeJ1_$%csJu6TIQej?CJdxaH8}ki1m~W_-5Yb8&LyG2Oa}$0ycJI^TF#NAvQvE%ywf
z1d6n_dz_HjaAJww$7{b*gErh=5@pbH{HW{=X*X|ozo%uAQ^k_5$leRw@5NQ7&L5UI
z^T6H6yqRaD-B$bhJ=Nn}nrPR@@MMMip51jzMc&BCt#LZQQl5FMt?iyc)a)Xy@25DP
z*784at9?}am3wKz_tRhfC8TbB_21I>G(>K~#FOkk4U%6w`LF3uZn9OmYX5K@&+dPn
z^F%DCwyTD;{cw;MSgiMP;;+!44en1v4rQ!VnH1hBr(-$wxJn3LM2M91=Q*bye_g#K
z!T&>oYX2|Q*<bW;FR?$l{Cr4y!>w5wV#PmH`ImnZnZGdpt#;`0gpCvUnBw;D-jZ;F
zEq&rb7ZU;Q1&gQ5ITdcOYvY64%ltm>{MhyW`Gu~0P4=Dt-{mU2C^_hJY}WeV%2}r`
z?o>XL82P1C>--0&8*jCl6jNqP-rA=W@$F4-`$?V;k#gIdV^i*1G5wi!a#zwd_cK3b
z`jaBv*IY1@Sn~X7iqY<pBSsfb2KhZ*E#~}n=f~nXt2-BZ@MW#YZdmA`mbF6sOxrHE
zj1}UQtf4x^hW>7Unw>wk=$p-W;hG)0N329_VerpcEhis|O>XsYt>2qBS<*xQqesEo
zH7Q1?JDx^5iG5tN%*lJJ-_umi%E0pW&X6e+s+Q(evRztjBedHmd+PChTasTq37w?c
zneVYeX4{G{!g=@CL@#apBGn%vm9Rf`^1s~KU#|ZwnQpawudUHE>5IR<KIxcuJ#6CB
zBkw=_ykQV><^07(4cG4rij{s2jWb&NLyteI?9`pp_6IEsr%s>wv8JDW(zjBX8{d~M
zd3050ef`ef5Tors8e6W|naeL?EGxP8`7o%GmiP2jow(xqW*?oYK6-UJidWx1i#KO{
z^Ww^Lbx_@JKXpo%%+%|bb*FhP6Sto{L2857(&G8^uj@~3`rE{weE<1oueTbUCfO<<
zU+AlvtlLr+WcBuE=wB(#8`43~RNnJf-aUQvnak|Ob55;SUGi(qvn9dv?_U9F4)fEx
z$ML%Kc1h5d#iv&8(F9q1|1!&)7k{3w1-a|~$AmfW#XOhpi&hcMKU}1h@14OLvGr2q
zh4-(odma3Dpn0mzC;8k<|5GOa<C~xN^}!RvoAZCxyjjNLc-P2q-F17O!_lYjWQFP%
zKCYj*;{MdM``1oa+}nRGdcV$%`=_?3X3M;23-;Vpq{hJ#{#D5SUpePmLG%43Y%c<@
z|L*^_HE2Wqr-Tm?jYd&3_kOz9x0G}L@#NZo{i~)|e6IK8kSqKATChR6^uYgh@dm8F
z`)iZ8&EAsO|E`<$WX#z$(|3IN@AY!4#Ehzk<*%=MAN+T`d20E~f^%V?Ue0lQbm{)&
z3p-}bpXd~Ig?oSeemAafjQrbzctf^m-hD0}E3A8S>SUjo-=2RCs-~*Cubs5g+-@fO
zDpw;`>sI#Hq5V3y^FPj0%5S%3EWg+xW%%*k@=rTbuM0f>qbS@U|MmFttNPQL=ALWb
z_)WA!b@!t^vZoI+Y-M@hBQWFohmYwVH_A^~`2=pSN&7oV^G2ji#L4vexs&Vqe1Gk%
z*>&~!<f{ii|ERe$nf0_mpw&yK4eq&9`+t1<tQvJG>mTDOE&0d2`YX0aMQ-4(G{`%9
zS8KA(F7Z_=aetLKr!ofLd7u2}!%<M4pMFAe@}6wvDV{YymkJq$N6rX*Umf|^Nb|;1
z8;S1?!3vWtQ``kU|Lzdq@8q^pQ|YT=-S<<!rUq>|e^PSFo-}n6jlw74o+n~*rM}ek
zyxzO3Zl=f^DY-R&>~3DF%a>^2*7cJ4u~jIDS?&MZ<d}D*pd>nf`eu#F^*$Mak9Mw~
zK4Ir6qkBQ=zgt0trgVit-sNSX%L;c)2w3)Teg2dSJ1-^qy-Lhq6|c_vTZuocXutXG
zCHIpi*J;nsE4}_?;i24`eO=D+nO=IYG=A`=FE85da`xNoHaq*rDeqToPmA1Oz0|7f
z<Fr{TSRYPbH1|}jk&&<S{P`a@m0#DN+;mr2Q~3QGfm14azvDP(YU>)UoW6HG$o+Mi
zGmky=b((o3-d;iZ@}ZtxH`rfK_dF;!>D9b9-sy|pdB%J@EadgumwC_pkDL0hte?_k
ztCbn>n^A6m`kN5B$rE;7GU5v4|6KtJMd3+oy7u>k`v0m*E){DIQvdxF6nN^D2Jb$I
zGzNWp8#OWHaJIeb!ma0>`mJuQzp{R6lkM5&se3*co4q`LYl;2w<%_46TCCrD?X~*n
zH_v~rX@2FfvTE(=!ZV4HarMhHrVFu@sVm(&bok)<2jVr8*MBnC{9U*B`kx29PDcN}
zv&wmYyKBIGuiNHc!>(O1tP7IwC-mG`tXV0XRL%2K&iUW<B~NCHB?-p9n!f*@z)z9)
zs&e{E)@iBByBP=UJ04cMeBr*!0sl9({EGWrrJCe@_p3H<p#R6Xrymbxt(a3)v`%q@
z-Q9)h*Y2mjcyIKj-q~ya%bBu|y#M|%mtHjg(O$LdzYqJo*UuH&_~qY*i@z@(kC=OE
zyNcF<?79_g9KqlJy=@C&I9eUM?)ze{hQ0AST-m<YDcw&#@xO0MP2lh4dH;9wPW1n{
zPyPDu&YJ$Uzx<qQmoNPHTj*8wS!qFmXeq8g3lv{z-s4xT-k(^zeeFLz=lbaj|GNwQ
z+I#4p|KVnNa~J!gzd8HEx#a7zCjT>@{bl~=moE<dcsMog?DW%VUqcMr?KB^S)E!K3
z?(cZRyfY~LHCH#2CByuVNdfPsOH8-?eW?5Vm7UXaHmFLT=q;bWGUj<fqfJTu+x0tm
z=2{=(Y5Kc0{{z#F;<z<TJ?A!EnP(sQ?8nyDdVRZ-FFv=}pLF;c&;R55v_7LEt^J0d
z_s5<1zuo9hZ*PC<tNCl<_hdeNe^`3Xeup2Ed8Yj5ZP?#`^ZuV{Gyn5C{o6k|%b{)k
zJE3*Qlo(S|ALVv4=$jqrbzwN^z<P&8S|GXM{%qDeDk2})B^iILjaE32ti53Rmj?SN
zmM@PcAK&M}GJz-Lz}XF~x{I0qrHB=54me=Fhv8d`+G>VRc54&zdUs5|_CKAwT>e=d
z_d`FUfOp#uT{~RxzkBBIwNCHqr)K_r<Ne00)IaL~eVsplXZ+6vd*nXfkKdC2>dimz
zk3I2UKBzSR_Y#3Zb>9E;!`}U{IcCjKKB=*2zi^Sh?8z4)|5BgTEuZjvf9fl_{r0SG
za<|L&PulYPf5ea9A1;=b3;t7Kep+vyW4(0q>p7?D&;EHY_NjjQbAI!eH$E?Sx2^c=
z?X`G$Bva<U|C>ENJUaMUPN^|vfzZ3wWPam{ed*H*)(0H=y{CmMnP2kH!_M9^GljSR
znY$a}a+-g4FidLX+9BU(+r#Vk$ZicY%N>1@0;B(b=W>}j<aXRxaHa2zkcYVitMX>E
z1442NLLO{d4;?d@-43lx<K*X3opa1PVb=zQdq-k#aG0FE^E+AMQ^~yQ*;Y%h_nwcg
z?wFu%$@3}q)L}mHGcGshC#L=1FyZgaZEOM?(ybYLr~4|kb#`oKQTlK?r(Zx&g<bTc
zhS0%1XUeuk9$D-p`22&?i+v)qzkJTQbpH9}^3d|Ue0h)MpDcBsnX~T`|4@0;wzp~7
z>AC-N-c}ph|CV~tYEyDE^$f%IlxT_0R|?uQxnhnyKB1TVDM371+Dmfpn^lL5E*5Z#
zOz#VRI8l5x_uHpcJJUT{1?PV}baAOo$D7sOJeN{~+ET^+r*|GxQ80NH-Mdi#`NGrt
zmR`*c;&R|Ps9hm)dS{5}(x4UEl`FjbWlpTV*L0D4R&m3P&&5JowQ1FT4=<mYb1L-f
zswDy8p94h8Y9!J-J3@c$EfiWFb9nKzSe6en&-F@vRPXjo+xsg@j{BX&WWj9KJ$`;h
zmR?7~j5@RJ^c5`=tWI$mFUh`g-Q3NH^-t51CtP7_6EE-zP5Rw3>E)p>y}c8C81o-7
zYWJMH^IWXOj^l*d#mnNVDZg8LXRmX=e@Eh`$OkdG3GcEudhS1~_P5MVR=2P+Skrd#
z^Wx;HK=pb5_<l!;9q~A$61-vFi?6Lh%m;5Mxb2(luD4tJ#(8@u?`1{;?N?63+Durp
z{Q1Fm(=KJ7(c0Dedb!8@1f7nF?<ZckecIlnL4=WSVMYGmD$N_UHWJ^<x<d7<L-zMR
zn4#|?^Y5=s$xV-Q=j~NkWLD0vTi{f_X3g{@TaDDXZx!{@JNHRMiq&_xm#yx8d-d0v
ztmKvlhi!V+JWpRJH}R5}Szm>1Nn6?^DIV!{9|Aj<y?HFpz}R#!=c2EMU08W=+5x%g
z*{9AdZ+pWk?Ea&r<w3*I9Sw`y3;qNtvq&ezKRf8<{Cl$5OaGfo>RbKYjrZ@cW0O$r
z7Osr#etEOW@N;$4s=$qL;Q=Q<Hgd@58+<YrI_xTce??dFV}`aXr#64(6_>eIT$D9)
ztyNjp-zR6<o~vG2w`JAWYR<#!udUzK@|CGS^r1mPa$xYDe3mZOzwFHWH59L%-)-k0
zu%*K3Lw0HR(unxy8+Tma&63o)SlnK%J*n2^wYN<kt9`<?SKY!@`kxIp)itzM9bI;N
zf7axGytBXLe|q_QMfKM0{C%mHm0#|er?ss3!|6L22Qz9+re7_bdHsjc9mjdoqT1%`
zn=Mnx`O2r_Wq5SEN>;h3IbZ+M;C*M@f>iIXTd!CcvHo*}=rqBe_vM_+;+PA#l4M>g
zT}=LU*6LwT<AmRO{mQTND>l6UnDDfGk<s}qA1&vL5e+>*?>`qj{p+gTW}k+|=^R_-
zKR9f9zG>Elx{S-rbt#koCC~mc|HI4OD|c_%?tYCY<6h^)62H%Vz3G=;Uq0sZdil4v
z9tH{z-6tN4IO3}3{EuY^C)?kdF4mtut&dYXevFZ4<;3~duP0ipiT@DsCUO$f!XLbj
z)(Z_cz4_+dS$OdMvuAHSUodfQjsIw{X|W#n*EZkTKeqb*%4f+<)ws6XK<aZzmC1wc
zU;g|`>Ec<v|Eclj^{=C<lQR1s2R(dzTruBdUdQ^c%;miY?>{+sTF>*h1-qE8%XWdE
z`5zTFd0*nW^uA)rf?b>Dgnip!XT32{p{$_e$LsB?SAO|sJ!U(8|N81}EnnE>Rvu!q
z`To~Zdh0%mO0}=<a=YGK+H(KM`EVV9rutRuyEg>9_sBHm``i?`ZSJ)d3%{NhiemnA
zyo~Fj(82vzR!?>Mdv)3E|7X?yzBhaM|H0*pud@?oT=>;oms_W@?MAV{x$pjV@k_4;
zK5G$myz7=EC)M<~+g;E4%C|yUwgVS7yu5GIv&Q}5hJS~Xb%VrbXz;c=ISa0xG5<oY
z;lI<#UsnFxBpP<h%JaMPjO-q_;`g6!r0y-ye?EtSPq^W4|M7{v&nh;2uP}IhAU!&h
z=frQbvtO8Jf0?|j>$3l|%NKvkZfu<4&n@EqwI)nIYvRAfh1Y_AthqC%X64m@H%8}!
zy5}-|{CA|`<FgYhZHjJAd;8e$Sw~Nvtc2%8E6d*YiFr45uD!Go+rCUy;I!WM8=bt9
zzJ52Zc(JMXQg-O<bAnyzpDZr*PGYw1W?y}S+cSmv{(IpsP8t?c-II4b%i%n@H?8lY
z)5Ra(E?JgxryV#q)yUv?jcZPPyvZ~9V;7!#nxyZ)d0^k3hda-$-)$MZhWpJ~?SRv3
zmv=sOyUw=g$(q~Tl{wx#$Mp9UEI;5hIfwJf=a#3gHk00@Txu!XIHmO_|GAr4$L{|u
z)0!TV(fMah`{Wv>B+ElKru(O`Pp%8fWZdK9XHk7(_S%9^43CO9AKG2+bZSwrEvs`)
zZV5i1{j_EC@$T-{<JZ|vNPM%LX?W(&^2gCk$2Js)wsNgmFq3_El%Ia}xgB%k7PrO+
zH>&TiOU!&&xXv*ydjG=81FxU7Z1xw<ZI#~bTaj^k#c9sx@tYJ)X5Llny?FMG*+WKc
z9s3Q6Gv-d_`!e;JxVeYM_u^pl7g<Kq(@S`I<~elSi<n=e{lIsUSi0QwZe2MZ>4zu9
zQ+JuA7djZv&~+6t4}W1a)zmm)`-g<36@SBKf4QA=DgXK9i(9^~=zP*4*3GhYpU)<P
zuFrQ5K2H{RWPQ%F=zT=lgQ}-l-!vG!%jNE!Y@XK3!}O=K+FkV6WrpJ!KgvIPY?{Bx
z<nZ0Iht~%EKE2Xamuqd?FKep{$An%ltoGRPU&E;CUhqQA4Tr*K$Az|p{CzWX?e}Zf
zr>|-F{;}cBp?PzwME?DHDA|2*!`cd?rhEFT^W>d4!>u0KUXb`G^1)GVLT^j%k!q_A
z6^|Vv8jChXKUCy=s(AVJ$rg>fY2OQyrvx8xe=>El+x^V-bKcyk4srU~5Mg;Y^LqqH
z=6I#Ux4R|MPeW_ZvV=Nj%3l)x<9o_3i2LL1R|<*oXC!{@4_T<Eed77SeP^1d{y79M
z(&sNemzDqh<;*iKYBR4diu-isb*5c-tMc@np~9~}Ub18G4!58GaN+5ymlpelHD<{$
z8!T~}_q0$a(69B@(+%?;Z`|?TDDvr~$*y}BSpR%D^Y=8pR+j3&hnG0o>R(*&Xqo>`
z_2UeE7uohj#Wz1X828}dj=fr8XMdbku6Lilx3O39TDK4z-^V;=sih{GzoP!K=_S_A
zJ-%uSFX#M^&s*KwqYvk<G4rx3{ynMXR_LDwPDwZBmWQXmuGUT5tF(NY+}qUUWfwNQ
zT`iRLTQ`f{``Zt<yIGpo%qw-i{c+27ewSePBW2>wV-tSPYB{5^-->C)TFt=UVRwx*
zuWeu2<5hOjCHc_V6&|@Ormv`tb$Y9Hx<lrbsYKoKysaXycL!yijBJj3mQ%6$mZJ09
z?@O-ClH{8zQMVr?$!;UIeN}emp+CR+&t~j7Cau!{y#7RT_y@aZdb`zTntl8Iw}XAv
z!QTc6_RkK!Xq#_Tw*4B5U7YxtYtwFpxnyd6zs&XR(QlLgL8lt*j`{AXJC^J@e^=76
z-<JL1A3s#QnRmxw*YYKIwC4Ccu2WRB$%}e*)aB)p*VB0g{XW*Is@}Pgc>iMUg!{o=
zE^7{*)Op9t`Ow*^`?BTr_0mdn9{p`QzFMI6;aur|eV5V&Sr(mSdAD6?(c)#rUkyC1
z?pAG9T%>s~I_R1iTd6t6X4`Fv+x}}5op|+LrG<ZHn6^P+70Zp=V0+F#4xQz<@${W-
z-_+#Y|24O~S&`Ywb$N+<{ojp>n>6puUm|5`Cwub!GR0Y;CO^YISqm+C>=b=jc>ljU
z0vAQz>lZD$_-ot##aH&RE;@O0SN&80S<$X#$7}ws1=)YUau3%-DNC(;uj8_$*Zu5Q
za)0~J*{O7XKxujBQ+w$}vmX8R4l*sgeJKCB;MFHL?$&#+h|>(&yXVL!d)`3bkN-|T
zE?m6B)l};Ihu;t5{Ff`<S#{f@`FpI~9ify@hg=WOoZS}s|M9^k*X4B^-cL2$u{Hm(
z?6ZG-za}O&<_Rs?tG}??A)~;&ca>d6PaaprB@@04ll~uy{{83OrbC_+%8dA99d}%K
zV6w`pm3?)n1*^?e_B8?<FC=^a$-5Y|TXAkn)^bme{*GL~<kgp@mFF_eJIEZC@%oFx
zWFs~8iMM77?K+$^`SOKVYmU8G){y?WVd}F-Z<w>HpQ=PyyE|37teN8${@l#XlGUc4
zUFYn{^ZJ*6>oV{Am^y!-DdVqLU+<|ECkyHuU0T;{Dr0=_G4F3d*k92@%yCDU!>Z;A
z&*yy9Y8-5RGiA&E2&c0BTV)-ll@#n-Vz^23!O~PV+s2$vn%4cZt+yRgn%nbzx~J!J
zemixand`Gn-K<#mIBi<FxMN-ZhMZva#eb&?9SZNvJy(;n+VGI(gHW4<)_`fZvoe;?
z?)et({o<YZ+*02Rqxkz-pa5DMBCdXaMbEK2-!`2LsGr;N=IW!eb2Xi-LDqf^+H_L9
zdr!f}i+*M^XVyD<ZJVI_Id$E!6U!RRr)556x_@rp&xFUfd*7e9ud>iu$ISfm(siJK
zF+ctC82eVoyWF{@lVASS724H)bnonQ%ky(V7E1F+C{8n0dy#PH8T-96YIWxO4C{Bd
zd{VsZC+Rfh+{*VEJw;p<>iiLjkCJpwl$0<&UHa9$yC`{5oj8Bq&3osiV>RZ^47q=H
z@xkejvM-)fxTmZxSAX#I?TtJg+vjep?49{*Z_p!Me^G%@sqDMg6+Vi5tdrY#=$Eox
z@cs9CZ##uK)F<9%7CNMC7PfriwPs!+KSB9Pn|2AVWqw-czkTmj&oAFLwER%~XmR|^
zTKUWg6<t3x`Xe%1+iLYsTzjX|Q0?PX74qiRjF(>@^G@;;oIfG^L&d^Fu~PS04|#HY
zSLJ+|IGfGid7ZwwhlOiR(79)CqK&p)@|5^KWlBk5@$$s$;ZiDdmF#q{UjOJ_|F19a
zoAYa?k1Eru-JJe3ShSU1zVZ4dTj%nQ^PZjNVfP)ozgK(lPgwiN)8YG`mLG{9O|JSf
zZ&+{UVdGlk=w~x?=MKk&%aLju6b<io3q5MjIdWOzO*XHPzu<m7)p>6iKbJLZ<Couh
zv*Y`O74K9zKNen|oz$|b{aAv&xtmS%53c@*OrhrcIp21_Enib*tFojz)ahqK#g@+(
z7~V+p2Kfo@*Gw<l!|?ho!^Ga(cAGv<*znGj^P}^li|3r1H{_do*tq^N>yL<<S{FNG
zU;n4ZkMooH{+7PudF;)!Hs5NB*bmvp%H7A<*_}D-6{KYNemwm5#pQ;zK*!nqmt``R
zsaG%amHWH%d+@g1{V;#uy@LxY{Ixa<{;U7H>yC>dW1VM?-~0(LUc7wxxoM89+clOG
zFSniVO5~2_);L{ZrQP7a<LuH4ygscy=3Wc7<;Ke{xz}eKk}K^k)>S1Za(1cNDwH)h
zS~-TVzJRxpUXA>5-zD$v$EsBk1zU3h%EdO8at0rimDP?qP%n5q>Ud#a;Bl5e$=#1d
z+6{jH<g55u^rhHr^&!LSg5eMBb!R2-TYUK9-J&In_p4sowC(ARX&0vz9_Q3uZV`2^
zQ0K^6t+~1xk6-Fm9h-i0hs2-27#p)`Qr;@=W~vwBclh=1y>;=?j8)sTEI+SpTfO7z
zqgDB>YhriZR6lEOeWqly*uk^U7R^?S7ByMMDf4>9GQU7M=ljQ2WPJ5m&Hblj#(Vh{
zkN*AM_+4C*Pq=cAmD2I5&`|lC3)Hz5zx?W2D)nb?Le@l4sfWu0l(%fDyTYNnvRJk_
z`ng|Yxw~&6!`~kdn&*iBsW`5kGgGW5cS$N=XyIzt(ED6{8cVB1&wgLEKhZ8QwmKu>
z@Rl3A!asvbUD_BY#y+#+i}*5sqNu^rl3?!b>$G;9H7q<FvQNkT>Duf55sdE`R|-U%
z_nn%|JLT(?Icv6mw|VyA)25oxfScR*d^Oy>-X!4Z<svQT+HCC=ISJDB%NnibPrdx}
z-s-!eCD)RCCr>_jW?uG!Q_r7<oV&Am(y6F5nUB5p-*T9%f8ymDn<rP^OUC6a*t7oR
z$!@v*zY1mq?P_oJ((Mhk_)-(w7x~n2<2SFWm6clY(}FACaqEX=#PKG7^ZM+v|5nDu
ztv#}4^Bzc_o&49=^5%2<|D9aAyTsC*j(>>y>{7q_;-c)0TYKmKDEpkUUr*ioYsrqL
zH^1&4E1$Le+wP*Q`!^QvZxUa5^n{u0uj-;ZTJ3YU{+{^WJoq{PlaesG5b0}HbvJx&
z+E1E%cjLR6T$`j%yghza<e#wR!{f0*ns%#>-gC<TbUE$RzsSX@*AJaNHvi9_nTP5X
z)oV_&uGZ#Qe}D0xO*5<NRhNG^KL0NI;Bu2Swfhy1uldBXzpVe$>t`$f^v*eTsxeyk
zOv}F~+s_LBT{h>%vmQM&*+*-io%}a<&KvHu{520;q>sIM`>gX{?PAyKN!il=pW2_D
z{I_^c&T(G$kc>xPe^0bG^?z$!lx1$S&!1KQl7sU9$iC>Os#k9PJrO@^<>&Q9R`xFb
zpSGu+`nP+|8~&@)|16$)=)b1=o=*p&y7#s&KDd9D=I{GOo9;{93veuB-uZX=%tQaR
z)&KnFe-poO;q~`{_i~rr`+xFf?boT{e9f9mXGiV0y8gSZrPtK?L08{--OF#<!~f(-
zXq@lbR2RXj36rDFUiiA&c4w$p?$eody1Dm{70$WDvUmBZmuqDvUQ3EyxZh$eXO{o;
z;ONRNYmV+RQ@$<fd!c&SoO{pXW(Ox`teSr*(sx2-+3Wwzs9WPzRgx2qx-l?(IL5%h
zkFqsBFE1yrcxr9wftc`%=GWifZToh8*@cC=6S$6M%K0`qe-zi?(-)A_TIt~7JzK24
zb2l73pFMN8|EAsL@85m?JN5p#Gc$|d$;>WJ^P4C2@7b^I+iX6Z_^iL@>o@y*m7l+w
zzY5b_6BQBg)I9%M-R5)mSJ&)6ryalIQ}3;<tN-{!ybt>sxwbre@8`O;;rkujmbQd1
z54(FSe_ymXJCpTCMv2ar&xgAAuZ|MltkZV?*S-U0bsXGTi(-YuuDRS+(OK2`ZQuM2
z`*j!1uhsc@qCBqR^<Jye?7hKZ0dJq0zy7zb;iT;zoszKYmwy*LdgxQ->vP8GnUs2}
zV)5I_n<sQ<CwYk#dHV94bJAPesTg_UYF1K)Skc#664P2^7OU;5miM%o<)>HubZPm$
zpX_QC{%Ufkk7;VJ?rMyidPLap%qH{GTo=r@J1G8oDyHG|{|?hpi3#2d_{=v&{}C5i
zkX`eV>2K4wovd6J4YxZ8T2;&Y281zQw6|j4E|6SQ&~0GGzFp#R^3J5Gj`t3C^4EQD
z*RT65ZLjnDVfX#N|F}aF(*n2>Y$sJ1c>a8Pe*fRK^7X&PH&ni>d4IS5N+oM^bGr~{
zYpQ<T=jrzyxqn|g;{3gS`rgQP_2&y3gr*vI{JP8gc1@3y$+n%lHyLcXdUo@4twW~T
z*(;TFL-ngyCdr(RTNU9wcf+P>T8@9;=;~YyI-3(0Sf{0ZYPRE{pDXp0>iGoM^RI8~
znBMZ;>B#B>+KP`l|3`IHtlKZwA*6TVY(Qzu176jQHQvsGr_DKL>inO^6vz8YqyKsR
z;ef8?HH!nf(tpmM@ufI3N<Cii^?L!OYxgZ(VjSHg<?EN$+64THNW5{pTld}L-}?{S
z$tl#%alB$TPhYIZh-;(6n|ZAZ#d1>ZCKOCweZK1bL6?I1y^*hMC;dqH#kKju?*OJu
z6~Q~IuOrn$x4xgwYA{#%iRjE(+#5B5ix+0xo4Yb{#i~aM%btBG4ol6_JM_rstm~pm
z|7B?lS9R6SoOL*HuTgNZ;k1-2J+ZUZ#-`k%p)x0~$ngDry2)b6B<~L!d5)?s+R;0A
zVZ@463+Mh_SaS5?n-#MzJ__pDY9unf>(RBDU9GF6ZrzsD)eHTUqV2nR!n0+4bD7R~
zZVlV9?Yz&{X_J<`(_MK@Yx$~Ub5~yDvUG}@uyUusW+T(rH*U^a`Sae5oAXv$%2<Ck
zHWg1STb3qkecQ<NzO=Qqk!gE!dfcvOR!Iuo&#NY>T#KBmG|A-bLXlL>GRt?Nel|0l
z#BRCihej)2Tap=EEaP{%?7W!z<t?pkH|8u`%aMI~%Sz6^C7HXw|2=+bORM~TP2Xt4
zYfCdPYZjZhx^(G$mh-RaxW4jw*51#4Yr{{U>Y7)-StliV%IV)ZVbe5TJ++%!Vbr&J
zRrE8B_y#e{Q|;6Cy*n~p|A?vjzM72N!Ir1QAG-8?N;>N_r_65J505g=!27p-x5u2U
zJ)QgIa`wLSLZ{b$xt#6yc-1Rc%Tu4vJqYjA)t{z)#Q7z!zo5X|)qmQB`1izYKgXTF
zU!A>w*9UoDg{S2=zo)uSDV*r3b2+y#?trw`=DN<EjO$&R4k>y2$W8iQ*LJA5NnJ?3
z?&scJrT13_f4g@(_5Hq&&$3HT-&^^0*PCnJXZuy<&Y!#7`Krc7d-t9nPM7^7)?fK&
zEI*~9&ESC)_o*lM_wW7b|L>fg<I)y=`}<Yv^BC=pKcD+aUel?znSa%V>{R{z-=%(e
zXFt7uT1Rb)$jLaz6^c*pF8|Ia=NIy5e$da^F;7b0@K2~{(2Sb8;_Y$XnkQ4YXMNuI
z?(FtgHLJt!My<b++TaxT>D|QBaVvwv?N%>8=pCmP`u1X*rM%a35tYbPdEXipZTIRw
zZ;vZK<vLU(+tcsL)uy9+L)p8xHSwgqOE!;X)P}MxF@X{9zF#a-bU(dUST|f|+G<H%
z@uDqv;w!_?J=pX;Li^WU)rH%X-FQ|DtbH8enlb-ql%luvMbqxBQ(}Am_inR$l5wy+
z`P9@0<%Un4)<4#tIC0XOYuniL6>eHYt?@2tQMKM0yCueJd6~J!=9o>v|AjTDU0Qy2
z@zF`Ozv?F@X~y2Nh|;-P`M*nqTQX`*<d%rch&T5qCMi01oA!#W78CpJz9izrg(TfY
zM_08U{U@m@X0#<@a>hof#EtjuW=86yWNch?rcOJa%i8VOnxZE?*N#@}eJlwJi+sEN
z-Zc6A>vf-}PXF*}xzPD%;*Z6yosAQnzw=n-%*Pff9~Iy9-?XgJsMfS@_ix;PGEVUP
zYrjXLX^9^b^^LYnJ*@j8#b$bimbCg^p0z#xs`E~2A4_{Nb+6jLMZYGV3+1c7cz?6l
zL;h9M_j1gy{y%N%!RHVDr=@<__~Y-IsUI|VHh=HT5nC}av9ZZN&Dfz$M0$b6n|a&s
zX;!~}TK;<97q7Qbt)Ac0TV?zHYIxt7Z)vvMzA$Dk^XAVU9}JK1i9ga2T9lBeSEeZT
zJV`t;Q?JZ$+ViC8366SYnqp6r!Vgc1dGjhl?EJK^iR)xvPF|EU|4sil&q=Dan+&r)
z{*EzysrLKkk>kal9kYVt@=RNAOmgp?H~-v|z~Gj%l~-OId|BdW`bho!Hl=4e(<kKL
zlgyv`ggyR4k%q>Rr9Z?}>`TkHIKTH6dFZ9b`fK9vB2A5BTVuGsIP6fK-X!$qR4Vgc
zZOi$m*_Pgz?A>^;Gv>_F1yXv?f3;*SO2}X5zoKvT$6u+Ja(ew{J(|$ldC_xnisx46
z#qnkHS2MquCM~(_rO=`@4>{A;9W&i~`<5KEyn5x~K@(%ETc=f*Z2Y>Y%rDVfbn&9H
zefohNY&%6Vq>n!d3TScLDe@vie!91#;jAY?3l`Tp>9MNr(4H=|F(=T#XnIr7mGf7b
zWZy0>)?Crn239)T+p*N-+|mWRG$iutl>$|#xA=8Se!JY+f6Tkn<MoRpTH=D=41Pa6
z!tVUd`u)|F!LR2!*?4uH%A67)W1eGK?k;)hPVl$m!u{#br=HwlJ9Bb;hp^Dq6UU|>
z5`U}Jsh%|Bmmu%l8<$#l$i!RiX<8*8zl$UMv3L9PlWZTCb{E?2E2&tqMm&BOi}<77
zpf2x^XR>w(onO<J{jry;-DmO+H_p#F&l)rjHA^z9?JQV4d$M7|sqm7p%U<t#H+|l6
ze)jVx;)|Ak_IZ^2u_iZb_8hCO3p&gDHdNn!dL^(&%(Ap)?j5`3eL_9PMK3w>1)oVv
z))v)&);VzFNw0&M+WO<q_8wI`75en?*&m-+C8jQ}vG}hxQ&L&W)rs}lvF-Z=lplMy
z|FyW-qZ`|D&M)WX2KMUIzGuIgj=qpOujANWaW!%ImrniIuD*{;53dN^|Krlt3!3{%
zOm**C?<*-S>C~6?`?&Ap((Htsn)WLfE*U@G6+C0@+z*Go$Zd`|w>5X}sm}IGD|DhP
zlOKBI`DDCJ*O7T@EjE4S`*fdWzilM*R!pB9-?4krgo(ZSt+Rh@JmX~2oi4V})n>EA
z+D9{0Gi1)|xL&SEGd1Z?4|6|lvFuAA^Z$b`AH0k#ADgb3Xz*h1{HNwOPwVX5cz!{c
zD}Qk8N1h^!t7lfsQ}X%vb(8YkRi8HhSy5xCmNJoF{E}tl6K?~<?ivgG=cyC*k1o;q
z^sr~nT-SY4YUvaCpFg;x^Xclv%RQ&sH|M#C{l4PxUFXw7o7eO8)_-5uDlz5Wya}s5
zC11Y1c#aa!IVJg9^)+HYlXy;oSUzGug?P?_STVcy+*>QQ$a`0f2k(CK?i#^eX%qQx
z+Z%M(8171)$bb93es>M$u5^%?f^XdV8>_AzIk1Iu!jfsBqG8h&q*psc#5P}vIu)7J
zzf#EK%(07RJm(MU9y=p*N9fFngStn*$lS@A@of3Ix~HdnOY@Dr^j37*WhU>KqjP_+
zZOmz7i-kL@Dh+L}-LUQpKe09S`O3N9Q`fI|D0$cac;mU|!aa*-SqR9ougML#^(I8?
zwWPq(O>GAQvkHBAdi$0<T9UcUE5n?-`}JJ8!;em-AK9>j_41+DKX|6C`FwcMV+GYi
zmyOxCODrxf@HWt5-!7tDT(COP<;pWvQ$v-k<CkVhh%F6U-T5#u>+7r+(pxLPE;-xo
zzIM6Jwx1^?#BSGw@O0<eDP?_5d9c#`%kB5B^SUmYvu|gqEh_N7XwAM|s>*fksdJJ0
zL%jD2u47`oG5wY3o<O;+duICi9#dJrJb1F;ap{*=o>c6f<mY>g`~1@2$qx^Fet9Kj
z%ljO$EX8$En%DG}zmnKDMQ{F_X6BbyQgZU^#j+IrmcQm(x$1YptUXav+Ale3rv^+3
zJ{-7Bv2@R!<d?Tfoi44u6lwGAom=*U#Bz17r-J2{QTc(J?&;Q*iCy1d+IIA6j-RZ7
zVz>CZ+ZRt&7RB`hRTj<bF<WVJbIG&7V2$qCSCrb0`eun9p7iF*8lA0gmwaHeJoISS
zvLhRItUGC_vo3p;?9ZsU5<Qpw+oCqHW-ks46Wg9p%F`XaL9gwoZp7rNp&F%Id!?Kr
zW5Rb!h+V&`roGxj#IIY*DRNCg8c(;VU;nL+=?|O(rKjp2<Xt>J#5Qx^?MbIZ6JK8E
z>km7;`qoB+d-MEwS4Rr1b&LFC+P!(x7S`TPo3^l)FX~-Aam$^KE64Wg7spPUdDKm6
zYfYi=ay9YmsxPlRd9=%9?yQ&?@uk6&yI=Q}WEB<Oo#yAOx9;}kl_!sGs(d9jafw(`
z)T-OzepgcqOLt9s@~FzBR8n{Osz1MUKDB1did!AjeL-dKwF#@DqS7a(tE+a`R4Ck-
z9Jabl`umMfR~m!;c-j5Ve<)I(dNlc2SO_owKhZ!f|68nqTKX$aFA9kZD0f}CXTiIk
zpvs3grE*KQrY!DX;&(wrZ2O-Kp6=Q87TVtGhZ-fsv~5HV3-McPdoTA_R=4gJHS0~>
zc<5MUq}9uH%h#o7>V#D&w;komU;1vpV)yjbVwufeEBEX&@%pNKdga2s;jX(E)s#jG
zAAWQy{Md$yGi!PiKOTq_Km6$8X6v@2&KYGq-N!Gkkr0z!RCh*wv#C@{>dS<g;%~o6
zoy@bJK6R<=4qffl5fSNo+l8mb1;<6M4Ub<RD*E}XyD<OKpeKt8BH04_*QfvWQ7S)G
zxxeVqL6aok5+0+BJI-^BGVhqqHO{=FUT*x=^HabwneR@2eP->Mb8^YXyZ-5~lAGs$
zl=rro<P^;Pk$oHgJRcjerR~g{oA*pTnbH;M_v@xaPTS*~s}ifSPi{WDWOHX!+TzVK
zXQeOhR-Wl=Kl^o>nbqt!Rc2PR-)5Ov&3>0*W;Of$hMwjtJ$X0hTJ5&EXxtHM7&q+?
zPZpzE%0jWEB(W?(HOo64Jga&x92Ju9zEqliYsyxh_rET-i7#3$EqE>9pV7}>9CKy6
zGLAZ3@7iuLk*n*1ZB%31uj%gE8kfS{v;}sBZ7_^-G^}#dz7W!o8tD3c9)E7%tQ8Sj
z@q(s-oK`z+4jFf>N?jm5QO|YyijoRv8w;&i-n)w~J^cHjVMR&lmkzJ??T4EeT{1Pe
z+3C?<emMEkS&4m`v-Fp5<w*+V@t2?KrF)=5=ZaI$87JLCD@uZ=@Aq~({3Xx(61Rc%
ztsPD}9`ZWh<<w03v@Ql(sqcM%`sJ^$-HSv;7G~~ZY>nu77MR2-wd8xFP-y<|6(yQd
zu1gPJykMvm%j>)7(!-1l@u}<j(gI%=n3QyQT|e;R%f$sp3SySsUcAA_?eNbp`$CdJ
zznpi{J<y<Y#fhh9mF|(F8XGyLFFukK(<|g%p!ZjL%8L61=322`+<`AIeEWL&t#VJ+
z4w0#Rx%KL+c3Z7;S?YZIdiSe!jgBw1x~?2iUwp(V=kra+o$ewV7rs;O<X;xF>QTo`
zN38=P>di;k*Z2epE=!-(WvIMX^yJQ+x^G`QEvmcwa778@we&4J1*9)cyZ9tVc3#@d
zCoXqq243D9S#`oQJgSBD(Uhe@E-z2;EZx<b;}w1C6o19(vn9PpZ_N5=x+}NG@Ak>l
z{?+crvx1x6O17F-=Xl(j!qXX5m(+Hx;D+4!3sGINl2N8hb0XTVRp)!Dr)KcBf1k*+
z_UZ<0=he?<_MW()<)Uk^=$viA+n1WLwsB_W=Y2JnGavonjGo_C`|sfq_U!#%&b{6m
z=cCsDa3Z@<-rK14UG_WmS4{c9(#^l~)jj@i{NZ<gAM|^;soMUAa7@vA_TBZ}@BSvt
z&v%?~<;>IXQ<C-et(GZ%Df@H=cfR)Vm@;q6H2K0{%e4Ik&X#HM1+kWC|MPth%s(3u
z-_TvZMt*nry%l#Czj~~rxZX$obZqa0>B}cS-Q;${`|`=6XyqqIU#9F_C-~`5$)-Ek
zIV$U-exJ&|GuKUbeL=d^);;^)W?uR9YTL5F)7iJ@xJ|vSxG>LW@u{~H0<JF)e0t1%
zMfT+tMTeEHTxHY`t^aviyI!;U<<;-bLH?D!KeqiaEd8RBZa2k4rT&zHl=At8)AgS%
zB%Uw%^K7Gm*;n)a_Ju3=SNiaH{yqCRX-4sWtFY}icm1EdHFt|$#d~$_-@pFGuX&aI
z-8J&!vMG~nj(uD9=SiZO)l>EUEw-9fl^d=;@D%^2#eF_;cCQJS`I?1mvcGFy+EyZ&
zcdxC4%e>Irs&)2G{key9@6Pvoc<i0;@`CEyORt~v&C7hnE_y=TWBU#dn-6CCZ}Rts
zhyPw#D%EJeAXlpKcKu;b)q>CYO9gj)HNVVp=f~~D=c=4{e&(IZfBEOi{WXO(ukyog
zsDCwo{d)SZ*}fOeznV)gs(+Pl=DhmK{hSuFyrnJwzs;^+ANsrXR{b&Y8`8DE*Os5Y
zSM}3>Yuw7{Z{Igfk6Zcsp7++HHri|Z)#c8g)1Lgce&<(k3pBRqdX(X>n*y89O8h<9
zedlFb&%Y_#i$5ooOo^9SvtgcZjm<oHzB{wy_*Cy)NZa}2PP6ac{-d9Fy3ap%@EKp7
z7W?eqyS@5O?fjiS%U|72>iqQg(fU8HSi1ZWHh&`caD7aAxTlSz{-L=a3;3d^vmXyT
z^?BwTr#rJkD_eKiW`E+^_%i&t;HBMrepa@83CpjRQ`~z$dUkln{&#)T{X6!*E0a^)
zYiu(;JK<B%g|JVTAOF~6VsiHA^QDVA|N7J@pL*YFKhwX>{^!jaqd!N_KbidS-5(b_
z$$5VBPpKa+ezjBPnC^bxcN13YpMF2p`k82w@%A&?N4DjOzU<!hXu-Ye#{Gv+%~_aP
zQ#iXnI#kF%MOd?bmA%HMq~+WllNu+v%j*Ys=<b~QqH)#azbB7e-kDnR^PS*EpSC$1
zyyyQ$y1tmd+qFtcI>CPWYS-`o@+W6mN{h%{cYBf-V7Se_Y;FnTRU@NKd#7=?&fRLa
z?(pREFC$&&?=YOqvoWtDcw$ZDd97c!X72V6QjzTco7B_xM7jFf1yw(1qq5&iC3sJ+
z(YSU&_nq;i!h5BK^C#9+pVzWFzS85DQ1s&vm0HfQi`L7#oN~IQQx)TXmz2lMS6h66
z`Oz%FPfI0jAJ3Y|6ZyU;c;cD%B+=%gRT?hg*3Z0yl<wYrTovl)c&BI5jIfrRSdT|J
z3zb@5h<{g9j1T#;-F{+)*7A;RTYp`?X|JxSsuX|n>NKS<U7I~lgp2-7TC-}k#rx*n
zNnxx{Prpp+n0j}~LI>X(Q})^4kLy2A_<ZfhOPQQ|qV|)U&q_b~Y`L%Z`=LO?+Lbl;
z*w~*x`pjoxa((&dXW_H%{yC&4%-^)uTxW4ty^8t8Pn8=@)b|T`8!gLci{D)l>L=?O
zq2WL4(a%-MlP7w+8!k(mlV$W{)neacE7f9`v7{H(c~>-Uw|W+Rs{Qrco`-XeJ-=9C
zEk3_JnZNR%=<(+tZ`g{@XMeIb=9g;NtnTy9pGx%X_)k9G`NKw~KXp!p(T}7>pC#{k
zwt2kxckitBkz;dmo(Ly(`<>Q4k~Vi%ct@_a?Ro*}3a>cDZJ&DfIOV*utZcn;FFnW0
zW|o@BxsObLEH*Em)brt+nD(7BeO`}G+ZkT3)ytjV(e*;e@bX`uN5YjyOTOu>=s4zd
zW>v?rg51au!Cu!Hv4Xu1y*6)9lIk{Gt|WE1G`+5_SIJB_zF#Dv$j(>jiS~ghHMzlt
zYfGwJO~jJ2Tus_+jSioUalP!iw9)&?fBO@<!J^e?KtmJCOHAbqF88}evV`U>o&D~j
z%Tq4FCG*oab*xzB^>g1wj_$iPYq^f!ezoVD*0D=_b{(3y&20TTA)V8!weP&V_T*}4
z*VD?<R>oDKm8GGF*X`LW(Y92~z3i9I&Q}@p!^0(ZznvBy&im%vYHgn8x91mor#w4Z
zzhI{8vVhu+S3lj4PJ4dpZLCfBy0?#ZP7;<l|FkyO*=D-=maiXU?wx#gthkbUw)pwf
z?<EUAyFA+X=FY5&2PY-u>fgv!PM9<G<o#Xd(@xIcr9bUt{4V=xC*`XQrk%WBsW9zi
z^p~y(wc80xpR7$u{-kB8{b>EP&m}Gov(B^?{@;K4z|0v>|L*gcx#qa<8m<n}MH{Eq
z6`auuYvB)fh%}T6U2;xnPRGs4OGit}=B__+eA=TFSCh8a*N%2&tZ54ty(qCR?zz^Y
zjaGi)F3CIEVo$6OJi_-}T8GtQihuBn*cdZyg|H59Q9<oPN4q*ilha*~cx}+1e>cR3
zw`hw}e00}e(Tfs&(;kanjNoP0T4X4r>kcxEFU%#`BC7A{b&VwJPrcKea?UT;JfnR(
zMsTCknse9pCqBEe3S?R;$TZFQci%mq{dV1n^eIW+t|o1ZQ}bO-!VX{S%HVN7y{;=`
zO<yk94%?rmT{qfl7BA&^{W+5>_{p`W*|WPcmr8%mp7LL?VBeql)|N+>Tzj1M?XgFf
zPiRSky}|85l~51qZz*x9tT!gcNB)@V|0Fc5gZt;y*1s;5Q$-gpocj05RIMeac0DnV
zG7LL1=V4H5$n9%?Zh2j>tG|8dW|j6a@%{P{9m$ocT?HoVeGIK!^LUL@y)GE8_tDfl
zI%jE6%9q>gj?Y>8?sVwkr+aoC5`F9z7kjl(b^W@6wL3tmq-4XVmt5zAYCqrbPC2(L
z-lN89^_jo_)%U;p{Pbqmjkh8ycHxPIALmZDR_$E%-(Gb~B=<l2*NNu$HGOLKJyKmV
zsnpP&>+CcO_5Y6HVasHUq$4)Q*p*)GfBtFb>NuO}{BgG*zn=PKbA_J#-fc&Vp9(IP
z{=W0h6T461Rhx`<9^IQf^Uj0MpW<6nQ;Vw4A2Hgw^X-~9>erPlHS0{)Em+cbWLrCb
zS8meGPaK-dY*jBk?M^xqz9_a%dEV)7yT#6@GRO5k_nMYyGu?NG-n`RhF~a9lmFIOz
zK2*K*^llPQs8Z}b)oCYr?PRlE^WL9~P&41|>N9=1$MkxYbqeK`pKp9Cm~P&kS~Ok0
zC)0mrN#%8k&r`Fe$M-+q^w;Wm@y&+tM>}&4e*G9@_V8AP-t7&^Hq(16%$A+@UV3`>
zF^N?nyY>|8OqQ<Ey4H2)p72JWymxNK)zhbYO}Cc|pRjvR@S3obeBHS^<v$nB(4K$k
ziQYB66YnzBe{RaX`*HK{qu*VR_#YCr-@SaU-m=}kj~6gWaTl&tl5%$6lIdz9_R!7M
zr0rhD<gN^zV{5xIcx*4ZffAOby??*RgOGi4obN;Vo@U?vyW;H8t_+<c6T32as-F~r
zG)B0ZwAmJ|RFdj0T%;s*SZ?Qp_{AM>&t6w<z46d~_KHctF4Jxt{}iJB{0Y;WGuO2Q
zwU4ap>JUvVcRkXz@%Z_73e&qi58q<@nZk8Z=ft}S%TKKE-Tm}xXp2~7X)E($_qf=j
z8B@c<EmU?rzZ%+PR+-}B->mTaT!?e|<=uwA!+7udMjxv<YFc8xS2%u}`SRM2G56-0
z9WAb`&XV0c@ydk1o7{CK-@alz?WFH3(bG@FO8Zlbn$3>qRGX&kzaxG63Ge36HBt8r
z@{dh>!uxsK&x&h5I?vpfUzYB^bVEs9jdr!k4x7*6ZAZ^1Pubk~U)`<J=<JtPiKm+N
zTV946p4!=5C$iXPX2Y+yAfcNtr-OvzdjjYA`2O|l`7~w!|1X;*p4vFnNiDXSx%Jms
ziKm+X+aKs}Vn347z}u_Rc=z$jZyzVRY%<xmYJU2W7tal?RQ&3V?ryE0^DkxB>KF1;
zgWVO?o?H=Jx9xDzD~&@(=2#sHEi1TF{;5P-Zn^zT|J&w%^+xl<zV!E9K5tx>GN;aW
ziS_{z<1~qunydd`$7pd~v`!aweIfjvQ!#$E#N=Ri!(UIY2<AB`KhZyuI`N^}Kb7Ah
z7ryAm&D}S@`Rwb{--|X>w*GMBGduq=|4G7UoxgeC_`}W4Z}v273$!~w*>UF&n|*B0
z9)12Hk)RN$`KNzbd<dtCoPUjm%9N{>tMek?PJgv0>UF5Bw(*&MRl7-3mwubC{eODE
zl(V9VMc*c!xiqQxNq@)w-_xgOUElXT>gQvARiXF$|6MZA-XHE=m9goM=gUv`@2Cm~
z*B8t8`2RiYCNFI>t3u=F?mue{^iIW#o>rLl^7S>(N5v_RUzGa`uUBe|?x?&L+^PO}
z$FEA`qAB`^*2+9Re9}<S{b!QNr2W3a$FF|6Ts%ep;31i>?cqPVi>BxwmH27QTzF^0
z-%s<|mv|QN$90yt{mg#JIq{rF(H7%#QZrBO_^t0gWvcxqIq|@0PgZQYESPFjrsq0k
z>i)BG!eEi&PuFFVcC_xuOPQtFx;kywgvB+2>z-=J^G*J2c}o0o245bhpDUXqSNPWZ
zX9M?%m=|r)lVSOKq_J<}ini63r`kVGyuByD_JGj++T~%owYP1e{t3LXW$IrsF_T43
z^zdblJDZ)KZmqUdT+=2xcfx;5-N{mAKUOqcU%N)<?MLTN_P>^H-YKiT_}8iSZyhW4
zUD;Tx6}72GhQE87kL-!`MXIOS-%iLlc_pwqz>FcSKK|eFZP(+fmWthB7iJW$6Z;o0
zxcTYB`cLZhcg{?ipY!OYroC#pb%n~ugdd;hRXTQ_dbwmn?B*x+Hm46=2$MC?TCV+Q
zRll_4tW#^Pl!Jp;`SMFk`aTM)*KiKpIdS>P|C^_s(%AlR%7e}?QbD>u4))v%PX8NX
z>6@A^mKbBRSt9t+OjQdd{lcUfR?%w5rt7&fS29Q*zH({uBk%CTcYf?TJk!c<UrDLS
zwEclXhp${Jf092XMSMkL+Q+4bGg70CAAY}Cl>C|5V?yq4HoJu1Vm!Ca6L0O~)&6i@
z=icRm4&2AT+c)rEU|&_E@NhkUKy#E8({;8Le!3!kTpw6>rG@`I!2FMkTbB1#W|-rl
z_gRnMU+Gx*e)<F3szqE(`$gvRRt4<{*8S6+CK39f;r`v@GPB!mhHBY9;5XTLd{JfB
z@?RE-7j=&A^_AJ2vE-4RT3@t@(?<p2YTa3@D;hd$#m)xW+&EI#ou)09^{Bx$ScLy`
z;iW~KUF|b0GA=%ukoRbU>tqpr^THd8I=g<)vT4iM@<`53|G!C0p}nxXuGG?s`A3yo
z&7_<1qgLc!jy!r@_sZs%TOJjQ<wci7e5~xQm9$#)V@>O9G1;J+tA{dX`)S!FJZy7~
z7Ja|H;O-*bF8^qW)XR)Vr|W(xc(LQrZLwX}C2=2Jx$nwYE&H*gbsgW^;F^O@;_ZD}
zb}0)tJ6ns$8(%oN@NJLqza-|nIjb~3ENfh6_BZx{5cA#KU(E-WvQ^y(Q7f3(XlC{|
z?g1b3+ng%j2WHG~bE`r{{EaVOUbZdjWFR+h?yaR$O-wJIT=t(MCuz}i-~VEr7uBq8
zU-40$CN1&mf;UsXq{O2O_AGh^1}7HY=ZZ;7Sm0bQvg7mthW2{`J1#rax5tU^xawfv
z9w&HI*XK*=ig{XjDU14@|BHMIl9!c<S`xeC%yGrpV%l-<9&hmV7Fqw{;<1HGyPp4^
zk$Ac0D0j`7Et!pva_#)0x43<*l3yzuwXmYO|E1a5kUb~etJ}l0<FX#Ea^=3F<*mb$
zH22n)R<^3!U#u1VO$~1>Gt(+McF15hpVqyX$+LZ5hn&@$|6FZt_@3MD#qDMq_Z}~v
z=`1a}op-}r_XUs47_F>Iygr(6PuHEb(&~BSjzh+-A9cBvbFG%|nArQwEH-q{g+nZ}
zpJ~b+zP4rMPP3nD8oR~zDu3A0$St;4_rsjV&tiMEKkRB;X0})V!>q<_W_#7O{30{b
zF4u(?Hvc}kY(M9otb{qfrL6Oe3XUz>&N9!i;N+tHUONlL&PAH|&MrLSlsvmkD=%Sl
zvHx_@^II<*UlzG)_r}gfv%lU6#&OI!zxHcQW%{4mQ@&!!smGl4r43nE?o4dWUeJ2f
z=6SEyRQV6-vodlo$i~iY`!vUJ#cItRYkR}k?wX}2{<bKK3fXyzoyop2PRv%rO0U8q
zJ-B-72UY&(xvwO?_4Rg}`5Lpn-&}Zk*~~8X+Zk^H%#RA^m=t6zc(hxu@79)ELN=F<
z<<73s+`F&+vDw<tUm4Qnxvv&~X_NE2wI%Lla_*J#74nyB|8uW!QsMs6!Z3f?>}>}M
z8SPxnMfx~Dc<x$vx@<o~a^=?zt8I>D?`bz*xl}j%OAvqkqPA@{zxDk8iTsN7KCw3U
zkNn+`&u_k6II*BgYtwOO=jK=0C(X?MId2b1X*$dvXO$LK`GK*1QFEo5Y`D#-gE^D=
zwC6oZ*ypJ(qF-ikZi#o-?p+y)LE+vXgxDYZ?ppKZonF+eE3q$^*Yp@<OxOJ}p?|Yl
zZcxpQ$@Up{BW7<qdCaO=E#u~1v-w9d+8#-pJ(JiH^ifl~n0MCtAB%bg)pUbuZXWZP
zJWXR?R`L|j(<1TP4bLnQ?OK0RBI{Do(YrEdj53x#3j5Q`mK${E&OwLC*R=CqE|~8r
z?L61+-Ha{nx9?ndT01}LW%=2%3+I+_YZ;$7DAfE-TkcWPde6O~Y3<E<YIlQv8HiW=
zyjuMwme1@XN7ye8@!h_!)_<AFCni<m_wuyvoQL@)EdJ(&x0aN3RdT;iyqI*<Mz|{K
zisMIF=~&&U)v5V6Uu0a&I@Tz^V6&&Z$g3prx4u$qO?68*-dwV=Yx>R`DVHpco{r5i
z$f&vDHM!sTiucy-<~J8E{MEI7mqh*rqp6umA0IZlY5ZMs+Rx%__^;H-{EQ|pFQ@z1
z&AO0qRQ#sDLB@nfbN7^QHeOUQ|8#o9td*(Xch`Jb5ZT4Q<B{I^u$l?a=Q<XP<d++s
zTp-zHzPo0|GR>~=*)?yLzFSp1^`v{?t7R2Cn<d#|x!s%lz17}cmD~I9@g~pTqW3=)
z9$nJh)&IjH{gT+x<27^4GiN^%w$q!j<*?Z4I&Nv-s;~>(Hxe!xhsyc<V6JrB`XZf)
zUm_y$!fYmf>4?mLT*1EzVUk}agylY5=bBy;x+m#jpR2lv{FV#17v^@ok9hI&0&A@9
zcIg)h!McrSeM`2y+|Lv)A@SzIX{PF%Kcu$?wZD6>vG`S}T>XLgCyDc1#n)&}W&WSJ
zX48wqo0`6DzhS!U<E~ln_zjLN?DLy?e22SE&&CYq%eDW@e@NCkhJ0u;zOhJp8pr1@
z<0FescO8$Kk$5pA)adx(fbMgee`mBV<9mDU+x6`i4=wZVdj2^m_p*%hwI9njTw27h
zD*pMY*xXB#_B7~k&X}pYV`cBsHzAsPJ9|~d)&}i4d?=#(TK3vz9i61*0UyQgF;$+B
z{<JXu5J$J2-jzJNXSYnQh<)ba{1CY-=k&Y>Ni&?Mrc9hG`oMD4^1M$y4D%Q4-u1<g
z`-9HiKnv9a#wF3=53}X;ZeI!g-Xm+a?v_d9_3yD}dN)i$KUVTz&&d*h_r)ygmPzbO
zaod<pE1NH^uiB9pEPix*Ol96~r|)fwdwi{~#6HdcG-F%l&P%T=Es`!v9bKGrXVc2<
zr%XN+^z7wb+P|^z__BRo`A;6U_)1T)JLhKG{!4prXM3<&Zcx>KhkyFlxpytDk-V)F
zwP@OJlWkEUt{2ib99`5OwsOt~b=Ds|cZ0Zjwp={3z^|%CLhM0tiR;PDwmKVLtoe36
zi+{83u0<8S?U7=!p?j_!_UT@y8JC#6!1b|@tfd)Sq)EufI_bZ<ua^DYfAJVYca-M5
zjK%9+XK#HIApKV-YUPfN$CrEGl6bXc!?i_kyJlBLBwsW;>TA0u%{^A#L3GWA7l8}&
zwj11BRBBapExM**X=<1G2IKULMMq!XxVb4~<)gK4u5IRDSuy+Q@}Q{I6%6v6KXxpt
znBFQYmTPn*O_`}?-nvzVdN*!lUUquh=xtWEQF`@{uHL(5x}kTj9^{){rm^mM@-|=F
zl9D^A%(t$@77EJ??|d$5{@JjzI=YZsJ~-#q`W;jISDNvL+_`bQ;G2(#`B%f4dj*f4
zt@YWwa{i-fcE@g+I28H|Yv*LG@jiQwA%1C@mG(CFA6#2Q<=;$ck5$`xt@J}z`&PEn
zu$r5PGbUSU+C5F$@5o)EYj@Uqp(D4be39Wr1-qipLJ{W=$iH|UD);^G{)K9x!XM)Q
z%-g5-p!QFn*U|5<vlp9fy*lyQ&12D591`=T^MkWnZFB!jjav2C{Kz3IJBKX&l8=V>
zmmU1&8C!d1b7sf6e;>{Mc`|QFVdvk!bndh@xp&fQd2X%l6Ucs<5+E-6Q>#k)O5DTg
z7f&w`<FfCa$7UM(L-LEkika8!t{i8YeXLzJZQ*oh@v9xpOg8@0O9Uka7HGwWSm+-J
zzT)6(t$yHg$oz%x#UF)M#bkIhiv$b1i>-9Oa9x3;u)JY?V72aMBL_{>;2ky&oTi~W
zEF1()!*&=rD4GUY*gG(qhW!2CJ^#}4RW@=z*7E*oi4$Pv-|*3{^h*EB<i&bh4Ugvk
zQ)-L;VrZCBZx=6d#c^dWZ%y+)-KV#ue^}Or2Us)TU-I5#{$F<e3*jt(<oCJ8i+<3r
znY~Zt!Tle6wUGzxKg6z5d@%oqTy5Zi{ExN2_GrAycp&;~pUKMZ_Ww)wPCHO2SLb|O
zSMZN=ov$lX+@bX=BD}7ce{lcRHleOL{!+T@zRB(J7xKJ!%;nwV^Iv(z<i_bQ*qiM7
zIr(hET5ouMEWEsAu2n?;?;VMk%8ssOHre!I$)jnEQc)!_g`XLCb*xtX*w+6G)E>O-
zZrjbLvG3jEb)LUP<i8f)Ub41}|M!m6OKC^v#+sO~-0au(k?+}zl90I*m-4C2C~+<H
z7hmi1O42HoPi<C7=*zh`Ha_B9_C-&RZ^jkZLi2e!YNf$<4j;9fT%|GZO~OP+^{sCT
z%4&S91a{5hOWV}ExI$(3K{eT+J2zZ~C*R7rY00NHvn24PZ>&k`_TZOiV@-@#Ha}u}
z(=qc(P@%H8dqrTtog+sRC*RV_d-iC8=UdVKvJE#DD6jgwr}>wfX-HK)d+^PzVZRvI
zFW=A%wz+VyWZUvb-F#9ru0%Z5x6a523O*VxYhtu==c8gizgbrtKC-f_`(!QoF{$~n
znrUFo(SwGQXKC8KOt7`y+||A-BR5F?sJo9&qIj(Av6XycGfKQ}8}!~Sn(U`N@7y-i
zmpzXp|HP`z4c&9rQG9Y<i&^=_V@v8*6)$h@Q@b1Xi%D3z@^{Ez<=8EznGL6MN-tbp
z@><LI*3qEJY?`&ZoB7o4hWyHvj;&x1x^vhuy}L~N-Ma;oU3ZJz-*U0>ugcNoxm&hp
zG(LK4b}Q1v{iC6DuI{Y06|LQxHE%BMdbVpu)&-5D#<4l(nKK?m>TR2867w-fJla=k
zVMSlJu3B%1&CR1GWgkTS3pZX~qS&>3*NwzW0Y|-KN{ljl9@(npNnLS&yW#c`-sUQ8
zyT?gQj@=>4HnuXe@tUU`{$cP<^2>$*>Bt+&7YwG_9b9~NL8aE-OGhl5cWKoM?+#(}
z4f`b~KAm@#RMgF*uFcCd?>&38$MLf0`f|gY3p~57@7$4e!R6?2-yE}yhF5PA9!+!P
z6?tz^cy@tYm;Q_$*%us+^6K6(%UJP9RBheoxJ5hmwpQh69zE{!#%RU<M~l_UB(C@u
zs&n7wky^ZCajPy{Z&*zye><D*wQn(Lr=_;Id=!1B*gQ?^T|%Pv_m~Xdd6sN<uil$`
zbo1Q>pSy0eN~B$ojO~24Avxi~!vg8=g%_9H?JEBvk$EBN=vk&1)0Z8O#2DAjEb%E^
z&2Zh<D$#p=jr3`sUo8vXC+ze*&-qI&Y{r)@GL^?ZPdvUPp7Tw9!c<RtL5qV2swUUz
z7A$HkRr~9f5YN2Y_t(Y{gQG{Jnxmwh-knWpuG84i-)O4#*CWB6Ior1?FzdJ7t%xs~
zj`y9jyX{n8uxF%ROlPTO*43@@x=?=c&?0}Yhn{S+qQC5CVwcQFyLg`U9q)(IR|~42
zF);h;{90*}+Sa-B4a<sH_1Q1Z-CJSydPSqA+Eu}d69<f%|LJ^K(D;<?uV;(@jT_mQ
zWA;AQJj}l$plksj+rRdG>eXSse`c(DH81tCd`NuxgXb6TE>L^rr}NR^_#*FVJ0{kw
z`DJ`}W6PfF&dhR+Rn?o19^U_Q*`)1N8Ce%}y~|$qC+>3XU(x+)VW;Ev&_@&6f3cZf
zYHH5e<2-lkF=e@b-o8^$<$Zr`;=S@s>3+w@TV=Uz&uo9OXIr!EhbR*d)f@lodaG6(
z5#bKjowY9SI*Xmkr)i6sixlLADjPK4onm)x{-$a7Fkz14SCRfA!!Gr{M{#;?(pS7c
zx|QAJi4x_r5l`lMwc<-Q-?2^7%PLfNSFq`Z&uo2Dv$0<?N%*eLt2J{=jvPpt{7>mg
zs@btmrxt%+FteFaZEf(KLyq;6&1NXsojaf~xlXfSP2)bbzfLO0W=b6TJ*TfBP_63o
zy~PzvI^*`N*Le44LA&SekYslD+KT<*cP={mPu`~S?#Tk{-z;6bEhNs_wLjXZ#y0zk
z)7LHE3@<Ej{H6G{md9wt@<%__*ft0rnyTWEY?rQC+t~Wi=ERqjz2^>R&R+NW;JX#=
zZG5KNpH6wZV68JhN9nfS+Bcl98Iz~=+P+cLjythjXLg%*Tu$-|Uu9AKtru@CyVy1T
z_Ku{>5l6Xm?rg}M@yOMz?S_fdM=@z%nORGI^t6ZZZ4IrjP@n%IXV#)GXU+I-nOqdP
zzoWZ%e}J=Wz}=iH3y)bXsA7|Ne!((&os!?J8~K-Qrrwi!aQced^XJbP;ul@xGH3gt
zwDr>DlSbUvb)r@rdy$y5)VEi}|Kr9x%Vyr1!f7|{qh{<!7kTg8S<5OW^{du+h1=Y8
zw{B0<c$b$n)t5K)yn=jpuGHc$2kpc*T8i+0+<0MGVb{+KHyhno+)MwoaI>vEe@U5b
zZ<)<jQM=Y{Hvhf`&%Tk7c%jt!MCgUK>p8Z~UmnLjoxE|L;{L9;H5ablG`(@ity@i7
zE-R5a|C3fw*H^LL&>N=?M0W4f^!8=+oB2i1@}9}oi<cHiN1ZO@et$`BZR(WPJhrWu
z+cvyf$7ULCbNR4Ix8LiXmDAc=#pYftE|zB2zqCE&WR=`(-Kd3c6I5sa_^`HOWBX3A
z*egx7wre(L?&mvJCwJ|3iNc=34;v0HYwlvNxRG&L@#x#14d>GDe<-_iSZsEm=DQQ^
z6|>7U-<?_RJNui4-224UzUm_V-!`0Fru>k%qy4OzZ0MZ{?TdZGx4f6SEs=cL^yqb+
zo((UjJW@4_x@qFo{w$MUS2ip8bl2bNKOOU?m;U1ap(xs6d)VRiyWfpr-wbs=+V-uw
z>K0wJx6-H3+w_Ok(ciW=PnErHuvl^Y>E5pIl|?uAdKab#`>lKU^`&U=JN`bcxO}hY
z>qGZkoAP_+)rI*9r&xVo{GU^*QnfvL`Vl#?XO>0_CBAPlxIXcK-s3p2XBI}gCCaxO
z+@4r$^7yRH4%wGB$Eqt2y{J`w93~cKVWcB@|LHCnPBX)OnMpg9+mdT;PqBYn<W}hY
zEmX!(@3Hk8eM_OJ)IH~q*zrah-AhSYuROi0CwlY$XD1p`Y7R~4{{127_Yy_%Uqut=
zeo*}W|KYakI}>KNZfnbVBtL2OUw+Q5t-UAr-6<(PZ@RruS77^$LR;zU%};tyadL+<
z7ZyvJKW5dLaJwK*sJ7(e%1HsyGc%?LT<`rT#XXt%rjo>Wql;#W>m(jW?%B;!^eN4z
z{dtwb_a!~gKS~J%q#xZ}nagpcI>Bc8^+^p@n)6<IOSxGeHLmgDeInNSu|z(YDe|m@
z|7SzZ2~T?p{pGWnJDCc#pRP~ekbOva-Soz_j8`X}w7GSBjl;QrIyR?{pK;*(-{byk
zhTw_0jE@EFma!KJAK0OvzqBzX!|2`P<U9rQSv}RiX9%B|s`fa}E{(lt=kXttT7w(c
z?Wif*T3~ZwLd2o?+y@Z~)6d%I@Xq0zl%13<%qv_p`Furxqjh6WN>6yCg^<egt7^>d
z%r`Y8w;NtmQVe7`ChQ}__eE<)YzFghW=j!6yZnT7h1s%?ceCZO6d5GM^z-vH%1B7u
zH!IMdu!8Y%r&t+lQR?9eA^x=vN{v0oqi;x`uvL9*&GwB|=xD;7Yc8i-TvV6X+J*fp
z(mcFlZolZhJG|XI?<M!$xowblzPe3+`kXuJ^N*^`zZ+|IVz$IP_4Nt6B_*DDf9O1!
zpRi*7p4-`(2_5<$HIMP~@O*pv;iyu6;)M0qIfvFaH}1=u$NAs?#q)0Oqlb8Tc|NGx
zHy0{1|E=9AnR=(wTqnM%vB&y@!sn+&6ARPjYW5xB*3Oi2t&YF5(QDSf3#X=6dmfvd
zdxc*m;dsTW-m1nsW(IMuAFuJ>Te4=&x5BpD3wEq+*WQ;`urI#le=_@Q@x%6;yMHiC
zw(eyr@w~vqE1t9Us9^S@bLpm*4Yht|vuYpt%3ss1>W_($4cg(oPK00Uj$(KhyGY*T
zxwZQb>2BKmK6r;`*|)u1*F88YrXS*+bZ3+MLo3g`n`;ZE#&o<5+2N#jq)a15?Rv&-
zQN4+~H|BDQpS*h`td;voUQYL+PNCY^#RYp@;={FNv@c!{<#PA_cgCw#`Dt8v>!F85
z|M$i`4CvI+3ATuS#3?O$Zc4==w${t4^ESji4Cz!~Q~V@o9iR1gd!fhsT5r|-N_;H0
z&G6{-Zi#no%w}T09(^>jOG$3jFLXb~e)Gk?n0+S%3JZ=*_m)5AD=YI&_1%db8=IZ?
z#i$tWd-rgf{&DkT<~Db}JWcBUsLO9&v-86v!5ya#xWq4dzehxB#)E7lw+H5iALQ+H
zK8i&+D`lQP-B)_;$WsMLh5K(hu5Z^W`4#)oex1g?2i_IwQx@;;)(>3H*cDzi<Ef_?
z%Wsj;jrSi5OIPce7Mz&8JM5e6u1X;uiS>MW-r+^!eX9<+?mMz#m&GQ28<p@%fh=eD
zAdf>D#kKB6-iJI&nB9f^4rOnw>U*-V<$9{GjHf^6dCBx#&e<nR!yedcXsSz1%6{yz
zL$~MRw&EvR<~^skm8+<T$6d=QI&v^>Wkt8L;Owhi=2nw*H#mJv2p3XZrz!WqYunM(
zqs=j%hjvKSO;*k;s;IqqZ)(7U%%koyCpB&?s#vR9KR<s>-p$WGCZ(qDq<`3p_3zmg
zAihKBa^@Pb=qINP7i3n5UD^>e`2)wojZ56RmhYUz9O(a%G5DX@J-@q|`n!YzUo8Go
zyv~LD(BtE*;fuKUiprO%?h?57Q@;Et_q%mJW@yWmm>=C8`|*vH#=X~F<zmNJ%XU7R
zyES~zaZ&yCiy!f>t0+$NNnEV`{FzzeY+1_<9(T+?%s;}}K2P8ai=Wk(9SVmGcV0+6
z>DKsT-sIAT*e|LL7EvEl<C(gXMdLrIelm9Zn8m$T=;Y$FJlyO}Dc_E<aPMZelrf%{
z_b_2X&k@~C1sWc5bFcldIQse2#FGcT|KI*H$>)CfPnR{}uWWfO%l2y(EN%R_Z%M$%
zd(sP%o5VhLN&cAcyqv{WJv;qA!(8Dl5!Wx?W89^dvGCE(c`OgM-`cdyPKUA6{m}15
z=QbIsN+!Lu@bmt12is<3JXaUaYukALk@vAU)w2__AFF?E|1~S)t@oPklhR&p{qppH
z!r4cEZ%kjz_E?XR?}thB^#AJ^qdwnTvqSv%l{zEi_2$2C)qVPNrTuTYeP!LP=gIs1
znM3RL_t)C-2Gv|R9yh;D!|p+Hzkjo+|JMz-{g>tYe&29<dV~IBNx8CLMXS<n&K~Bo
zpLxyn&fzlqnWh$(4x8D}thMMm&sb-4H=AX?^q-Vz583#`|EAPk<>dEe-nk@w&(Y&j
z^M7SYZ~GPTHrW2??8?SZEBhZklhgZklIM_^oZgR<I>*A~&iy`_b7-2}xt}L}j(eI(
zu@yz0tLW-wYkacbAny5Nll4dY)~sz-<=GN){a>RHTht?ug5$@7w?vjR^51`AY*qZ+
z%OYbkm!9FrCKnY+IgWtVK8vCkH(s7R`hd^Y;!8=vh3UVA*S+oN&D<BW+t@BEd6j<n
zx2Y;IThC93F5wNAHFz?q$$Oo#!L{kDeWt}d^Xxk0ch%y|q@4cCTEzz@bAPh+nxDD2
zP(l2*j{RM?#SWV^j^v5yu}+$OxMFc@cjJ@m1#9Dvw>ktBNYwE@XFhpg<r4N~#g0Z?
zyRIL)>0nf;b@EZYl1JN#pE9Lt6Qr|`RqM>*QQ`E^GP+=*Xs+KQJu73<gx|p{uQ+UO
zVbj@pnb*W$h5v6f&mBG!|B39&8+SL}xovnae?gqnIx)%ZCKoLf%XeRrVg4#ybo%g)
zxt(mBI}fMsxp&MbUWs$j{bM}wiv)VE-<<Kzo2B`qmvnKh=aa-er;f?Qzl)PxztQma
zbPndnSIyFX7QH=Mv9EXWJ{M+*{OwQA$C-!!ijpp`-8nzC=J;XR_`FJq``-#~`>S!x
zd+eO0Fj3>!^IVzFs!B(=FDAcub-BH@>Y_t@yxswcc*6_Fr@KfTo6h^?o2p>jM^)y%
zH9KdnTcW<<-M%|1;g^IX%;WPeL@HmnyuAIeoK1$u0ghYQ$9XtA4BjPrPdl4<)LHlB
zwa8SjTPJV-(BG?ZI-cKo((X9H>kGHV*k@V1lihjn&&mb+z0_{`vy>Wsu$#)6mH6O(
zNHCZGw3g<rR!^+6yza-X&Dh>(xKGWD?NP}8);di+;r=PXZATI!l6q9lKc|bl-=wlo
zW6#w;t6~<t54V`@KV_fF*-d$NM}AHckM+Df%ldcG!647zY1Ti5eAg|}JS|&$(qJWs
zt~psQDCxh6Yx(Q2(z_GS+_c@5IKN26FuncJ<-R57-i6$`JvHQ6aaZ_HiGz9FR>u8F
zJDmGZtt{Wyc$)8TG|REsJ6?DkQ-AEa><{a$$|{3-*(Yl6*|*wUoYK8&r`Dy@6YpF#
zNV;--;+&fXzb>7h*mB9B>+<c1B99E5E?=K`C8_h&hW@M*^VihIpI9q<(r%hV#Dnl@
zTQ;3?%6;G+c5`RJd~=nYn{L{%X<j!nTOS$niCLfAv#D?0laTqLcg{_Xc^%wky<<{y
zt=q>0_SbV$JYKF-j$Ga@a=l#D^Jm8+>%J6C`H-0NQ;#hFVE1!_@=w#*qVh#5{b`dQ
zJzW;#v+l|_nNpSZ;?<YbcTK9VbN^WAUX;E~d)^Z-y<f3Mg=0>-?qB<3hH|CJZ4v)M
zgS(z>$CvG%v_Clht-;}`HVfFg!go#De(Q|b>d;BQ&$74fx;3@rshHNrYg0>}hIL)v
zG3n&xppRN-m2%frZ0vm8w`7*VyR=7BCiDD%V_xXC`GDm4V%6Kr7oIwAq}p4#@6!3*
zlf*B1zjTk?+5cwMj}GnfX|W>j3!d)Vy!MfvnxFN_f-7Od>9Qw#(rZp1sdV4;P%^$;
z)o}a#Q}+v0S$FM!l)dhW?f1|-*C)NcpZ03@ywazycC=r*ZaL|vRNFE!i%DE!X>UyV
zR3tOHekJ%adkW23w!>55W8ccI^FJr8HFGN5?y%13xz;;DkD}`}NAEIw?w`4QhoeGe
zj&P~g-<W?NzJ{~><?4<7wQE8Aqg~GN!e5N<l)O0IRM&W$uQrtJzfIhdm|xQm1nyzq
zA*AQ=<8a04f<s&O9%OrG@nzP5i)>Q6guZb8iGRGp`M$s-3Fm(%-=mq_B|e$&{jc+9
z{(}zZ_$klMnr^)Bxvb!vp{i=}!bg$Qj)<zgSnzXA%FQ~r6~eYByP_7j&3WSaEm-2(
zj5nTPSCozh+nlV6T2rw>{imm~2>(Zwr@6}>Eu8nH;7rh+!;^D%dUmx}PP%OpU0Cj4
zG*3<I-Am6)_fw8~`*temUk}?>`sAmR#L;{2yqR+AO{?6$rN_=%xFfiMPw#BV9ZQGm
z>1mq#UN4^O{aEBW_l0XqKX$!l&v<-^<tXpXizS(p9&NUZ+F=s&F;jkRjMS<hJ^j=4
zc!O*1xff4&(|RZ1vFOv64Qo0*`)n>&1k9b3>1VX=wBN}P_vHPLruY<^M2BqKa?;O;
z>x|z?C3Q*7XK!;CE}j@)=ylEVWKBewmzc%LJ3;rdo>`xCFw8g2o||G(J*U~!&nUfY
zP4iVhqxEGgl<Q|&@l50|+;G^l?OeO%jdv=}Z<Avx{flj{JWkrKT4;Omanf|PSR=Xj
zUPixlkJiR`?y+CLW4<=Ow4T<x*Qahz=kEHw`%~MqNsq3r+mmX!ZpTz@&t=C&wiiC#
zdz$lTZfxaap=0mzEIy^BtbY{U$I|#sd*90wxs?*fcfa+Bd(3!LTbDDP_j+i;HciRv
z+*_3Ms)R}=J8ZP_e0(Zc{|4i%#8cYs>{l6QMb5K2#CKuMClS7~l4sM{=fA%-iAyQd
z_>H*4B&AQ=4rI2a^zG3uSk;z%U(h19xvrGe&rqfJ>3XJgiAkQH=lN`tf3(VZsneWf
zk6UN$mvk9#Q(5P|%<IAb{y)CogdFV;nYORutP(VrS*7@5`HrU-rZ4#sz})`Sm;10H
z8{f&<Vr_?cWlB`o=6rCMvUt!Uxu4(TkE8I0?-rjLGJ5Nt#JS6Htqt9Ceqy@pYmxWm
zPc~kyI?68V$$DqSj|SzH4bmd(%T!W?o_Ve*c(T-cM#<hw6DN4isM&sM;)l=~HQSF(
zoDea?b;GrZFXCsoZa6qm<jB*m>(-NINvM^cFI7o3^f@-~xrdCN&#|}{9y44f{n#<t
zr}B7Vg!S%z)xaamtT?Kog+694`mskd-@R|e8OhpdMFEA@wd;y*3%srgIW)tc>+1&1
z%983sTaQm#uC`rd`$iSdkINphO-uP68xnJW(wlwUU9Tf2J+F@YSQgCW8!eh|sLHU_
z^P^cXQ*gA%`x4a!SNz&<C<V{ks2f;i?mchaRet5I(`+(#ul{oR*PJ&Ek0vj(`Fm^m
zj#j(ByH>@266|!G6u>|0lS%q?FO%!nUBX4oH>*hh+Vn_z*`AG-D}T(=Twl<qy)Wz3
z@3qNY<}*I6$)5YDbeYYcsKph%n)>_0H0>UrSiL0nmU^u3$&I|mj=QqT%548mIyWta
z?M~n?&ETY(-=gykRF7A!f7H9|NyPf=`js*FZq>M~X!qeXdl%N}w=5;v+l?>(fz*UO
zOMdLq3_Kwm^(nTp^^<Yo^Z!bfoc1DD?jE@RBGvIM@1MDK`YSdz)?dhHF*W|+|7-4o
zWo-Xk_fO@Xdi_IJiuA94uPY*hc;r9azCCIB=4jjBfzxc5Z!COQ6YhL(`=7urbE6Mx
zf1_H$D`q@AslDcu4X@3aFHfF|K7J~fRz2y#p^8nd%=7lVUAZP)uh^zi<XA;xJL^0f
zmSmgzhn@V31SQUY-f&{_@uZ&T5fN`Z8RmX;;}^EAym+W$bGzrfJ(3A~P99G25Bjh5
zTC=)Ko&UlTJ?Ugy&zDIyw-06b-xCSFw))G%c}v8M=4B?%P=6`b6TLb3!O7ol#}4Q4
zd{S{;u<6$$@z|5;sWx{GrTGi}m+arL;r3*n$YaX7Z$7KEPy3kn&cL7P%T(t7+7S-}
z8v||%T0Zl-R*`zwt-MNT)pY%h8%|IDZZWk~I`(Derm_RKUu5-nvDWft+iD5f<u7_|
zds1@cn%OVZ{EZ%JzdpC~>f}EcPwgts`>qnbZ_~Ru?6#gZsk-xzuuh*R^hM{~?n&iR
zKh8gxquu$u@jvIBQq^Aj5B9CbdbYYREa#M|I?jz{kX{~BIrY?zslAWaJuwWwru^75
zJm{Zxw#By=?VlH>9{!T!e~CZhboj<Ii=7<fj}`T=YFqh!wM~_pPi_3;i<_I~9k=SY
z(_C74A@_qy%DTYsw)2*p4!ic^r<nhyX6@IG6|>bRemPY&bJO+7Z=RgWx?$Y5gxQg|
zI?UL3VcXs86Uo{g^_${_RV2?D8JwEVUcTqhOo^A?c1n-g)ZBhd(ml3gu5M)R?vT?a
z(!NY5h1aUAJXOu(`8DMehn=FzmzlD^nbmHoJb5egc%xky+b6vlA1mZnGo4(JU~}yF
zD~Efx4CS6D`zoC8Sh}Xaw^2p&wBpU<%N&HdB)@;&XgQ%>xX9z%M%4*((vBUj)!|k7
zKZF0}(Fl2uGClphW>GAYcAOMSx81^}@^{Uo_xn5dw^;_(ACjKLB;&#G#{Y}9;@<YC
zFWijlAB3LF{_r=d!he&%lc}F7oA)WqKl#mmUH?vr^`-{rrmK6!oGkM%dbI5&>oq?A
zqSxEBpRL?|cfxalp8o1d_qWEsRJZkfVzuJO4E32YzfF=KPyQ5BwfWoW&6DnJbbM$$
z>F!3?hw3W3lUE<|_bfZE)_UI4?YP_8*7nS7=c1T}hqtsU`k#?7|FZGmboJUhw{GjL
z`+0KC*N0}aSSM{gUeVcKYF8S3Snu1$Ys=SlN&k#^fBG@+vGSOh@21D+THkg1>@aW1
z#?1Q%q7&zpc{7*3;J?J3YZLQna-`0lZ#rgAWR*(x&P+cM?Rv~O$5U$0x*6AJNB)xP
zEi8||mnCcTG-}tbCkAzKD?V4;`}t{Kuj#hW1vmYl9s06a_3EdVX*S1;FHF~2=k=c-
z^~9T1nkR0mGcqs;FflL)AfI>>UtCg}q*s<3;G4~4D6;4M`WeaGw_~?%`SSQmRfesI
zO9<B`XP<d_25O2YqyB#P-Q*PhVbb@sPifCoOM5qNR9D~bd*H**mml*?BziySPQ7wm
zNcgPw9?jNBsSh(Is+TJSin_)o-}B(Vb-Uouy^b)!y3~w>UT%RSqQyEMi#JaE*tPlE
z<aYa)7bf%{O6c)YUvh5(^WiANeTQ$!Y&7JXoH^BKS=S8ph-b`-TKYXp`-<9BEmxiq
zySJq;SX^9ohCt**!_Ns;fkv7$t@y)_R({^OF@ERnhgsoCvR`g&d%07w*|qcVw6h*h
zeyxi-b+%pkk}B5&W4oT|5oN9uchvT-xw4J7qwoKM=QWOcLPohB1*QLue!Tp&ZiD7u
zuDRteKUOZ5JGc64>EU*b5Y477FZM0`fp(10(_I={W^H0%SZc?{z>6HHdIc#-Z|1Vk
zP;sBQ&3JX#qVjNMUZyP@4y~DZkaNjbZO(}0%y&0RB(_d<j8m{_`mfS5o$tNiz3TUS
zzu((^SYD3r{n?M<HG&gGBd1yh+Zyk^ZM&-W+e-D<r&slycr$NZjq;{dU5(atr*>Yi
zd43={um0N3^t+G$gl@O^{v_Cc_xDw?>i54t3HIA}KJ$9)*3WyY?LOQ~pIi8>Hhiu1
zrxm=bx6QA9r}gGu`qjPuyU%BS-}gVWeD}SpT+i=lZ7+GbSoVLk?bf=#+53urzSe(!
zck1uEua+HuZ}V>5_WPS&9?_NN|2j2<XVw3@`v=?g>rTrr?>e|DYx$&avNcbqUj4VP
zS-xJXsMKfTw9{MmsOY|*Jng&h>FM65o44#S(be}pefsjJy3Co|I4l2esbO#v`INAs
z=2ra9Up`KMpH{R~IvCc~Ny={7WbyAr=sfp6_O9ct=OenD|C|jE{e3xe^>qK!?8}~N
zFL|oH?5XzBr_Gl>b#1A6x}|37mOVzg@^hxGpEYg$v}x<-O<O;4TKLk`cK@H}_pkbP
z`e6Ofg9({mJ>&DHE_Pbj8pQ3rWkbK%x2K0Ty;{R4&ZRpgDq>M<?GA@{wK-d3e09Qw
zPhUM!WV$iN_sw#(Z^6R9S9bora-=RR$;;PgWv8a8;Zn6_SB^}XbtB&Q&3d(O;ljUH
zcmBP4gfZ(8gK1&IEDHx;nFVTm0m7HFlA?TlR(EEa8m?6f^Vi?|$w*J<`k}h08IKN0
z*`}=(<GXlhp+?C3mx-%n%=X%g^Ub$&;JzHQW0}_Vkf%|rV!WqjuP(|BUAOpOjC=IH
zcVC`vRdDN{VHdz1{@~EpW$Rb8GK#OWuT|CUD0=e6F-qX6#@kPUtJchpTiB|d{ZK0^
zVr6T=ho@T#48*U!e);<Am#<a7s=gNNowqN}KYso4{2BXJi9PwkTlI@AxAO3pt6LP_
z=3FQ<%dnQ-`d)hL+}>N~+;Y<wZ`&Mr`{s(bIakWeeB)!eFP&4%O`p7NbLKCps0n3e
znbxzn%sp%MY4+B+XK$TL%T3?BZFA)9n`_?YTr1n=v;I=kmd&oWZ!UV9bFnV>V%fF~
z>*y_W*Z$g>f3<8|mUZ;jxodBo3(HMky>0W<+c(P#J__IWvaeF#su!zQzV{I8G`%Y|
zvCmE$#Fze5I(<E4U(2Jemp=|2yKcBI=VNG>zE=(JBX7R=#2-zEuJiAU`EZp{oSFao
zx@E1avmZ)DMYJl1C+}vvz4eA%)7ynN<l5doyb%}dzh|1*y0xvZGal};_{5R3zWwdj
zjkZF!7jKAdZ(c1J(SMisM&1=Mv&^??FQPZx?RtChhFtU8jW_Nw+?GzNR?gX;xLfwN
z?2UIv%EY%FPyC&K<DSIr*$LG)+y3{xtxu>9&M8l>Hvand*^Rxd+qNJ1#*&lY`gZNc
zy}aADAN^K#<DT4Y;jI&`GamLu?U*d~ZcXd%jEC!@EIPS=^Xb(J@9VHyzxR&g+se1k
zc>nI%rmO#F&$MTp#^<wBcbw^WHoZJ|Rr)TQ^{NZ!U;p+w%5L@i>x(X&&;DJhEB>qU
zt;N~w*zBO!g@SLNZ?#!}xBgh=+kb!eh_yyO-`c(|?|k<5FQ0F<?D_CHYFF9z=UcCy
z*}7^)+Pc@7&!Qv}WjU7$nt6$sb4YvKIL_fd@xbLou`?wzTI#Is__zODQ)kuVWH0+}
z`Qexs%MVvqTfHgVyJWSvUSLh-9-DQa<fboNsuAOuemwk5`f)4keV2MRKi2-bIawra
zuI&3gdDUfmey*r1yE9F^uB_(goi{%|eGQqOeq1LZEn4c}nZC#aEwj>(>&&=m$UP(R
z^P3qhb!B(X_x)T`SJvZXZ~ZR)c+89R<H-irb{^@+wZD9-NS!Mi@0xyGyR@vPz~1`W
z&5xn!b7bSU&X-+m@cPY<(CAs4AAeQPiwr;CH~q`z<cT0l6Y|Px5{k>tFg@G1RM6o2
zQ;=19pWn=2^=YdtJJZxDJK3OZ^W&<TbrEMn-~4#f96x)NcIeSlNzV(P{E{kZW!XRZ
z+x2U|cW3;cQ1zeXmi?A{`&Hkr-@vwhigCr#WsX8?9N$Pc-!Ny}$ln~kjg9}5q|J)V
z2`t$HzmF+=>veo{_yNo2LzCu->ItuDIFu22@Ok9H?`srVO|*X)CbQb7t$E;nkgMk9
za?Ow)?(pE49lOqnuUoidgI8hV)<=h=e9~;zNy>H}eB5+NP|9YVS);Vgv){584>EIy
zJ-%b>&wqW+M7edA%C-gT`>*~hOW}C;UQdLN(X*nY($3)FN7bFJTH9S7N-f|D%WFL(
zwSiT8yJMm#NAuL%nTbp06n;FXmY(zWdYt;x)<->4Y{G>9PMO2se0}n>)ghZFK3TGJ
zRfqanl^dSM-wrRSwET8@uDR*uG#SH}m!H`k*|%c(*>$2x-~VorY~47^Y_Wmc<unno
z-&<~eELrfmDrdRzlkC!Yin|k+9l!49+b<i>_=A0Z{r%@6zU2kC4|W|kxluZgdG|Gz
z8;Rdur_KHqSN&t<dgF)1a<43Xs^*@n_?~^XV*TY=AE&LA%%3^)V^OpEqQBM&)$Td(
zTi(vyxR-ld`O$Ann%3>=J^8jR+C**p?Co=QRGwb=rGj@($c>Y^X=1N07uoO3Jsl|U
z_ra@MS?SH;M*_k&A6(`1`0kO*S~FO0=wt}Qw)xlV{m`#n`0tU$BR{s|29M@V+U;{>
zebm{;Nx~mjDb4GcoS^>bhPiOzE&cpLrgINEE&67B>8ub`do=x<aG_nTkJ=;m*D>E_
zRJqx$T3K1`b$8yZ?aSZCs=Yq$xBl9pi7^@eM|S(%e{L4J|KJxZ-8I(_vFY6@s9G#$
zmg(5H^Wv8@-JFYu!t_dJ|CL|bTA9?a<??3+uIl;h0TQ#=9Rv;e=PXxo2-e!W#aOg}
z=kG2lXM3mQ7uUTT51zbH7N1|twe7HwQ|V4?(cQUz4?0hsNi4bEEBUTX_k6P7;cq25
z=MQ}I$=y&r;jUjv-+^h&udiR1<Ue0m<X9%2d@S<Dw#4LT7S_yc&PTsawJJ;6%^Q7;
zhmEI=_nAOj@8*rEPb}DPt5j?_-)MWTwXQtpePeF3+dA`nDNFvd+ZMl76p%mkPSH*N
z(R;<3dLi+sHJ`3nn=*fVy{P@S@13UkRd!WdU-~5c$U1w#fV0f5tJYY4>0b+j9%Tc0
z*<ik1RbPu|#Q21SYCJVy-<TjKym3LlFb|W*N<qh!ZcSRuN3MKZANW@<`u891Xw$IJ
zjO3j8tZVX;e(M}i+;*NRW?6iW=mW*tN8XAXgeSN9tS*Yb7<zWS2In{JuG-I{OaK1R
z?ow8ho%u`E{&APjV&)}ge+(2Fr?eedXqHjhIN!|ZEN}a+i>GZCE=`|Wxv@*$Jj{|a
z+;jTL*b1M;KfS7^#9g}ns%n1eu6xe~V&6Y*KRDI0;gpA<Dof<gBQrUVFzfam(A+sg
zoB3qhO@$+eXU6PGPGh>+_O>ji_+=lj+TDYB<!353%<o-tT(L_s`+2XVa@5P>-^H^U
zHhw*FHah!2gxSsp$LR;2yji=dBRyZ`#!cyOXNz**++Q_scVhg<MP@tFtcu$Y%&Ic9
z_g@uhaFSQ-%-_vAGdxYXXOvy`@8Oy;<8#P-mU*eqUoI;vds{N6lk?oVwZi&ubhryz
z?Sj5eNG(~_D;sih-;<jwzdfDs^3P*6ZSVFg{Zo1Pc1#Jiny|Jkaf5R)Pg#a<#2W@~
zD<($+o+D0e2Ui#!OlW^9@n(b6iW_#^&t4yDN^}rA^Y)s~gWCsr+z!blZrIh_{`?;U
zfBrwlNeTZMHs=3>;C}l9FT|!~KjVqpj9l1l&v)E;t>jtXglyCI9Et~}j_fn+sBLb)
zk$=Cb=xq%D`nzuwIj@0>#o2O6^_MD3BF`_j?f+(Tsx`9WO21_PFT=-y`#CB~7N65H
zd-)}8^QRYLPcN>mlzS?i?i!TWJN;9RNy4e++i!k(@pGf*Z=Z0>8H-O#|L9+ry}?7=
z<jWU>uelLXwr0+&<svFYxXeS+_6izYY}Pl=u=XsvJ4^lg;&#RGZTo_I-vu4-3$E8W
zBxkMOa(5la?oh$9V(vuqtpa7q+==~xEqCpVTK1l8zQwWoq{5p|8(nWy#g)%}>9g#i
z)ma~A&a$}n+R2#<{#smaP&U|ndcEix+nssMUQD8~+ts+135lI?UJzlhedlI@;J^ut
z+B_aACF<9emrYl-nZh4fyOPgn--mYLlpi^qy-yleZ(aJ@l*3Tq*4M0mk2fYPJbFUs
zH3Q4RCC5AxP6uE6^mTIW8mS$1cN@R-?~_~Pe~YVD#qvO5?#mNxs_z!dp1m+zIs0}_
zCu_u`dlL?rDP6MDx+%Ra(6l(@?mE-so7x;=?ntllG5ESGvO3sw&$?~Lr$rb{+hMNq
zUNFV)q~MKp=l|NQ$^U0FA)#JwLw=oHhW)?F1A@6*?oKe?xiE7QOSaJOV=8Zts};IP
z=b1nA$;+9ga&Xp>eTJUDH+sq#zO2~2=lJc;<I(FYUPo8F{#?=R)UsXUOU3M?(IU_K
zw%he@XSllXqgX3P{@)o7b-7|cis|fOsNh~TwXE%M^z!iUvQ`UktjyW1UDw`jp77ey
z^G~<bfosh(GL#yN_1C9I9@@K6=$)^{sa|EtqW<UJ>NmRdGJc$2QT=x3qIK+x*SbAi
z=CynG)UtAoxA)GZq}o;)>b$xqv*L8vy7oi5Ne}ieWqQxawdeoB1J!GJK72Afv&Y>0
z%xl9R?yq<H?7QA~a=NYOA^qPQn0H%WJ*8Lr$--3p!S#oSKfSmZ@Im_1_N9m3uNA55
z5B_oYV$=QT?GLug)c*T6#armZ?xk(_|9-Rkb4_@U?@rEp%bv0HvsfQIyl>`%i*+^!
z4-3wC;F_@4GQFtyvI^%lm2bVCxB7h_aj?F=^<>(dle)f>RU#(eFjvd8H|tb>wr#`K
zvVv@(<67+7-3laIB(h_<j=wm-;vjF!+!uOQUe;8eMRjvRXJL<)@QNCrb>_!Sst-H0
z-@DMr8DdxV?4Hc*47(F*{^#~8S~i|CKk@Y$Tj}eQrxPufh~7~EiTHe5mi4S{;!=f_
zPw($YTcy|p{B+y7f1kAJ|6d*(>T7S9*Vk^5|Nr%2hnZIX>6v$~nRqstcGf0O`j$M|
zVo~p@+r?_z&3z{&_$J+-vE=U!mA)AzA@|n1Kkal+HDB&iAU(B~J>;9M*5U&zcz(U@
zI;F8BP_lJlpk#yXHK>3NgVth$>pma!_8z#;bD1eUZ{Zh)87f-uubF&UH)C3Uw$Z_w
zX|7LiYihj@3bEU_HDKoXS?Vg*F<)i$O8LYs+E33i4tdk&{^+*0(EJr1HSH3e?<Mr3
z_D%luVXm>w8<Dnr;lm%^Ny=Y)WH^aeC~f_=<Z||H)>psieSK^3Rcpcq_vI38?+wBw
z_Vph65G&GFuFZ4bC-Fxa*WvAVr44Eh84DTgsazvwd_8!F>`u))ea}StSgczQ@0)q(
zVx3LvVL`6Ln*O^|n#%psry0M`vHLKGwYXo2JI&IVdsZTM(<U#0Gp`#wUd$7@H?_Dd
zJa^{Y)PokMn+m6~PTmS;MOOPdJ-9z*bMUpBkBcUh#|ZC@II&=QtBsXG<C_1|n)lRu
zKS-Hi%-}bbGiC3`k~G<`y|Z7hh%|UTBhujYipUdBYE~LCZZbdl^`ly8`lacvwntJn
zcuMe3u(kXCyP)Rt_ePfAzZ+^l|91HO@jY{2M)RBf&wc(?BPY2IvlIW^&poL(L5;x)
zZ>O91+nx9&cH$SGW&CxfNRc$gND+^ZwkP`JPb|71n&P;Zn_<&~@XT}<%Xo#C7Zkb=
zO;D)L-h1GFSh`gC#Vzmsj^2zlJXf`VS@^A<>MiNdKAg+VubF-BoR;Aqv}o^Kp|_l~
z{_O2ltM?CEXPb8~=Jm&lMOU+Ct*bZi6}OwQOk2+9ax335{@}}p54~HNw$k|a)+N>9
zzW?3@-LUmc{GKOy<7x7Ya(~wMMb<rgmY-?cvwZ1<?;>aazw280J<n_Ja*eO|wod(D
zTKx2GQ04b0*G@f`)_y;4*`Dgjdk^luUsE}`eEXaoPfz^vT-NORN#%0Yu8PZS&pyVd
zn#S(Q<SjfSr8DD>5_f`G8n4(H+sQf-XObEB9NN#;o+$nA$ellO23}{&)$iQpJ;N1y
z|87jr8K-Pp-P3EY-q1;2;C9h7YoE2`{WS-R?szV@-5n{`?yPEc=!!+xy9pBy++6w2
zC8Or^%L>EVA7}FJ?KwJ~wfUINjP=^w&t9Ku^8DVnXn%^z!8_YCN_zB{P7U6^;`c$>
z<byW$U97x@EC-LYML789PEUKsv-_NYKW`8Fk^4nf#rxms&i?*-Qo={E-_Pn|-^~79
zy79i-58-9T<tp<p>`|Tx?OgEsChzC{u>I864`FBJCMEDD-IqAB@1^25b=mvuf1O*8
zi`;+yIpCq$h24^k`*<JaF35NOzoz5;uXZl)#Jq#eCo5KbuvyHZq2nOJ*ji#G)4DE@
zMdVT-i^wiFL4l$ZE`sMS|7biuExpqwd5I?5iYbdZmS>AR<mpwKvof${vyaHblsVEt
zkNp;Nq;Jpb|5jn6d)7MYyu>rDdCc>q@2l6A&5^pFlsDB*^w%9GPLQfBk%uw8N^=$l
zwrn=-Dp=trxNXZkhcmqM*0maMDOe`9>$vp0dG%5sEv0fSq7L(azmRaa@@K+f%e!)Z
zHD7wnBZ>naT6`_oJ#SO++Wf<e|J!ugMJ)~-c-UTj=CS6{+txNqfAoa0JbH8O)92#P
zf*o&_>%2bp-@n)AoO3VgxZ=0ilERMY=Z^%ouUpJ>#$IQJ1q*jueS|^6aVCi_!MUs~
z=57ZouGD<DIFefwyWjV%S@~Sn9cN?H4;tulFMF+{ahRQPv1!-3;Lmp#3vc&rOPKTR
z`?gYrw%@0js*;&Sb!MNR-a4^#(yaG#n|H+Or4{a$y0de?&WwMX671r|&UkT&xydY6
zRPLN{^lh<W_wDO>#m6HI4oZlfxv#^mmUi%vO_JD^1NV9&mpswfRFI^%sUXRClS310
z?HlO}$M<#WB*ZIoYuc;L`2YRw&w9hp```bJ=d%6Z-}OH*!sgF=@s9t2GqnH9FaEPX
z@Mrz%Pyf}P{y!`G<G;^bx13IAhV++CO_zfM8>(Nec@?*7&VzeW96S2;)OV~u#`JFc
zg^dlrvvnRYN^x7nCo_J(6mfvrRIFfs;4vn@^T(OaU5Yr+Y$EpIzlqp~`9C8LMDF_V
z;ERt%gTK6%(gPEj4-5F#YbV*eOL1#NZ)`A*-q=v|{wR~(hfWur1AAgiUoJVy^h)xB
z>@wr*(DxT&R(mzgWlX-mCUoiFinUsO7o>M*PcENhDPMQo#m?r$+R!VD6LgKP+Lje8
zk9qUJ&-7XG`3QrJ%wlJP3dMM$H!<`qzF#Klwrx}6toL%(J3gP8|77m#{+{2bow8N`
zy|D8%x?$^8Fg-<M$*13XXRm7L%$T<^Az@Z)MPiq<ulmUlC6j{dF&PimoxQ-ot@i9-
z(@S3NXVoX2UT0err{`oeNZpvdu(4{6->JV$^RE11RXO;NDe3+nrb~5yxI2_@aZCBl
zJGW=sk0;NvyFE9kZOr$%RQKmrlFY@pO9fu*rY|?ChE<)9;~sT*#$Va6g+EK@*@Cug
zR?|BMj=Unj7P!e@dEjKV;^A?-6^$0I*B7vHaZ6tLP|T4Pv%s;J+jPapgn3R=n!9b9
zT`em*-V0pY5wP5WKku&0!yv|9_RlOEWNfZvyF6y<&4@W6Ub4;SdgQmZB&nwl66+M3
zr2Len#AmcKbR2cwcs_VtpsUfTgNJMNrUdfIJ+zz_$S3#Gaz-GZ+*8Y0fqZ)3W)`$P
zj$r$)BzdMl=H!FGH$tZb(zmgvm$8X&dpN_*$JxrQkhiSzTx0LwqDJ0#a_(k&8Lnn}
zIWA^DxTkUFzA=Av;n?+k^Eh&I=C!2qeZBMT%AGskt~|Rljm3KV(S0*-U#hd&epGPA
zZN5wMbbL?$JmKi|j_G!}_obD=9lN8A1J5@#T8R17O=mrObN9synv$Fxao?Y9k(oR1
z+LB-~pGUJ;V;conzD%(`cF0EP`V|IiSym3e^Q~2W`Fg^M2WzBsB$h`y*x$?)`*N*a
zp(m%6$5j3h+sgd=_ZUA<kvaHTa_9bU#<S|*s@&LL_Gb3}vRnQCZxy;o&pUr+rp;#L
z=IoIrhl}?sB^Vys9Ia4Mrd#pN*2a5b`|~$l4EAXsdJeH1G=3c5a{lQ9q5DVDC+J-?
zZa#6+!v4W#cduHm*3Xr*j`dzR(lPJ;qSefDIdaAq8ZwVxZkQSWe(#1mZ+J|0=N)+C
za5}Fdq-;iJsBFa+|An8D_ng};F<Jg~dbRwH?2Q|bFE?<vQDfhhT(7?E_6FVvoxb}L
zzk7~8d2}e*uuoCznZ7{sM`n@k^YUsB?X$G%?)1+N+4J*=uJo(+cdj8ZTPMsCOHJ76
zbDG=rsHUa=y00SN*Rb6xShIH4qj{mh9E*9mXU*qtic~z?6v=oVgqbQj6I)u|X@1{a
zd~V*|l4-1ub-2}@o@;t&Al9>4M<U(x#XJ@J<&L`!-Ym}YN==V6*gkbL!|69V+-wgc
z12UehcX+CJlJkY#_J>^Y(yP+Wt>xXQts_yFdhmlbH`}hrhVMKVRa6vyz1#V5M|Ez~
z+=PQQ6*@Ec`&lPXPjkHP-SSbva-!0HcjYBQ#~#ZC9-99@t2u|Qku`Zf>y9(c4u|fY
zDPEqk>x<fxqk97O)}Ommk(gP|@pWzLOS}C#cb9J3oXhdHX%6p<(#iuaiGp_Ne65C$
z97T40Qg~3PSSirD^Rt4{dhV0W8b@p@g!JOi#cZAvnen_(_L=vc#;Tipo7M@;50luF
z^lanH@Bpqoi`ZT-|9bKzy9RTd-RBiMPiL?A(>2S}*1>os`>R_Yv|rr%kh$-P1Xqc(
zl0x*71|6Msq0S;rt(jeW4n0cDGGw{BbLGs&^-MF4R|==B|0e(5cfsCU^-b6Ryb#{2
z_kZ8EW$yEz7{3g(>o8uDlIWe$Eiqy3g{J(xM-n%z#crE1<{d7p{?OQ2puTPQ23x-9
zXAaBk{U#axH2i$lr<yO}xkD+R%kzd}KAq<bMSLR98w&XTJahZ@W=HyeyT;tt**Uk<
zCniLDy*uRl-1OP*#8<U(w*606nse_uFx6TrMb-LU-~_|hPk)+m-sOBb&vW~a%`2kz
zr$6x)@JXA@YRsF?SQo{kVA<&U{Z!(`y;-vo!XpgUZAgeoJh(xh`$KPMLko-8UF&w&
z?|D`Sn+ls)AG2^D;}r8*HvM?>6Be<%>gICaSI$m^Yua(B>7a{HmD4TnmM#AN9>V?}
z>(o^=zqDKt77~Bv=JE8w$(q(RUj83zrs}Z!PxTgE=fdJ?W9c6tG~ZtI-18jCx#t&@
zD8y&{{4>*|v!COoQKrR`Ge4G822Gl+8)&8@Y<u>&&zBN;<BP_7)DBq~maPm|s9JWO
zQBeM<i_2SM!9Z^xmz3ttj$1E0T;6SRbT<FaVrR&kYqmZxuEulCZ@=_UPT$vFcCaZ-
zJ$R?<WE1Dx%?UG_Sc|pQxM$q@R5GjOo#&e0Pv`87H|{*zG&6N`!Y2it87Vy6RuB1^
z_N1qKzUa&Ne%`YB|E}1wX{^SZbR<*~4)*A9t35u}BpE5hv}5U<-hfw(C&L3zZjZcM
z<?tx(io$CBKc#DA6f7ORo`-MVYNlzb6Uv@B*@i)9hJQEf;SLoaKH;m6KOT5_#_1%x
znYh=a1aYsV`#MvW{H<81(idUFty+C=R>(amE#;@~$D-}dNAG*CtJx!%ztF&KPwOG3
z57Xuw=U;rUxa~}&_{pdI*_@V=rHO2t=RFnOJnyaO=6R1rH|qtQsaltQ=8^QKtaDoI
z=Rb2ro&P>(@}sRibEZ@^+`H%g`pS&$=eDmFdA`{$(C1R<1o4<lU;AYjY0LLqX6^5l
zUv{j$b))!?GqDQuE>4*oY|_V*Y!bqg>@v$zV)5cbOs<)lcXp{iFN>PLgZK8^gPkh<
zp61UEr^wx(aqN}zuSIhg2(7o%X^*M3P)e!(!N{3ntp9uOoh6FVwx%@!d09UeJi9W1
z#oF}9zL`>&>TFDp2)<?K{kvag%b)#fTQ(#tXk}&A)tNE1Tu0(i(m@Aq&bx&l?2FI+
zOTQc`#>2NMVH)SAgh_fjFN_W<EI9l|2PEC}GUV90tnW=}N)ubdlqRM=R^(pW+M}Xw
zR3@$#-~al`i`KL3{2K)h&#Q5qzQ}0egzAEK%#{VUGjjS^H|I@e{roLe%%?ok;J%#L
z1EcSAS{&xA<WHG&q9F6@?AiZ*$M;G7X5P><^_RFq>j&Wt^4#Cd9r|aM*zJiq-`+iw
z|L&Y07rxhMv>rXvUiYQu#dkLOMGkKnMA{!Z^GiI+{@pxPh;zz|U1#?kdugGh`s~Q(
z%CJzrxEE7J<DX0wo&SES=t{v|H?Es+nA$A<JH@ZBurczZ>b{RE5^UaSu33{KKOSOD
zaWy)(#^cz_B96x;l04^|R(k0_y>|0Qz?v72mdKi>L{2<5F><2E?8uL)agh^`>74BH
zn_On{>`d1cA@#Q>*gh-GwKvh9q-+_da-B)P>*7vh<=y8mc*wu6aC&^KS@^<^b#2Fz
zE-f%r<=^|tQ1yJDnfL1bD@|_exc9csUSRS<?ZvEz4-Hp*J<xGF;^nNHQC1Zz{GCr0
zUCFroW{yU|_AOo~Tv%1_{1uzh(B;UvW=GG|&h*JsYz{sN`0~Wy<C6zb{C7B>Jcxes
zz-mJCY+=hCHkJ!6Og>yOk(q0;D!a%xDa!>0B9>E{r=Mo!31@R_53g`-53h1<53j8K
zaddU<oa9Ap%eFA?vd}gPFfO@!`HqmOSjEB8ifgUXvqP)(=BrfoZeRWN!Rx9wc?Y%?
z-oA9OFs{RouTt^4{+Vv|H{We-3={1)&D$`2`bo}e+talXRb1!xu1i}IB7Z)|l~ems
zlaP>^W9AZxX&djEe%)B|;lq~Vsf?>Smp@q4;^GnzHIrjrmbb3ev2%_u&$0KP_{4bi
zh0eUW!bf`+X|(aX7}h9s-HQs<ej#MdyYzYU$4AYZo;M#o%>MR_(|Pu&7e5y~Z|1A{
z5Vf;mYV-Azt*d4B+|yRrb5L7h&qZy8JtvPhd`Mg0*}A>LS7*=F$6ardoGNDL3py>`
zclY(F&E*xo>U%C{TRn`eyVTL>cIDpv&w1-JnWT26%yQ`4^ul574b{|=yng;2ecX03
z`!;s+&ldYyYwOM4|K;+@*^j3$c2i&RP=D{Wvms$q3T}G_oCygNF`n9bsZ{X#rGtw%
zea>GM_}S*an5vEyH<#66zwRUrHxc3a;zw0P7nG>a>fXHA+cd2toAua@%)mphHZN%T
z9o=+k$Lci6*K41~db3YAk?dx+{IhrMt;JQj{d)zDRw#CTbQ1aDxBt`Wh)mtTQBh|n
z6!*BB-qQN5)b-I-<Z-vgu7BSD+)6|OZtvQe@$$jNI;;Bfz^htUSIchG>H2xCJIN^U
zPVtM$#qEJ!^Af~fzh|0NBlg+0+jC+1M#YF6{xzSR7HW4VdfvE~YPd&y&idT%SG?cW
zehFJpU94hREH9wjFsrGm(QfKHC*R8#_L}b5^jc|t%uQD9o}?`eJ>q3|z27tLT5dPv
z-M(FGUf=udX1GzLpy#b@Q`h&3TD`lqm73z;6ErL)r}Z7M+%xa>Ub{AvsQ1TS@4WZi
zbZc?_(=FeB%PfDW5O>j4OycSa-MEXcVG`F@boT8~vx^a%kbCwV%O>5n-&NE2<Q}hH
zy>jl-cZ<1yuT+b>!GBcmO}e7aoA84=uhSP+=AXZGFnRXm<bQW36h2D4=xHFkS~%5>
zF_6c#Qz-91#4)e(FV!)#ByTJ{#c=ib9EGljEP;n=l^iow*Dfe`-*Y^D=aj4~D%M(E
zg&`u3S7@-Ub74IDsiR9s&T?bV)ORP>sQ<V*|M3PH_m$dj=4f!8)swTlqL%Tx^X&Ql
z^&X+sA&c)mR}^y9w5Yl0V!lI<Yftj0CL_xyq5KynZ>oP0BK&`$^TzrYIy3A`#LmcD
zasT~mZF|!*QRd`1&6|uL(-f`k)i-^XJ#p@Pih8S|lC_ANcUi;Mbt&zKoF>hkKl9Cx
zR}t}iALswf<Bs<|eULpxFM!WZL)_)hpW<b2o$Z_}zeugtTp^w{@2%4M8Q*Kx&u}l#
zDA?DVH#PJ5?N{F|>>U)}|2fty7sLJW&xi82JHif~T|C{VJbKEz44t;6yZL{|{NgS5
z5WK;-)`Ic7;Q9o2=1DBp%R}6o{X^Qza{l%D*|Lf5dn{{pLv5vB4Ofb~t<<!4Hv2NS
zbJX1zQvKyNcY*s|FYfKv4xKT7v18)G`<>?g3+^8~{VQqzlsl{`^K7iYg$f5*c|Ctv
zI!E&b+iw>`?RN`G+NUf}IoXwUMIyObqiv0gVTx*(qeI}KX7=W!cPAQ+K5D;v6<C|~
zEt4@scr%;GX(^o<%UK;d=8GLT?qikvCHt4!e6u?S-~aru$z5>avYWqjOa#L%heXB<
z<~s%^xeF#N=l%8d@U})NcFn8{Z*6*{<iB=FX^WWJJrgxu_N9?g{<{rx<C7`Ib`Q^1
z*;#f;Hml9!JytOH{jZPQj-q)cr+?VJe4JV{|C8~ZpNFrO{gs=0Z^zf;kL$(D3Mva0
zOyqy?;28_=@2&G#+~wcTnb{aEBWO0KXgQ17jBrWaNR=A_=YP(d7Te}#nx=L(c17vt
zJDwtwUkV;QGtbrV_0t6<=fBw4T0dPrtKyWtSA|(bz?rpPi)O58)KHtL)b&tA<F2v8
z`4d*YrdjjmiXGMYB&=$4QAgw1IfcLnO4hnvk$#*@tz%+mmCnjaTJCD7tlM>xr?V+<
z!{tR1%UuoQ`89<3!!;|LE~b0-+X?sE+0D<$T-+a>@x?Jw?2F?<_xW<QI}A*IEimx<
zQh3T%yY%28$Ev9@3%?uH-g^Cb3;(s~nQ`xO+gQ{67d|qMJNbNboc!`yfy4ZM&r?gZ
zAElOPTO4ju{@J$d{71g+XC$Ycua0#uFW_#!GeJkCk4<jXxBU-HFBeU)6Mowr7pxoq
ziM!oB{@bpGciM4+FIUG2>&Abc>C!Ee>e(5!X{MOf_TQH*H_b~?HMjRGO5RrTZg0A(
z!wH+aIUDy}-a7BAow4=nrL!uoX?M;1+Le^HchQW<^%`kluG33C*Yl^Wd`({oSnGD}
zEOZq)EWT)lO@~I6aNyb*qG<uUoG)(P>=)c?cGj;uX_EP(8BcmO(jw<*Jd-mH^!dc*
zRjyIxXT=(33N^v%Z2Y?@^X;q`uSdu_<tBCBDV{y;XIJo6chh5=E-MPf?(g{%9`gD_
z_w=KVGv}lhYF0L7uBzwF@ej83^<21o<zCO=I++Zul!^QF!ZY2Zo~_KUc)D_RirAWq
zcWSs)s|2Jzi`ZuLg|7)r>)Pyj|H~}jU-|PLS2c^S+;=oDbZLI^-s4MKC%#(nQ&}`B
zf|>cs%dHxL%cVnHYm-+kDwOD2wPe2ggE?lAfkA!Y8`g$wSj3pL;aA`JW>ML#mL}&5
zTzeyTEc(3rkf_WKoefuye)r!nwNl{jBX1+y^Woz6zdeckbue%Ce5P*;XKlDtX*Kbw
zr_q#mHDCVdWCippF`d8VY8as2b+V*0DZp7|vWd`9mEHv<&liiQ%w0C?MrPod$2u;C
zpdfTxBq1bvw8sRb_t2WlA&dDoLK56d%fOz?i)N&@ESfQ~?UH)$G4A4DO9J~XZJ&88
zJU(Y{r=`Z5+%uVKy|1<w`Dg}S<G9Z0ZF&Ezm*xG>zrM6im7VPN^uh0a6HO1DoMRHT
z&~vf;k5+G~os!}gJp0=pNls6n`TyfA)n5z4XZ)NPKI7-c^M`q4Q#ZR@nZzn-wO#wf
zhOeiYPVRXs=sW4AL*ybQPb0~ckC{7tPFK%PiMtY`cf8)`YRZ(XlB!deV*+^sI+C6}
zTQsAkTf@q{{MYwtiER({k3Kwq<YE4ihx|v*{<!|Iq*Bv=O5##$_q{tTck|y}CiRw~
zJX(Z@r94{XbBk@@nMc-vJd-+@yd;haEcp8_<lCe-0s*~Nr<@hKJhyfxeQI7LaX|3s
zl3OkZAM_=0T&OEQR-IYKvBJ&zFi6Fn&ZIU6k<U-XkJfOzNZ#De@b>!=i*-jTGW~mM
ze$5bge32{m_>~tHT5UO-<7X@0o^!KRtAB3X+E(SA7u1gF&9O9JwFmE7hQn7DZ!z$X
zn_5;?;F>7d_`0%d&Aj@SjY}&ll<nKIIoiv^HMajdvOUcD-<DgJlIhVke`j7_?j^J4
z%dSPP>$Tr{&+|KYQ!J_eUFoZhPKw_a96RN1;?EP!SNBjf$^U5CIgRM8U%l7g-1YzK
zthK+VUT^38cPo3>JM*~bn&pS5rE4$GoV+~yA&>5ym96gta-N&IC!9X;J9<6mUeQxW
z_kTWhVE^|@wq?S)%$Fn66Yozl*lJ+RY5ddZZC<#0SyH+5ww?n#`*{xWoZvZ>o_yM(
zhHu+ur;j^t+>^asonUS5W5<8nOprZ-FGI${%Am;M&Ey>ynx5+0sxqE-*G-G4i~RgB
z?~nWIDS|8Fp8sDODl*~b%_#Go@_`Oqiyts;xi32*ex9rHs*8R_+g%L{83TEwI+Mcs
zyOLf6Oqs~bc<VjiiS;M=7_Vl0ui$VobXMr%?CofJ_feoDsc7w@9-avo>&hMKQo-?f
z##y1OQ?LURkIW|)&9G+)bYnY_v7h~k+=QQN<R%2ZVBE=`qW7iY4s#Lr1pY9GxRnim
z*w;7gabo=0zmNCCig}lg&FFV7`<@Vc@R$1fhCf{MnNoJ?uAlfc_FzK{-@h}~ck+}^
zS8Hu6W=mOK@JD<-|1J9yOKMhk?XU9v{qO91UbBC@ud^8H@}yb(YY(^hxBUEJ!?~Fc
zXPnDAY`E1xMrO0R^~U|Yw@<6d{(tAnX=PVrc=_Y%OC>SBFIT!<Y^X2rTD|>6L<uwN
zqMn}a3w7mIb*0m~SGdWh>IofPuu$WfnQPz~qnJRSM*$){*EHG~-j*+ad_ASTA?uN%
zz)_w?4K)XaE=~cE?;+vlcYOU><Zz2r(&>_93iRo5nKJRH$Avoo<F>M&BW-0DU$|W8
ze>~>J%N@&;mp&HtI?n#_>Smcg^TTcaO%Jz;Tlsn(f8OOn%N;8+9&TLHfBK+v*R@yA
zr{-F@>7KU<+xB3_ECq`+=ic2mpj22AWBTiYQeDlt!(9^n@^Kn+_KR*vSNu8Q^l`?e
z+daqowM6>$^*&Yjq(|8-+kC6SN0+Dj_>+@<Z}Ja++thhnzb;w0|N8tLM{Q=57;O9A
zbM5p0>p!#3B|QKC`^Dp@E7j`u*ogeDs1!T%_^INQ?G}vc7w7lOH6K4+&iLWF`Sqg7
z+ds5tO|j!zJtJqXMw`2fVTe}Orw*PIM%Q*f{wzJ~fr!jfsnRA{(W7hjiGguSn5*H;
z(7*>)*1SutKkVE1^M+K}t(A*I*0a6PUU9B7Z;r6*Vd+GXh3tRU=<Z+nbLXef<yoCs
zj~)sg)d?13{dQA1@Qhb(-~++f{nIuc+UIiS_p@dC4;L?%+Io0jM^cU8(VCJ)FUrrn
zJg#b0b!;(nYSD=;a+|iN)T^yf`!};8sa`F@z+PD3(R@xjHhbZcR{pm8vugjcUOm#b
zFygR|#9@I)*W*7JPX70++p*sI{ftXrYa(uKjoa4l^yL?~NK4~wox81bq<!9fp7ZAS
z?aHMFQER?di)R0wk+?QL`K_m`ZEj)Osz((@0epIzKa^tr%87Ap>(>*>kq`b*z02g~
z?;A>Nw~mWeS?kaB{Vb6;(RiPG=CSFs5|?}}Ts8fi(yXVOInqxbe9Zmyrn<<f%_;5I
zohRpqJ6g9(&HAcvKCyAyohad`rP_+sF4G;}X~+J&QKkIhT<_VgRqhGZwp%_OQQCL+
zyJzdV5<4%>Zzo$XX-WEDT4lWC)tAs$PZW%`=9csD*>Aah+xW&GzBliVl!bqIx8s3G
zvNrQ;U#EPDD9P}2w$S-i6WMrwaUT31z0l=weYWizv#1?w#qyT7-p-z_yvzK@l<#UC
zUsueE=-#M$ZOWYIC#TG5-!<h<>#}>(o^q@T%+gqsRFu9*B23g(v-|ksYX`;82nOs@
z|9Nj-YUrxK?Kc7gc|y9ArXA=?S`a02c-10_AB{$qA0|%{kB?gS;$Y&Vg9mv(-?N$S
zwLzD|Vuy{zf(y-uPaI@y-OI+O(IaTFz(B>qW@$h{Mb^RxAGSChe7G_$e)jjA^&dWb
zQHs;9D)nt!doksP=@gl;&r|(ZKFn&9l8N78wcqSK?@89YWA6WUR2npNKmUJTqr{NU
z_us{<UvJ!P$epstY3<p7izgM$pQfGm&OKaaz0G>V-OjgqIsJ#X86GV^?DPI^%w|93
zS$p@to7{PGcct6Q)X#r!el__nd-mw;-ZyMlXGhq5Uw`eaZ0w>LM`tfeh&ppQQsZv0
z{`)hBn69p<EDI4aW*2w8F7+ur;B{KzT#XqQH!lv@b@<TkO&c6ctxl%9yBhX&B_%kD
zFmo?jaQMv@jboV_wmLoHI$!i}#<^?|*u-P2ld-V9RZgs}vDKSd$Hw@;q0LM>HoF5N
zD*P61a0o~=<~^@#a{0p^Cu838`US3Kv(hRY7n)DoyCFlcd5+|Yg}3Sh7yfJ&7oQbh
z;c2MJ(kOS0;ozC0H<@468C5H|UsY*zd!uA-wY8ly<|M1ywfeoh!n3#QJ)3@VueJ5Y
zrBZXZh=mzyZ*wtxSQU6iNF~rmBu1o}H(-~(akc-o%vFKnH!}mzDF1Oa%%19ExJJ2a
zr>m#P<}*BA<<~0nuVt?4bdM5g7FaYR`m_tEQO;-*=(CT}tNdMMyx4^mZm}uLT@6<s
zaxskO59ImY(Uf=LdbDh-T*UHkFaG+@TRLx%>Z;E6KoR4fMKf++cDZ<d-v1n}-xqGy
z@rUMC9tpU*zaa0^!c*S^R9od%sY$H*bFY0>(g%jU=jN{W_-eD>qqH-8N!7mddkWqy
zjO%=*7$<BX{^G8OcxCG<@yfG@VkFkzUDP&n^^2Og-CyI@=X||%Ak3xaOql*``{+*R
z?54Y0U)@sFh*^-mZAE$eLYG*{t{q2yD;M}p-gWBWY3{veJAx8=;+_aE7T=h|xA(=0
zvkPneo&;o1+yCNE-yc=EHwXUt<%nxH+rD?_WV^j-hU6RONTUT(bH9F`5+-7NQtYTt
zrmJC!LYJprN0J1OS2^471W;%_f4Jy?4XE*6?ke)Rhb55bTt||TQot_b8||B54fl+2
zk!IsXGol+@47X@^F}iEqm3(u!t}60S=FeHHi%X{blsfS}eC~v($1GDi&#PWt{6#SS
zY~)*ww~N0Y3Gl7)=Mky)=iz=hPeSyJtf7w2FIhv|Fx{**MO~o}R!>Zr`o1A_-*GL?
zFA-CZYKe#mt^RW+;^_6#S&W~KO8-BsdPy^E@1h5mv-gU#U1#VHUawPPdAr%v-c?fX
zn5L5av6bPXvlc7qZL%qy7(Q>2)FaIT-p5yz_ddF^x?8f~)cKco5{KM&aTHzaU03(?
zfok0Q=1RNoSBuN~X1+2#I>G1ZC!4RgoGQC^9kcnmtErM}+GLBTkG>XM@#qb-=*@5b
zD4XA$_}Y5Ie&O2(f*zf(sW|nx``V8Gt^2~%SGa87zu>~hcjD$o*M9wcKWoj_cSm%k
zv##ALzZ9{09)F!lb-&5gBcB~L%Ir=w?R1xNs_8e8Z<c&`;q>RABJsMP5!2#Vs67)8
z<k{bm^utwzId;*Et;LHZf?6)kjkmkk8UI4V^vt<VA$!LL`@g3+uc&=;o}XcNw()UV
z&f2W0udeb%iydXyqVa5=@;OdN`NMMp?*8^q+y6C0xc>FR8~L`}&!YJi|6TiR_|{#h
zMk{+pR?^{sHHl(9i5wdf?b0qW=G>P%peA=9=Jh$H>r4hBi`<2Fh&BAQWR&3gtIS!&
zu-JbmV}eI$uXcmwJmGDNCpR$96JGOJTUX)Wr|JFg)vR1TzAo@hTbpgu&JZr<mnwTR
zO72D4o`omQ|1M<Ca};L{`|{zJQh!Lk#-pi?`8uDzi&>uYe0W9oM(&;PBen0D0@twy
zJmPj1sT5T3sxn}oaLeEEl?R(<$)<yW?d8uj@(NruzFPYGH{>svQu*%grIW5J{nMY9
zg$SR2yWqx7S?;tvcEx$OKN@xgZGCt?rLaA#r0v}2sz9E19ZA#9bS7Q<*_l+}E;2c}
z>5{GCx0`AW5qDPd1k}D+p)reR^7#PSNl}w`HD0oP`E}<TDZ5n{_iZX(Bw;0V^uk09
zwU?@0%fx?Xhgx#kHYB~h_<VErj}>bFVq6X7I+F~-M4Y?MWM8;h=dvVzMyJQ>Z!7G!
zX?khx7OUz`7IOJ@G$((h+uIGPfnQEP+|s^iwxKxd;sbkIH<`cO^6u00P5UK6g#RZw
zY}_xQGb5gd<D)*Cd~<w{-pPad>3<i_x*@M|O<t_WULdLd#|+QqmzUbk^|P7V_GQ88
z<?MkkUX}!2TsdEU?yuHm!6Iri{cP<``t+~4XV!i2ZPnYo<oNWDn@)Ro-LxoOTlDJT
zsg>?p%h!3^>YdN5njqJG{N=&LQ9GhS_wS5QNJ({n!F0mx<tg_3mtE}nFRj||9uA1G
zwmG_QX@~qIcmDsIRlMgue4@~6efdo^cWlnjZAr)8EU4j`qqt<+Ha6+v1<@Z9*}s*u
z{ocKyKIX$2A-%78?^;gZD_%EYU()6$TtA&}eV*{UiCJjE?!2bYt(uiDa^h^y|M3!w
zSn0O>b!#Y3N=K5IYgba<bfKeb^hJ&`Xis@p^W*B8%OS#`@@KZ6NU`}`jTaJ7PD#()
zwoA4ve{2Qya*u)fz#iR6PRxsDm~IARiRBA>9x9!xnzL_St()c5BTtx*PU7cot5G;|
zUgLT4lp|S(@1IZ-N3^n%o;*svHS<J%8gH;~jzpK~nI=OXMYA)<eXL%8oq6J9y6yEO
zTXXem&WRb<KK~60(Dadby>P>XHP55hv>#I0Aa_<$<a-9MdhE-$lf5$@s(zMR`z+<&
z;-~YER6l+2)^(4;l&Be8x*tvjWHpAj1#z>~-eFqLvs8@fn<wvL`MJl-Zw0R2V=^V`
z>C%N;>?TW2h?@KVQo!~Fmfq9Phwoe5@p<Zdohcvgg`V-K(3`*c%iR^{j=H#iD`TBM
z<JF1T4yAc^F6^z1eRd}~Ui@gz5s<B2R6Xy7UCmXNi!<Bj>rdPLHmFE^(eL*<=>fe$
z&WWO*cW?&!tnw0ZE?Fe8Ncd>Vp9LlAyYf?w>!70r7D7i;{<s(xsB{U*)+<e2d_h=p
zf4=$TMOT8ixR~A&s-5_wdhxWS*LbFDe3<?@E<h-9-}@z-YeJ<%7U#0e-fEXTjsJL$
z;-{rg^j2<spH|qJl@!yIRM^Sd>@AQK^C($OcKR>t=VF3-KYuPg79b%tJ>W%fay8@h
zfEm_$ZyT>ja7}LT{^H%SO8HIbMwvakGwzx_Pcm8L`9Z|1#iGZpMX$%rL_W<#=|-md
zktYdi%Cj_$B?E(f+)B))Cts}QQeFIAjC+b~v2wHW$Lma&4%hH_yw?_)@M7Zc%}kTF
z9C)dpH9K$8<KlL&#+}!g{FhH~k<+zMxoDm-ZH+irwcNyMaoc-6zBQ@{@7eUZ`sPXb
zt4Ai=Ym|LD-Zb-%V~2WujZbyz^Y5D@TulwbI+Hedi4?D&q4CVkEYM(j`Wm65Havn}
z->1&CKanJQRbs&k(URu5Vn<WHyBHQIdu1!CemPLT)&2kE*eDOvTV}OCuW(=N6<;)?
zWUfY9rlQC;`_#Ap4SpL2`PwKgn$dm$+;M1FrqR~tY8YvuaW}Z#K8r7^U{=<d1y{Qk
zCI|9d>P~tmwnbhxOL(<Y{hdEXt^IvjTWr5MiNuOVX+P6<5ZSyfQiMmXz9-<@Gp>tM
zWKwzSC;fF?H0uXDXV+iHq=SDH96RbK{FqU8?ZfiO!y&@bZArYF6L_3Ect0CX?mPIG
z>*C4d3HJ{rn5*-AD?XHPKb?1}0XN%k!@~(8VnWTe+|7TNBpAf5_-S-{is$urMIZh-
z70!s5y1DI0QsFg$|GJXF%)tvA)g*(N4JC_%#d=q^U7Qh>V6rSsviD_%TXNRabyYv?
z|Ib+%5!W2_*Fy48@h%>ttCz3#$8!}*c!{t}a7|xbY!|>V)7HLc+1%hi2@AE|=RX#m
zZ6Bgx7Ti_JmNQK->xtOYJt=j8e>{>l|JcC%+j`?&(VCPRwew7N-tV9FU3g<_Y*M?g
z*G0M3;W5L-`}S&#7iVX8#Mx~Vh&?Y@KW(mZkgtt<OVaZWof(!&EfswyjwkfZIG=Lu
z-ya+A_>jfH{|{-gEpj!?R&X`sP~<Xbe(5dZ93QaD`HTLhFRrGBwVjLnKDS4`W{Y&q
zY&8DDV)1{|OV<VZt2*}zEPdMgB5j9@;Z9Et&VqmUm(|L#E;m~lcl%ktw}^Aiq8T3=
zHP)`t`Qj4xEj*HK>8z<2#XUrv4=s`q5<6O<!e#SFuyN9fYyWo5RNSWg=!%-`(zhFZ
z1ABY}zj#Z#F;+DlslU8M#;q}Hi>e%J;F<XoHP+5C{4Tb&x@E`fe}Bw=8@rl*JTD^h
z+xTeH&zVgE|9w6h{+Rjd(3kzEe>t0Ov=3NguOm^faOB?y!`x;6Yp)p~m4Jt9&MYzh
z`)S@w^?5Jv&Y2<C%Ic9DUpC`jzwPDUhRIbTyNqm^7?KZsk=L8@(mqJza{ZPJ<GuS1
z3;fr;42o^Ww5qHHC%;^AFuLu#-0;%nOp(CwIm?43o}WB%=#fq2($|X7OSu)<g(RMz
zG>ZLk!|1V1X==or<pBo;HEP#f(D`w^TjHgCpvI;8O#w^(hb;8)PwbmHab6C~67hb?
z*DvqLT$^m~xu)Eq>SLPvH_6v0&p&P|XM8O?A?}x{_?q@ZA^!5LfwtvM8|T@rh}<yG
zerI6u-`KocPkt%C{Q6pDQTg=EG4Veq&uad$C1%;v)<?gl+-cqQ|3XZdSN$^9vsx?N
z0vmRnitX6gpc&Q267eDaZ{m%%{VMJD%I*6V+wB#uM_E5Q6W?>$RJq40W54y}{~IMe
zIARygn$h55*qIy1!``(>@?w<X&5A&QI`FWg!@re^Gd4MYSPmL{ESu5pAi~_ZXojM|
z(S(&6YLj(Oo!G~kVV_W+#i7LXzj$)<VS#|&GK-px*>{hqxLnM$R{aoI!oN+(=Ku7x
zoL0U4ANsP+Y`>Xl?-Jg&UR3zDAZv0$wQ;fijqE<w1+BJ1+#V-mJ^rr0S-v8`m*-4?
zj=^SuhDg@t(>hx$|K>+-4iTQ+nsjzk!Wj@UcsZqRr^CzX-=>^f-p{#Ie(i#4pWfH8
zJMV7aad-Q@iJeUsN~<+1XWzd4^Ov4V{hKdYx-utQ&6buY{@yw95AU+;nTdPF%nBa1
zU6$Uu!IQh_Oz$%D?8I)dZAA;CGahK@o-uY)c&qb<i9y6oTE`)gv5ED-zlF`L8a*lz
z3~%JEA{v}zbS(Ox*X$~_n)$rbmYq9=k>Rgf@_xU6!D-W&S*Nt|Ha1NzG&p<U^ugua
zyplD`E=*ty)M)3)_-oeA^NRITO5b$Gnr&Kho3`<}?7n{a0prsfmK6@{pQ{*}zCZIl
zk}_%fsmb+;EB~y_D4w^FMXJ^GMtt{M#T#;6y6t=!CGXm5=LybRc6QD>=z>~y$&7+G
ze?D^LSWa+QEoiaBLHPh<`*~5Rn2M^2oO&l_$|NlKR=Lx5Zlze%_SNhwrs{FOD^EDB
z$nwi5hrjNv%UQD=%MAs>IhK1I9<qO#u$VhN`LtnM@rJpkmjY&5i2u5~aWDI}-W!LX
zcVFvj(ihp>CKs^7_LepO?Xng{7I~)?ibqtP&M3C%_&svc{!wt>dRsI9?CsYMw!bJ0
znR<KW%BlXRQ={%#A8em8=lQQGcUT+$-#f#`{%^6=Vc)GuVJh88^O#k=_UP@pb}(%5
z1E($bWvl;BRIOhX=)Uvzq8Z2IJCiO1iU|J^V0Aw1tZ?eY3Hi6|CVspJJ2<z<-K$S~
z*q4>GqdDneA1iaYj>L{+$Ks1yZ~i|$Yw4^TIwINASd&4h^;_`ze^X7pO*ckrT+`t`
z21dC}`&OKoiK@<1{l%}&a9c-xxu&wU=?Pz1*0!Eh$k=braqByaZmXff3w^O!dH;hJ
ziu`@*r1?v`HRww?ckPF3*SjPZ?~l`P3yuzcm~kv4tJ`qatwoX(l}xr4bA97nc>17u
zm*Rcl60Nf5$4*V%n=iH_V7FxCO-a9X=YGCdN=s!AC_5ay>9p{t?ObyMjAZL~pV%Mj
z!MDA9!R3d%DrIvEBX(8DczrpT=YH1j&E<yN!*x=fKb2)Pg)eVkdGN@Wt-UjL$kZ&)
zc=~vM^cz8~x*Iz=weAH4e@vJdP-y$N)?=cx#j3yr?KMf0wlA9TWUa<Cvy?!ee^FB=
zD$3k?Kkra|27I*H^I><AMwrNC2GOH;xD`&F2>Ow+znbfR;?a^h-=8WL+{#_mZ(kYs
zXzT5*dAqbuok$YP*k66-(ZiSP!uo=G%Q|=KcI}+%AmV$wJ+C`2PhP?`JW+MxwtAO~
z9QGHtO4kMU{yJSNbhM_~)zF-+BPlGQ<I*pI^mmheA1-d)JM+-`_SX}SmOMLnFGN_`
zUZnev&WxjP1s<_ie>Qw8aD4Hmwv}pTJV&L{4ob8MNIy%y<=EHV{qjsv-KtJr=Z$TV
z25pK*PXGKl!;`<5=a<28C7$9RVKWYIJtT8Z=fnHMG8#RBGniX#WuG}DzA#{KQdZ_H
zUSLo%gZbdZi7l1^FQlG1_@o>&aR2)G^~T)e791&-$3E_LN|w2=Uy;<<Dco*R7qCQ(
z%VHj5c1m;9gA;693mCF03K{15P2}BD!KGEP>@YX;ido`p0;-=6F%<QPvjuG4W6&_`
zsWo?B#Da+S%cYD@m|_>-WZh-4nY$!3P5edD8O4Uf0ZLE!Kk{$C@S5e{@)PckbrCPD
z8s$Z$IDZx{e9cl9^yE6{g_(*9kDdwg6iRU@+HYr%FJt51_HagwLCc=G#Re(+`3?&F
zdLeaC;1`edL4jW@CTp|YIOTCzF+FwyM|;k?!-^Ll|JJe(zN|GLbTUK0!wFTdsy)lj
z`I>&bBHlIk*QSJJ`ve|Yn#(Wtms`R6KO7{pF;U~10rxS3mWp#{k01DO>5D^2XPwQi
zlYNctE7j8619|wnlcwo)Bo+Nwl)-SKx2-o()y3x3Kig#|e>e87bhCZ>uXB;N@tkj-
zB|R+PJ*QNDFo;U1U%qC4f9@}7JN{LHv)`=OkeZfQKlhi$Ry7f2u3zR|=NaCX^B=WY
zcj7Lei|Mn~&%eA@t9sqZxcGO}!6c<8pME4+?R%_Tvv!4B?UQ~_5n)?lRX%0YDud1M
zzpZY$_AM<#Rn@(*Up=M1Wu@9b-Ik<!?ns0Etpbna6YZAC{-{X$=8iNj@Uh=O-uPu>
z|F4@ZwGWa%ve?DE=HoPfJejwskzeFeBmaGNyCnvRjr{vBH1dlqI?yh^`9S-9=|+B$
zBl8uR<!3A?xYK;XzN`8|zS*{&4eax6TZ=Wg96oQfxaT<MthObGp}*tV#vA`L7drA=
zwKdC$1TR>?KI`cW_PNIl`Hd3hFMA-a@$8}1`nLr$Z?w%0PI#Q|s=Xm_rM<q%j*s0+
zagRQpc4C$`DLHwd?ec8-7mIUP%u*_TK4Z^Plzbq-U*yR5DbxB}dD3p)+X8ncOv{(~
zb=;BfQ_aI>nLFNnMHj@UEt0w8&R2ZlyDC@lf(_dj$(YxbD4*I`nf+x_)6q|9@2bN7
zEK&P>Ip5oHbJD6#$zYM<-Ax*5@j6|4zY^wW%g#7#!MgPKr5^Qk*`-p>9b1!RL|tW{
z3a%?HnItR9Dx2WGuq58$<CHavLxdkM&|qVC&0MP`aMwbst5C$N{8?RA@kZ~0DOp!^
z%R)qi-w7VoDHA-p$JR+ixJ=-v1#fEpy_AWQb~n7ayvfGX)X?8)qs_^thn^gU{+|qU
zC)8F=TY!|ig-zs*Un<<*KdU;%ahvtFUy2*I&h5^B{(84VmUR7Yg-q#`=WHwQ&M2Cv
zv2y}T=b;Qvp;kjB50PV?3jSIKil&Z5>FEMzGI}Po6!lCfS#84F=lg2TvS%)nY)scH
zPBLB=tnoL0;mqQ!1!|rPgw)TimtKA*yJ|_8WvU-vYc<mmqx<guYuU=?@SAROFVRf-
z{!;41yUR!WHMJa;NbtR6%F9l3Dw%o3@#?kpTz=J$IogiKi}SQwl(LzXyk&p+c!~<o
zt}}<f-S_~S37BTt|0l^$rF;fgevhvDzL|_O|LrP>SDxzYe8VI4as~g<ipI-Khgf%I
zH^)EN+fb=qxX`uSzS_;WeQ)2qP2w-|PAV<jJ!OvjnkjRh&zW+E)ok9yGpTGh7D%~v
zAJRI|c1f$v*!5!RNuw$*(G|W+Ug_mlIofw*^k*fR2_M}sQ{z<H)<v&>{|sLF#+g5J
z$E|<H-C4(y-^!nuvRlUV>XpA0R!ie<ZL)v;`;FDVKKFYwSG`C-)#2`FV<UFmamjoR
z<#p{I8y9PeO~2zcYfs{m!fx{}le~iHC56?udne8{pUzf(L}~jDW3io{zZ{K}wtMdP
z&2pdpXS>H^v3!}#I|{DbvwCmeww^O%l5$sd*tfLeRh^oAT}v&*&YXRwBT<o(cu6wP
z^L&Bu`2xND&u%Tv)0Jm$`>YE_m2IDG4|^u|Nbt3;<9XhmXmR1j1)g@Nc@Hb(oIanD
zc>H2zN>AYz4$Wg0Rr^GIZGNmw>CI6%tN-KZ1(Vf}J!7;vUs#+um1!R#_v+u<sT)4d
zUUV??>4Tj|a~2%C7Pfe=>5X+qzX{|p&#|2M?7@S+oUobyb0<DFHaSuerZMmDx&RyP
zv?InZ!<jGMnNagAs^FQ(nHPt>nr4=~ynprN{|kqFw{DPbH`7>~5h!){pnRYdm#pI1
z-ku9~|2~;5%)MuIP@mKPWZ=KIH+_{jV_ZxP#XFNOMJ<w05_G+Or|bMF*A={$+i!J0
zH`&Vpl?`%SBw;1&8h-LqM98;=oBwL;{C;QIUjwd?#kren1J7u5EZRQr^YQT4v+B4O
zGuUpl`%&que@G*sciWM;jwCn7DHV=cYZtTIa0LjxuAP5>i@aN7)+2vMk*(+NKZ!EW
zUgw(G%k*V}?wY+7j+eVZrkdU44vbp8#MOND5?8~;46Se7CpL+jz5T3VvDNeI$LIQ8
zKeYv1ckT&GxZlV0#bwC@S6}@KktXqw^=~(dFM6?kLzszMp!SC;?u=eaK_4C|Nop3z
z@Y*nZ)&73B^3g|g>wniujNG{wm@Q^?+;Xu=;Z}yDK<*ZXB;}|DvwFo=q%CG$bu)ue
zI@5)_DFd#A@pdOzyfMoxYQZfDH}0TMESGEk-}>yixYAyImV4qBllM_3{2l7E%5`VC
zJMP#saU$yxcge{6m-mQ>@t<9MVd}HMh>Tg~Hr@yR8(5ZjefqM+RPE=luNhyK6rMXF
zv^sKD`K$@Kd*#l)WWM!cVeXzS)8)<{lZ=#4PkH$>dd|e9={?16VfV`3nJ9bnKiSk>
zIrrqTU6H{XWds(SZoIeE=e$;YvyiQ3t*+M>3;nLd&ztu#z2AKH@W$J7zt_jV;JRxs
zlJIZUm*Y%#;-~%#$;kV5zV+UuKhvFF{$Jm^?#|z6L*;+Fd45^@ES}R?C&@HR{^5VK
zkeuUX?Axq2^1gZh>5hM_^1J7Jf<KDYJOAv=-d(uGwVwT5c|#rhgYpHn>{rSk)Ucl@
zSEw;h+Oz-G?O7H36{{{)SZ*^HT>ix7-ts4V=Qh-Oe%5pS^;n<7YlT~28{1s=U`4kq
z-Xk4J4U_7_`3{@?Il1ePY`1&DZH|*Wf8=>bcsAVhp8Z#$qBTBb@w{!n6}PhWxH{VB
z@TYy7Ynxi(%*|O*9XYXO`zf`nOD^rXF#Ca+3wNI57BD3s{b1QFR`aVmJ65J0?Cmu<
zpxYsKrzztgh`Qm&lea3eAoy(4>@3?Iy;65h{hN2ekaaujPW3CL&O6PTwg#^2t&V-5
zSMI;U_wchx+cjLixA4tg_4Yld>%!;9b?o`3^13A|{`hD!_t=Fg;TtC|H!*3{WIxX@
zY%pt~mPOqKZ40~e&-1xTH>)=JA1u33vcdR!RhZnLy&Kj<RE9iB5Nr+2$~8R~+59#t
zhyS42gN2*<KOfUvp7<SlLdG_0`+tiw?!J9Fh5vu#jFv(V#qu-a!N;YySM8F#cyVjq
zn-b%DS6-eGUOmT1x%7F?oA#cMmN(u`GB2AMZFTp9MWx)f+#;R;Ndcn|X$dDI-{0Kx
zM3{4h3I8j(`|80<pV)+4tN8dyjmhwo<yqgr+Bu5CM^iFf4JB_~Tqu*Hy6N2djBm|>
z=Q8bA*u87lP`j+&b+Y_C@6!u@O2&UrE$i>yxa`*r_rRY1MO%tKEtbf>;q_!&{M9$^
z$!k`E)IYOU?)rJ|OzxVCeoFuUzFO8_wr1`xSNEfjwYxY2JCZi-T`Z9u;hAzF{_>l4
z+3e+i7c72M*OBx~k?WV?_Kj}bojSj>Xa9UFz_&>1YxjQXMO&^(b>ul|En2|7Sh_sI
zv!yNW`kP=5-oV~pM{9+aURA&7JKtrd`wR|Ul|wG;^(Q4NEw`*&mH+(5LNjMq$1MvN
zDclMO6v$n{k)#~5WEQXJ$~0%!RW}0!r88T)nlj)@1aEhO#V2N&g)E7Z*ODq-v7)}_
z#*_B0XY;?_|GZF1L}kI{)YJoat}%XDs3h_$AkZwN<<O0mu8ePsFD~k?@C(b;?_qXZ
zuVIqlvT(5;<Kk7{m}0X&2(0(!eeq_)l?Ng+r#~+hu%6UhvGJhuUOU@2Livw8YO0i{
zJh9TZT+ne@OJ<kUZPSCVqGWzB#N`I?oXgiW<6u;sUfCoee(uU4)2#;C5ikF9#rAwy
z?<B4q6Y?skwS9_IQdP#v(?W7#Al~#{Iu8Y(_5`Y#r?hyoY3umiXzbd_I%`F9>4MJP
zk?ym0bc<v?%6sp2R^`~NeLugttT}1JYP+j>&OasL$tvI$cX^VpW@Tj5GWm`cpZyY?
zGq?F#cCGN?y%$i-UN2$9rQA1n2iuYT8&{}>=guhMnh>(y<7Qb=-hvJ@;SK+mr8y`b
zu)2C=gPvEm=e`%!DjclQoDTKHpEd9I8ZNDR+xs=PgtuqM&OZHHo(&eYPlXiXB9Bcs
z+!)7PC48tkL(!%~3`E83{~>nhtbB7YNBCK%9a&<BZhA0-#G%r2-X0NHH><Vas!q%5
zYtBDbDDK&pyRZF&XKR6o8qXo|ti5bpwk`fVEx+XsE&n9&Zpwj7TQ)(9&nsL%JpX+y
z_qTfT_Qv}~um0VzXSki2lm0Bv{LHLg_i3It*3Hpz+mO~QZ7KUp^2WKw_41)w@$oUs
zrsc&1nN7?4U{Dsh`(adwuCgI><nGpp-Hx@JJbZ0ePn60N4qUuJ`j-mR*Y5gx46l5<
zf9k%@_uM=um~Bzj(=C^@7RWzS4E%QD5$_EzoqHA8o8@_@Ev`CTv^D9M;;B92`3vSA
zdDOezw3g?Nk7?WNxxX}aMSfA}N<4qD*EB~}EPv15oBVRGPg$=Dtk1P~F;CWo>nS(6
zx$ooNWmUq{SBS<kJan_)w?RTIXUV^i#T!mr&wkB$=z4or*foErf?KK=&(7itJTr?g
z&~1+yYnYJYjB?Z8BKy2T7SFq7*O@mjTcImbS@D$3HID_YE*a16x*C~0F6m{ty8XI`
zLvCAoQ^sLq$1M+MD%|?ObHuH}%~X0`;MunHkj)Pz%`S1AXSoPhBDqbt9V~t|r^0Qk
zosIFU4?h3%etL4BPjEeQq&+=gg2JhqYaR;}OzyO&2S~jBz{6ErajYf1qU&<cg@jZV
z|C1R)N4?c79D?tMIImVs<GplY5y!lUk5-)KxA&TgEcu`o_W12D4x@bmuaz}+ZRToU
zcdU$e)%kp#{)E|zMTgCtKOEEj@~h*&*4dJ6x9Uq)W-H4DXYoAWxO81_Ma)XaImf5(
zGJC}NbV8tvc`}PTTi10rk<Z*u0-nEe;G4DjvBe!{t|tZS%NM5!DO;BR$~K;~*|KWK
zzog32D6hC_(_WS<DqiMy4Zb)1cuQjC%?GxhYVzfZUatOR_;XsE=fg`e+0x}}#k7mx
zuRUA%SdeZ1ddb=a^2eJt^k)TKWWQ+oOQEcZ&u2}2`t*(S8m@jf*Ddy6HEo3&>*__>
zf7BwxWT#15EL3Uu%<L*;vD$2f+Ey`!Dq*wcj6~xOF%Tu3#B3J2dBe(Ct-e=vayCt5
z%VE2CC`~{YM5*2GObj;Vp1msaMo4b|fwaF5FWnFQ^6=ea{=3KHW4>Id{U>e0fA_k*
z*K+>5=?85}=Cj(A)VG>?*T-jW{&Bkd%%}T{3|H6BIS3wMdMkdk)*yRYR?_BxHIZUH
zV5Ig}l=*M=qhq4S#LH4!<o`Nz-i~<tE1bt8QBm_oza;B#%fJotZB2ij0zY`R&df-<
zJ%`V1o_63{`;AvB6_pFV#Bk1%Zv4mef7dl8R(>X~Y!RIwR%chunH{<K!15T@+s>uE
zhbEu8CjD^TjN~|xu$3pTW|Z@8%id_qa@%?1(>1KV*9r>Cn`(<r9_gx!;cwmSThun2
z+go5p#{8hN=%xo3EuURy+xg3KZ*IfhB{OCBEV(IT=lCo_En-=uTEwfEgz!aSA{<eH
zH+r3QH*c!r4$bR4^fxMBrXt~SFY_PY-D|zJUuL?Tt#E;1d1Lf#4`~lGhBuxu8HKOk
zPoJurw{^YDj3|{mQU2WaS9}=R<}H>wT)g$tF5jq<vufA7c7C0Gldmf2?}7H&aV*;w
zOC2uTdMQd=Z&&4+-5M_#i{+*qxz*5b^0qy2fmH6)x7|tCQaXg5ugl(R%5_0_=HGs^
zy&Q`jOtTvI3LH(j;F`JjheL@mSAbCD?|xITp3j;VTQzrivUex#<6bmF#Jr#B%Y^#%
zdw*0w8pgVj`Yz_3Wr1hP9Ywx0xHEFy?pKnTpK<GXV1d=A5c&Q+8fkMoG>+}lSewEA
z#bwLm$*_j8*bVN$Gg|zCXVMkBe(E`Ry4cx;swm6*1-}is@3+-C*Ui2uW3jyBmW%xg
zw_dOxar@$ID*ZR$tebtv=8ODhmpbZLF2a@YZxeP0i(k$8;=HxBx9-aPC(AcK@HaL5
z;k@y|`6kACF`gfr89wwc(w=`3ZG^L|<mqO#G4cz2>uU7Slb`J_qpR-WYC5|fsjKg5
zTHs~1tEp*2Wu9d7<riJ|r7w!^XJ4$%F{7k+-2#JKFSwZ0Vi#*`@W{qGCfY30mivGB
z&z+Y?-p!MWugp3bY`n()fxv0keWFP>m#nW{aFq2AbM|zJkk;z#4NvXXCY*MQO?6n!
zW$~aWex8X)bM;aE+6AQ?CwFkiNAKy}Ic4VzZug8ZN2@mdZVrYER|CSOEoy}hGyj~_
zew5v$_DFr-!8w*bJkK(hu9K>$TKT=%X7LqM*6GuBWj&a=be&2?*vgGF(x>m5^uY3I
z&p`?E6cx9&rf{JjVGeD-cL=v8o2YFLOg5VR$x!l@&e4<|-Fv1q>^kesxnlF~!Y?|B
zlK(!LJ~H~AvzPPJzO@_9>~)iw7FjwqEg~&=%bbMyu0qp1PkxT~dmuIA|IbCgUS=`p
znT9WwV!p98DIr{>`OhMWGa{+Z!etB9C;aF3?PvNF?E7Y-M#gMgp`#D>Yq0HiHT=P^
z+W0>{=a-OMd)AiFZ>}Q7R*Mc;L+C9`N*9C|Ht3t$axHc?&FZxkI@-eOVwlJnc&4j1
z@JthjM(2hD)4Nk57GyPN1#v4aFn4Bh+@jd1aBG5tK&}TvlCsKzS-hMp(wv!A-JHN6
zo!P?DlmS=5aJv&M-jHRcvf$RCHkP1IskLAK+SyL>lbE!~z+}=QgD90!U6s<6rC;s}
z|I>J5s^Xf+uc*nj*fq$WXVM}AH;=AEPRc7EpUgM9{oeBTDHkuvI<-seMia%F|NHD~
z5l}D?J(s4icR$lhW>Js#)2lcazW$cObnb`!_A@$#_6hk*e$Nk|wc8+*$9wi0eRh3~
z=$rq<r`_H3_Z)*-d45~ztGr`2`(J-cb9}|KSfzBacisK7rL4a?5<d21Z%w@T?8D-4
zhY;N*X>5}jy1y>@qwQL1>#M|l^~evEE=RFIo=qJ|6I?|SSpV9vq$+Fn=m$9E**`6r
zQuIFyw8(3vo5<rW8fs6qxMY%+yI!1<y>@~08<Qy$y8JC|Q|JDR5<c2=Ib^Zkrpt>Y
zM1+r8=ypkF21dQ$v28pTpI;^NU_#cG+;1Ktn~NMpm_IK%U{|fu<(RI)S@O@#IQ{J6
z!}b25-XZe+9U5$RT^mie>3eZcU#MR2bGEO3g$t;M3@!*XI+6q;MVe0p2zC6q?<zEL
zZrZt(`{r7<-#YR{A<Bnu(NPf9cH=<GRUNg}X@-%e-6wOFG@f+aGDF6t8$_KcOY#Xj
zo3trQY;x%B*)wA5-`zj8tYM{^AEWa|%>*$Yt{JS8nbH={t^8MZP3P*7w1p9ybtJ&Z
zr|Q|xIzh+&pN~6!)-@X3@0a^r%l*05?(>~wJMnK4&lX=0{rq=E;=cHZGv8-?ys(5l
zx@mgIv!6~+|8%EhHP4YxpQkB*<AhCqUdlY%ptEOweGk00fBB|=tZScq4)e29?)BLD
zyy!#S`jgLpzwmuGWyZ2@?OV_Oe71c)v3^;_L9HqGo|`h(*84ixJLH$wFL<`#{c_6}
z)tP+1YIu2UGG-h&(0$6n;KL&$KAt^GuH5<T?cK|>XU&y6pHH7Yw~+C=?suQV2X;PB
zI;PO*zSzXRd1=gwhF!-OGQE;WpPN}@8TMg8$eIRUchB^iSG{vS?~S&6zG-T)p1X`&
z#fxQW5{Y*^XJ5ab*z)4#zfkU#Gu^=l5tkq0(A>$rzkc~oj~edkODDgbKU*|s`H!Ot
z>`Sei>&yGvUhcVJFy+7%&BKEC>hhu7hIN{U1q18$dkCdoHMzQE(z*+?O(b2sCp&Hd
zQv%W^D`zeBysG27DlKzTuStec$27I(j7$)9)6Zw}s>oR(XD=<us-CPC{OOVRu512F
z-e=~>Wb(AKE-(~v^G;spnw(eX8NS}+*_2}qsf-)c<{kSp<D!H@PS2!u4I6A{l$5Hi
zdV6N=)xMLfWopi8SBV<m3x8X2Er8GNad3I)ZlA2F9*2*=yc#}b=9jFU8(v;5`oMc$
zB9w36i<yg09lT$|6QuXK=k}`$;nUWhd9~W>>GJUD#-AoftiQbajkD2ytvFquKGAc0
zrR-a!H}kxnU#@l`<L;yAzUcD@>J9E(**|OMWl5`-{Pp**UXic*uJiIgPx=h&_?gQ;
zCp~MpG<Q>-h5O->xEu+u@>9PqzG>4oE}1gR^WT)PwnCrsQ@;u)F4kG)87THeEtU0R
zP?&%&h!Q)(mbx-c)7#WLa#iH1BxT-HT#J^jQHTOjI@^SUr)5ps6ta2B(kTDP#mm;z
zWoG+FDlgVqvg_EyO*Q_JK`%75%}q7f?|;+1ROB3V?fl;fQ@(o12VcuR)i>p+!#1zn
zwZ|;8H^g@59$540!u%gRS$|JyXZ<~<o%Q#qan{u4_}@1pUT<BhwmL3cD`)S%kQ-Ib
zmeM&BYq_JJxbkf~q3;(Nc>X&>!AXuD<C3|>r&&s5x6WAjT7C26hKiLJT+^2<;I1lv
z@hkIIL25(R6^Bclk{qTzk9Rv?KYyvM*fuSBYII2)Pi(+0=j-R6XUsU)&FlP<FLu91
z(FIpi%@<AgTGlB<z2I8Z3Zj~BH0WK`DOs7e(A$(dHfITAtmBpoqAuJZ>S$Tgi=eYk
z)3d~Og}h$4x?NAC>V?z7_H}Z*c3g12-m&oX)`r!yit7*Zv&QZ)ig>2v@WHrfdiTuN
zy{YNXlx(7xZVP)n2Q;X8&FH#(P20|WPp0nN_ipOWf3K$Qtb3WByv}^;shE7dsXOll
zX%+9?I;VH%iG8VhpOlWrJP|h*|5|h2K)m;Wh4FR$casv<x&F8_v&!z@>4y#rW-Y%g
zsrjtw-rSx4$}JAx`FYA_uDCGAly_&ouC|m~_BrWrz%JwK>)VZEW-c)fa^~G@UHo^M
zhtc%Q(pOgOt8JFLqE^m&u_#<X7et94VJlymw$t16bnL3gcS*{;@3<B{U8fKQqV%>2
z7f;WcwmW3=ouyIdV{OY9UMLpl5-k6DTCRMdL)ZJA-dwijz4LajlKk%K`nYXf(Ff=I
zt$R-${Qpx?IPU&;wtX?_AC%mpmzKeTq3L>gE!!TsFpUb^Jpmu8Toyj~dZFq4g+rX~
z5B``{?_C@6t*UzEo3DLAH($?vn)Ak0FXEe$d(j)$b%xb}&oj>*mOpJKvl)8m^THq9
zY)Nx|zW)B)<Y)Hd&e`VI|AguZ{qs6mnc;P^@>1r>%?Zb@JlOt~=g#r{Dp&oTdj<Dr
zf8s8@$iu6DT=JCu)r62IOsywXlNR3>zkJ$iW8hUS+1;D!+PZ=rgzqv)O?fxR<?)0E
zOIBs}1Spxa?mg+@xTQzL<ur&|GQTZV<uj*cs>)Rz<&|lYoxLU!njO<7Hf2bHs2hG~
zJXS@z1)VjTlx3^jJ8R;pc@x#9PP}u&c+zZn<%J3^TQ=;}G?(jn@=ZbcCtuH#V?7h^
z#HBCEviq~n=$!xF-EXGNU&UAJZ~1<C)V}RLMnRT88scyKURPlCP>{>TT|+=-;RO$`
zP`N$pdmeUF-QMx>)!(Nwa+xP<y^|(JfB3z2hqR|y(c`m@{*?($&1SmKY_7;(VhA|9
zcV*f!)rzl%Yp1_;d_QmUujFf-s{)xl)hhyCDf6~Eyqq`xSMV*)be{0E7c0*E4Jg&U
zld8U;<b3Ggos)%2rp(H;R@|DVvY_Po#{D9ea*Jn)^gHH%iV)E4XT1of9CJR*$eMOM
zWV6k(Sx*hkE<M<&5GBXG=qZRwwl?fvnO5mzdOCU4R~xe|x!1*Ew*9?5$Hi>YuYR5(
z*7nR$q)JYERxsQ3S!x#Zq(UMBmR;kn+i>P{MCjjzMpL){D!3VC@?&C=o8Ica9~qLH
zZyrCTGJD~L6Y+w_)&^CtR;=A~=0jxY_s$|kiL*y*zMTx396n!5^nzV%)~8p-sp(F|
z#+PP(DLcr)+v>@(WvaM3LvAu}#`NfthHuwzb~fx?v+Q}vnsa|7FSukfN_}C-*t&Ip
z=~>gz+{+t}Nqt|QC2h4`Ht^p4^jDr&<*u$?f35GuvA(yQS-XSp*<bPtNn4?Icz0>;
zoIhNC3(NxIa^KGP&9<2nS{*WZyV}-cQvAAKT%_Lr-}Js>fmHJHursGQT@3r5iXYwc
z*ioc5)@iN8wbo0r#{WZA3@^X@<gqGWzrW>{%UOk}D?E!_K~&2P2j8nYS*y|lgH5|<
z<t%BO<+x>qq)Ru5I$4%<CFJa)Wm#gTp|1mrudJxtDQ9|I%Ji?2tFH(LkHd`2D=v<G
zF9U^J<xItyOe?c4&Nyl@&+@?}2jMoZuPKWfPBWfOH!ywtbdvn%u6gUf?TY&p95=t=
z|IJNP`PW=IKlP(+_P(k7YxsWEWZu|(>%Y^?lia(`-SO<$#T7L1Bxe|V?Z%LIe}Y!>
zZ~s1H<_^ok^s5)9d)Kc174G%){Q7C9=ErMKwcj77RbRI_#^T?SxXU^md+)V%y}j}7
zK$-fs&B_|}Yv!mf;d2!@WqZ4~`S?sH`%hEUTG!bZer}uP)a~lDP}6e$dGC{zD&8l5
z@<e){3;J;7!6KtG&uY1^#XMF`<Ja8HtS>v8DelD?TfqktlsONqjB4ucw2}Ivc8BHS
zAzuMq5Y;Qmx4dBStXAo(I(Js2J#6bWd7#!Ime-W=5JcVZ<1t?qxg+rGq24UpJ3Uf)
zU2=KHr1Ao0gzPA++%Yp+j+gb|T)Dh}7hL9bOb?IsbL71!=f7<rT(HuU_464=v);D<
zG8g6~dM-Y^<REYH>FM3UY4>#|zX;;{w>##>)1IdfqMzoR36lNt)@Zu^;r|(y%D=9q
znl6^ut}V5)+Ss6bcKyDd-$oZXH~)>F;~@IEZ0*tSlisyYyBcaZlY61m*&30s&S1Yc
zIkC5^cv!x;e0r0Zs(0Jjv{1}#%9PZ1>8b3;RfID6{l8DJU%4uGRll*#q8F=<MxA`J
zIY4?+*4C%)OR6Pwg7@Cy+`H;)m>sv(?U|d(<YvB0yef1x!#gKf<Z^UiP_~IPf1!_3
z^7j=b{Hw!Os%_Kpx^w$jdPlO5<nHdvwmiz7Uklf}Ty&kkb&_Y#BD0joS*NE%jC~op
zcFVNcMf`!Y7u^4^Rs6-<RP$Hsy(RY*qJHr%S_-0CZ!Czvs`GbM+RJcL@BKMT9QQkJ
z`6BJ&4Wdq$CH)FLdue^vw7SsOFUzldxxN3^uI2Y9UVI<0(sub5?iaqxzujTFxL%(B
z*VpO^b%8Tl>uUY?+vHkwESLUcQ!`881M|INkvBh0vxBSj)~hf5-fVh(@}qj=`R&E)
zT8dxDCe69D`1*#c-d-Q?Kl)gHN=0t*g%fWCJ=Y&vxccf1sgON)whP?+y!uqeq^AGv
zi<=C8E{~hv^{H~bzw6I6*OxD<xuvaHznw)heChkCv!4F0TN$}ee#)$;zvl;SuCdoK
zJ^g(#h@qFRoc`(fB;(4dD(N358`qggeOAwSrM&$2iu9HXccRaI50o!o?6TPCoI>qx
zb5)01d%AN!Hhx*0?kKV<UomGbbF9P?*UUzfFDxGRX<{x5e@#if7|m(*f^$OM8y(vv
zRmsc4cs#ln?ValHRNZll#lt>tnp{xrs`f?Ot2k^KFZIt~+8!dGyiCK;=DL;5Cfk;I
z3@_EsTykDzKI5b<&$T6D*LJiA2r2wM5?ST(wUFP{P(jy=ZAsv4hL`GRL8@%mOy%)d
zY{q!WU$0of*Hm*t+dY<-3Q-gI7O{e;wi^tYS9LsAr#VKMa$m|>!g$GXi-N2RH;6i0
zmNX&kY}1x3u_d9e9e1&3id0Q-Tgd)WZpqFI&0pjgGpkNAHk;UPwP(Myj`iY~d)pZ<
z&WqnWoi(#=t=wdjy$XFEQnw`?eyOLXPf&Xn*XkUed|KqMSN`=Ahh&;f_O|J9UaJ4X
zb?N>mu1otra9y(h%yr3LS9D2zn3l)?Js}hRxvo_BdpgMRSG_mu%lN5Wm-g$WGiQD}
z-egial{xd%WXD-<$^R65Yf~mHn`h_|oG-a#W&iHT&yRU8o&TA@wB+pXuVV5Z_Nu)a
zS6Sv|iEv2S^Ovqo@?6W1rnAVwzu`;p<&L1OE~b*FZA`uzZaCk;q{?{7-}`%jo#vL<
zt?urUTh@pQYY8lEoq0i6;qR9c{<TjH=9T2w%v&UJrezYt%k(o>4zE&Ob$!~7?TcpI
z>zc$Vy1<O_()4pz4zCJbWj@V0-!;FLYk|7MubD69!gK?Bf3CaY#q)mayqAhu!U})a
zl<=?qwnFW*?%M2VaEzu;x16wSmWYRQep88nt_S-?Fy)-n01o`k3TtPvW}01M0L2(I
z;?k@QVX?OAtHRx86E0hi#TS}O_%Fr0aI9icc>7aV)TH#mcijsubJJO5uccPkxhqXJ
z*?YEsnVUuavz{%%9m~xBMRt7Ku}Q`9mw9S>pV|{}9L<kAw1e$;_D0pdXXac&9*4^&
zE#Cip>f--zN*{ikD!Tap6Vb)>(OPc**M;=_t6eGaw>`-4SO3zaFY~>QzObL(b+KME
z{cuLn@gx(=smC&QoD}g*HmvVjrf1|De0;{Dm79GoUO9UAj-mZMk-ziwRWxqxF)ZBh
zLgSZZrrpE3km8C}{)U||+6-MY4b{G|xZ0baRX1wdXliAa<`c-1?Bp5n<#XCg#jD4A
zG`+MVwX3xK7;GIco}a(6J+wOHe$tr)-z?ub3|>u(;tjm{SH}H)CfAiTY3rhtNn2O=
z27KX8f2nwNcE0~w5T94%?ypa7e`iVUS&_Ey8^<lV9c)tjE5x?1-1qh1l`8Juh3Xe(
z$oMSScsbp0A@kaFL&w}lg#x;A>=(h*?1e>L$5%z#gq?k~F-xrfrU!F>bH+!D4lxil
z<L!}(HM5Q;U)7OYeeLnb6(1`uJpLH*c;owzJB~k=>lYX6w@qX7bSm>Y{-tB-!xuM}
z%zSxt8q4uNkB-iKdE@hGotYC}?(He@46?D)Xg$8pSW4IxRGw{&Wu6-MVTai7mSbv1
zecqq&S!8HCwd>*!#nxpr{y&}CTmN8c@Bb(*>Hlj(%>Grc%=yzFbo0mjrJFwfpL*(;
z$%8Y;{%fTtCx1G=$*^*2TJpEa4`;YFKXd)@V*A4h(5gE2$BbPkYkcM=+b!cWmJc>B
zU+ltce6FVE|9jJ)70k98I|A(b?qzt^>vdYTKo*nM%$YQOY3i@VekZ<!&zq2Wd&*BS
zp6kDAS1#MCzjFBtyJb@Ud@Xoxe`tUH!TtFM_U9jtKa1+ODSmd5k*_|)uYSAImg>yU
zf_@?0hiB#K9p7ju9{D}3tmke*pObZ`%B$0}_DqxA^wc8k=GuRid{#9v9}cdrasREz
zd;8afSZ3arlYJK?KGm@M>~c+{N|?JjW1~Tb7>EkkA1}szw)~u?*s8RR0jAxpIZGN_
z9k)bCxO9W46J<#{A!m~oW{Gi!zTQ}HB_d+~+|QTfxPN{zJa}la=<~jnmzOU-wRjuj
zdfu+w8E=~UVAo67A6<2N^ZFwHT~3Nl?jlcSNc*h6e5gt{=fNS~<>pz5lf|CQkoL{L
zc1TP&=c$vqn5`FgrbcP(!jM~%rgL}MdMw|^Ja?Dj;^6HMN~-3aYp5_g5^!qs!ZMCI
z&mvw<Z<*IqJF9NNUWXNWbtVRT%{DhKTb^J(b6+W&PwbzTwZR*o?s@C#ZB(!;^<MwY
zUDDf3)DLMc&s1LSY|nh#I8-IiwQ++etKW-hYnQVdFSSeQJjdF9VA{+t3%$=Q-XRg2
zd4(fb@vOs2KhCc@Ii0}^RSd5l<$s(cm&$gx@2y|X_Qca6r)*B;oI2x`!?ph0F+FCj
zudij^2rpYSOGC8v?gi<FD!pyx$G^$lIL8}#{_r;6<%}`R%h;Cj?vX0^uA;Z)z}Fl1
zRSuLo^RBz7?eWrI%kVVhKo0hp>;n~*;b}?BwLUB`o-$#^OczG)-lmxs>O6Rt?-EHZ
ziodwkd+O_w9PRm$ua#d5-QwT*AY}Tooau2lw|e{8t6C}lo8lrFIsHPN$G>H}L=OI1
z-S$ps?n2oIdYwi_5$?b5CjPye%6Dz08tdx|vp2}OaKCok0;U9{H>{i0T6$Gy&FZwo
zxxFR{wjE;EnllnX)J;F0*Q+8U!p|Psm1Vo8U+UWH@a^9=+&la$;=nF>wrhJ2?RuRU
z%azRgTI0(GJC!xo^DchAog#VdCGRHn#c62~<yE_l_6AQ|X7oqyW^h39f_bx8%FgHa
zuYDi!?EBem2mdBo7U)MurY8Lf%_@a1gkauTU3XpQ?6e<eHyI1qh@ZP~=#Is`r4s)h
z9{TX~fuOg@Bl(>!#b^7Yj8u;9mK2P0OK&uk+uhy&$o}cHEt++;z8`<<S#{O>N-fj+
zv_PtL8AI4sIsG`X`)<s^8)ig2_<L*F`#Fy5YL?8J@_y0wn(cAt->g5Yws`B2rVrOm
z|7I~~J>`~NYG-zA<2qT%1&jW^OKiEme0PYYm+7mF7xTJ*M)w(Ne|NvA8u3!jt-{$-
zc7Kg|NoQ8rsqRwl)iYH8EP4>S+j~t?UWCBWJvHG|Cf2UX*k66)VQ;F@n+GL7Ev{~#
z-tU~-CfAhV%<s5mVY|Yu3(QB{UO1XcR|TAHlMC6rklpMOhb_xRxDxhl!tG%3t2r+m
zx7vL%cy;0MzS<Xwhn)E90$bz)6r4WSzHsEKeeuvpvg$#k@cI80dLEY*<CJ_aE5t0$
z*mvkX|FWGX>$j>I9Gu#f_H#zVyq?RJA{+nntQRel>RT|KJ6`Vh@`Tykt`&7h3zGjf
zJQwFRcM@DzEYYMSw))DWERQcv4^1tqb-%sbdZ<0&e?U&=x0e6qhxPnf+(p_}oQdC~
z!si;Z$;Dal|5g`g!Tz{K3p<YMM7{`M=?#eZu#Z`^xZCZ`eO0N0fA98odGff&7+mFX
z&v_FcF=xtWp4pRjKX^F%)GPP%n*{$RJv4B0TGc->KqNs~d7%ayr>mid2G@iMihN$>
z9o5A%TXw9<T;=(+Z=pG(UWeF&rVKEpV8-BQ%3ZK3vLW~^lZ<pGLyUm#0oIF5AZp`W
zi3cH@8J5ptwYXZ<AZ>c!>w0au@CNDFWjlJ4a${aIrRTnRy(wk)T<dw#b4rs}Rw`Y2
zq^NG+_~eQBoH>44uQFR7S4LXP3ryg&f9T?~L&3m6cFoD?s?B<vgA9{zT|4{x?$Q3(
zNhwNk-8Sc4ubO;5aL~nKPfbnO5lg1qZaH@z+h63JmHeP`&H>&fk~iFRjPHNY-Fs!b
z<cnix|67I^XfgO6Kl19%j#QWL@9kc$;!HApuiGcIB9wcj<pC7|Z`;3h-m`>Py)?gi
zBvU2uOz|Wa!}Fd(tStx5D0JzaNG;d)YnbQJy>IJF!T(Jmx`Dk>$^9;%t*{zyBC#)H
zv_DJ?UKk*>^1^o0$vKH7E7as<11AI}$GbF^zv<RECLYKW*x3~K$;0vGyf-z~ppE;<
z;Ijq-&oFc)%@K2z{}QTwEK}p|<(cn6hipNQG4ktPwB7Q0S7DUM;dKGKHovw$Ewekw
zw<~s``AyS~X|c^2U`o;KMyToOb*m!FLeFl}md@OeEug!b?cyd7wQ25**wD>yR?SKc
zzgkr$Yr6Z|$>Z~4tIJ{&%6yjz-adKU_WZg!n;Tc(JC?a7ij{qxURd_^$Ju8mpL5Oa
zmyxmGd+Kv{>&*C<f47x<)n||YJm-xwcknGH{rA=p@5Ppd-z&P-AE)Z`nQMzzKs4XJ
zH-(;$A6KSc=Q{gm?;QRwf4<!k&1x5FSg~Qr?;j>F7hO2<Pf%pN)63QSK58XJM6Pb*
zJLq^{>+8q!?6XZCJlt^fh4<+toVArJjW+7*$E*E#`g_gfFVR<R6Fb#D&)eZ5T;m_L
zi04mA;)9|OQ&cxQ8vIO9oMGeXwBg6GOgpKrpN9<UxjWi^URIHLw(#i{xvz!g5}k!@
zkECPO8IL}bJ#umH!BFm%Cy%B$e%!suz{!+Libr{o1dHg=HETtV3QW-e9RSC|y7c$m
z{qN6N`I^EbAju<6q&Rym=vaumkzZ{im#=g?yZJKYlmNz@zze)(Q6eSt=87G);9Y9H
zdY}1gldBn*-)zxHTjJ_?{6;4DbTqDA2mQK}B1FB)!)oJaCWo%_+^F?MZ8h7)O<4lE
zAWG{9+v-(mk)fuiSFMT+OI79#<6V?`RUryQg>Dnxyeey2Xz1oOtD?@YTAX(Em)zZX
zq19n`i`TsRo;7c4dZ_M~HBy^jIobq2F#qtWMde8pf1Zxt)9@2UtLu)NM@+r1=)K|8
z`qxWW@}E<QaE;~-`@1)PgVz4y=?S9G1y?UBbqcKu&Y2WCf7cS@)k4?SIlU~8i~O2U
zEA`ob&!Jabtykw)wO*adwVM6olch3$u4!+$boVgNni69JX6?HQXAXFk|5R(ds=3B4
zxAV}e%hNU1*d=!!dbQL$tvPg4e&W<UCk|@;Ji5Uv*|0uh>3YV)TD5a{R-0_&S#9FB
z`>;lj@tS`n*-T+)tLwz&*X*<RRt{MlbI?So%TXhc=X+<8QTif@2vOFM!zN5it=H5k
zyf(SI!mun#q&Z;GjFR~pZ5vz-IaIqI+G*TP{MrnPo7riQ)8`~J1JC@53OwV{-L!7g
z<$zs>Ux5#BusY(`og~KEos<wNVti@Qj3cYS5u5n+a4KKeN;THi7iMpea^YU>xCKlJ
zNN-p*t2Okh&YD$eiBo$`5==Y9!kRM@LDWq@p4F=&BSOy}T9swH=G%rYC;rtMUpCx2
ztR-F*A<MgF-Gw8s9C^c@Zr@atHZ`u-drQ~-qPDB`RjpT>O*U4g`mR|Q5VE&x(JL?4
ztIefrKZH&V3D;VAX?O0-5dLdxwDz`4-MmWMXvwcL@0NH4?B-fp|3hr$zl-J`qSKTD
zblK}ac&)1c?zO69w)$kPyAw_>@!FncwDQvD4QXmu(^-RR%M`9YX9=o(w`PggH{F$!
z#4UqV_s<B))OqH=>Wl0H*JI(K0ZSLA1}s&)CCipL$MNewbI*oVl~dnMa%Ep7e{vGX
zRgF}G&W@xR{vyr(izI{uk3RY3Vt9sqsr8CFlh-ETdDg-xu-tN2&`E4_+Ahh4*iVC=
z{<lD@EAonN7h`B(&*eo6R=+Wsa?@d}>gLs-o~BJ-#wNesvuEz4_qz4IKci==dG9+@
zP%P@aQ1PJ4yA9p97wZ=>yS<#l(qmP7M&MSCZQ6;bBCaKlTO6cZxIt7_+d<6<tI`@n
zOu3h=id0Bd=2hTb#Cqxf{d?0LqJu(irrnz@uFvl^|JFB$`rA?qZs(i-TeYZ5Yv2CA
z0nAN74WT@n{{6bEBywZz+J9xQwnax5GKn6Md>&cA#4b}9Rv<R#0O!F<heEl+AC=$w
zge#SdKHaN%zc2dhJ>~1Ww3XlWov{&|sIHv+zN6>M0@WpQTUw@+IXSJGp}M3i(rHzM
zyT@{0kM6z+dZA9U?*HM?RBC!6t5`a*Z-SnT;@OfHUpj5S+5bAcxjL=$q0S$-+?jvY
z##FwT|8l{~8qujPU;Ink*4MO}NtxI>u=~!x5Ulkp-OKf9^2Q~L*fm6_zMng(>tAYD
zSK8Xl6$aO)cGOyjOI0;oy72nbt%jFhD|5@%%NzW4TX*{VngB7aY^jN@r?}iw7H)F5
zcwbTNYL&sK$n-_}-+aEP&g=AgI=ORS36HR=n2zAo9uvX9lTw{4uC#rbQlZFy+|E70
zI^0Oh_3?(4F#($bS0-)>T)9%V!!uPU&Fojl<Ycp552u`c_S>FOdg^SAi)&9@F0ki)
zFKafdX?A7;SKhOD%^fp;g#3B5`0u8hoBlL?{%f3?F=<`Q>U_b&7i5woW;vh9-hOF*
z+zZj@#H0PMLsNf6#Hg9|?(>->x5=-4#?C!_hRhfF9DQ$G>d|8_)_wJe=lp>`Y%=d3
z|5Z7zo$KY5bwIG<%zK-RpL5xD_kUjSw46b?ZuyL-<z10r%0%d+HGfpybH~#lHL`D8
z{`eW5elN25kKabu6YsUcf4J;_GbcJ+;zQ$3`5>?G8+tRRt3T(NShJcTn`eVBL*)dm
z4Z#eR^Rx>58K<$<znQi&Hs;U9%$ZRxmwJq5b1yzKd-}?=!9APKS=}x;vn(}Bw>~FI
zn)6HXxzksa9$BPq_t8~K&dAwzA|q!TduGnPrekSd$p_QLn~vE{xT}8G%erT6$wp_j
z>V@f>^tDS;zCLa3TVuCAUE=LZi=I8-_QdU;I{jU<?(vZ7wb$cMedMv>t+$-;tBdLU
zR++!*iW^n0WG8LxSQ9dPb-}EwH`X2e=8(gGXxiUHmp4w0li<7AyFWdlT5a3+tCuI8
z|8{)s)sScJr@DTgUKkfTgLQVxeI=z3v5A2`(^%D~{#DQ6KfLW#(V~n?l`b#;$^D4k
z_;kiAv7DuokG-y7`Imq6TS1Ozl4rbUs%N2R>e7V&J^y85->P0;;+n!<a5}y7zWk5<
z3BMU~`mfi%<CK2XV=a1X=|o|ZqD5|gmrk6NQv6-3u&s2_Ys(Gkd*;bizj{6M^Ipl7
zg%9lS=^t!7d%ZYJN0=|BwA5a5vx(99O{=vvPwic@Lc^)GdHckrmPhvNc_A>Df0l21
z<)JS5<7-cSS-Ha}WnSt&71z(Hr=JuU&%U9UHsPA$ivFL5{&O0C^0LiX-}CwP`o<+0
z`>Mn)GCuS9{JP-q6j`IxZ~WSI5xcZ5hZfHKV>&_PtoZ3C0&|PsoPJWUIKv`^>07_C
z!G7(WI(ymY*W;Nz4Zl0KN|+u~blX|b@yp-rY&Ju5-ITprm(w2^{mfR-d}g;oVDT}F
zBN{$@7k}GmoqbVsBfH_V!Tv_BZ#OOWPvla2nJ6cl^y;qSGrNM0bHN=&djqaBgb8Wg
zc>c)9RcpqQY|aR^_8C>>9hvd6O|xd)H$5N;lKkx{s&jcJ-=w(tFBwe24%uW(HQ<j@
zJ<}Y<$t<Cn*cGYjW4O9SGi|DYROb|!%;yE6hceVd4vB2`nvoR78Jv?ib;B#KxVsuj
zo?aSBj2o527?qO*4V1(fJ0gq%o}P`noDmk}wAo=zB-1e+#^h-XXHKn{Vg9?-ZSy3d
zS*%HCg$&X-B{s7pm<4d{zk#asKu|bWc!}4;N#R_dRab~8J^Hd|*-y>Vr2>NGB2y3f
zOg&UnsoDDTUI5o;*JUElE{AgoM*4Uq_RU|eYB{Uw<?%-kRb`L-`_MJdt5yGvsYh%6
zyO$y}tY3)CI9+|~@ZP<CjXwj<bF|x>to<<k*25~lrkDKpFJI>SpY?lfu1&;~!29z1
zwk<bK_%vn43|A+mu36UvHLcgNYzeb3DNPitd-3Y0?Od+Uv*y)R|6bIzH~Np?@pG5f
zzvVywQul@X`cuaHb}>8`_}sd#>-#OHoFzSb*99E?__ft<{>~j2G)<?ASk*q`J?e4M
zaQ5^EFJAfoy8k1WQ6*I2Zj#k8!5fv@m#+6skuRRo!nH(XSCB&F7q6z2jjqipp>G^c
z&2jaJ`QXv~XZ0+$%HJN%ORDy<yPWteC)qt=w!h_<4PGsKjv5In27mC8;(M}5|LbWz
zzsWuaoTmNFzv->HX-fVtBOV>I{R;&J{?B|k{rBe9l7BC`BWIkfEcm4(v}NC<bxSv;
zskmkzyB;y6@#R&aHRk(;lCm`)Z=E9<7k%~WldU2ie(}E7CvBZCxj6OhNx`V<%d1r*
zGUId>#(7H~T{QDyX=to(kawd-Nv*vm*VL_wnk!cZ9!PdP(Hti5X@O{qO$MuC!$gH8
zGelcNHaAU33KLi~(E~2SALZCl=H%#MsLi37#;SN!EKI=bU}J(ZZ(!6R2VqeUiJUDx
zEeqF199wtkAIq=kenTa;?<>8{io%!kKCa{E+01Itw$<}P8)Hk`PXE{~6QfmfCCvR3
zW^A8qxaRwGa~=hgGquxp<rH`OZHxT0iC3w3TCPB$(%E^_b3Z-fj8jgTz2_*4{tI)y
znUiuR`^Rr{OyNIi7LfhS<{-Dbuj{tPDC6gxaTZxxn|QCxvfRJCWwF~Sv((S0`Q&!b
zd-LGtx}6(zy-XkM4avGU#azR5l7g3SeT8S9TG`VVr|)ppORGxBJ$_#P^o9K?kKp<r
zZF|hlcDo19*%@qc`E~En7?xS)qQ7=ciim9eyyjRL?>6?NYJqKU?#%03`$a3Tb@$hr
z^o%DyZv;FH|2~|}on`p<;ZoM4GyZ+hbzO37L7!`nW9!Dtbq4?bl}}B)7xm)E`k2re
z+eKF$+Ot<;<)IxpE?zySCxs@z6Io@bw>l(c$)QJ?2`9b_{?N9KO!;2$$5!a}E}_l7
zQzZ%|S0CvRj!<=5*QH^&;<c<D`$UP&_1uQf-kBOcJ26Y5xa4q)!K@jpWHx`h(;~BZ
z=cMGOrz%gc`F>gU$>fgzVOObXx9u6dt0y!(*Et^Y_wo9ro`pB<r%l<bE_<Nrcd7Nh
zd3tVQuUs}=pLex0VPmBFDJ8|{(vQ0*UfmQY=F{hWRxol!(t|%|o&3Z!b??tfH+-@q
zQ;hj}epu8d53ZkK`#rfPPMIRYWHZglCS$1pf0X8t<}fZs2~WkYNKF?*?N*Jnr2<l&
zOJFh|7ff}^P@m!?vN@<DDU2&Hr{6<SbH`8JjUszmJnOdVq`H5~U#4Qedg7m)!U=zJ
zHcqN>dFKD=bE@Mf!AKVs`H8MBp9CWnCe6R;>fvQIv;9llKkx9OC)UwV>)xMnPSXFs
zSbV$JmrZ+)y)uq1d}5Mw^7;M1EpgQ@EB<@ce+oVF>08UZYIR9lWx<v8=?j<q+vMc=
zcQ@ChU;jSbZ7mbXz5XE~$Mf0!;1_eczKflDc0XKWc745J-`VcJR<oz?`7tk1Y@LtN
zPUZgTySgfB%)W>3Jff;oJKa0?<?a~vh40tgl-(DnQ-5CSM77y>Q}1P`E|~AtIC#N4
zl&5xn>hTNvZT*c@kNZycdHCn8<gfB)VLh7|ijI77zn{3&*7<+lE{W7K)ztSdRX5x_
zb0I%+^_x#K-tT;Pw&vjSs2clN?`w6(Jb8X6#;@I;d0i;Sl$+se)TtS#qxtd;&fH5c
zsWTPL;3>M-a%{p&Yl{uh)$GTgwOMXha8=SKqm+$5YA$2K5@U_DQnsUO&Mla6RWd{6
z5=`b`b6EO<xdG_`XI}AiMb35HxOb&-$o1HFd%x^qxij_P9+rE{)8d-$?U;Gan?c~5
z_X9gCMWw0pRoA4vxvVL9+Wq8-=Sx$bn5rtr%XqOV6^9uK6h1yWcT(etvrZ=u1fC8#
zsge0C`tz+xtCl~~KiaeXiB<T+OEz;>7evKgK5*Vks_0C|){IlO_olVKzIZ2d-}(t1
z|2AHlUnJTmr<id<uu>!I#Q$%Lj<Iuzn%YIISn+Y8lWXs3ZoklkzxO2D=S{n0woW7D
z_K$*vw~o1UT{W|d>bY#DB3+v6yvd|$SyReXuJ({QU!*J7K3`b0Aw$G!$(FDe0_+={
z+GC`-WP@&73rya%$;tg*-Xf>{W`T*>xr?0Y-}{FAxOa3T&!&e{&c2?0-=$S#PLQ<J
z%-d%^u)jO|y2j|5Scjzjo9Vr&bMH^vTkd)Bt8|sv!Rbc7#4d))8;RP;tZQ;_O*q<-
z`5|%6{=Gj6lm9FfjL-4B=(OnupCR{hiz79cjw*Wn)n*AjtW|L0$|{EE`C@*XJZ}C7
zd^~mflnq=mN&D8n6xjT2f^*5;**@KGKdf9?Zc)EkXp*bZ(f;3_GZ&p%v1G|ICx(7i
zF8-*_L(O4}J}j83!Qdv;niRGu;Eb0@S7c|Cn-W~cCPS6$7N;uL(KRj$XH3-)iH_)8
zw5#iq$TC-UlSz#)4y0Y~;JekAwyTMiQQ1=9LaI~H&X>!gN|=sb64uzXlS8EPb4d4I
z?SPcYoFYFL&Ci;6)_Xz28pHHPrF_MJly08|C!VPWC>V)0D$Snc@bFiBM*GdZYO)jL
z)Z*Vv*Au&5KH;1|c(cG>CfU_q>mKadwfvF&SFWG_d!76?ADZv>f_=L6)N@MVcjkUw
zzO16~c)*?su{$K%-YD($nAh;x=*g0>69UCGk014|d}?sY)-J5)s`0PG;oqXxuZ@0J
zTX)_|>c`x^{g?6&Pt0ZIb#XcG;PQmW#pSF+%ipm3$)(%)kJW2Q%Iklb+C7g;a=u2$
zi61G3K_^aRDY=;yJ>(7#EI%q&SJig<%A6zJK2y01Wmd<WxVnA{w}@8@w@VpAze)GK
zJtp1%BF;9g+s3Yz_ox56nn-u)Y5$EYs&3nNPH+Aa5*Xw(@ql95PVe>?Mbq^jd-2C+
z<u=}GUDR$-|NEq@{oCnxS62lZFFrnH=Cr*&6SY)iey{(qQk4IB{`%@aI<b3<7EfBl
zy1})yLgQmfl+AQ2Q(xxi`Rg<P><PR!Q6zW|S7XQFMOH^*LfdDQM#?hfwuC;IaO>4R
z?od{X`s&)p;(yg@or8jYv3-cR|6##ZbDNB>y!=tM%n3{KHPXKF9$m9<;f$;187h}x
zGKZVP?k|`da6jP8E9tJtTIY?eSIvV8mfM&7VpcXc|I0XW%fAV4L;o^vI$TtDReARG
ze+?(*d}VjB`kNT|ia+)9>3#avb%*>yY9^HGFa6KFO;=WQKZp9QiiP|YCLO;T+7GU@
znDMAt#^<A>*zuHL|A!Bo;<C0KITcVaq4|}5gT+F{=ZB`3WwLYeMy@cb>C$q(redgY
zNK;tJW6hWJxYilbWya5&W@p#%@g31zd#&Jj!MBz-cB&f{xm(+`x#t=chA#9vyqr5!
z$}ZSvfl10U(+NK69v-H?|F}ASv|SZ{k`(@nNxzYUXU$1jkBwZ;*A(<qy5D^54_@c9
zf+KtS=B^K?k1?iua)z?B&*1m5a0$0rA)uOmrnt3vk#F;ahkxFRw#J)wsZUzOIzfAl
zYw3=M3;7K1Un)2;C0?^}>bF;i)?a?*BqrwnjjQ|lgj-Wfeq33`_u$XltF8CHq^BPf
z_y2b3(1r@Wo67H74}?{3eX#xSlBfwUy`?9`)n_titdXd=pv5M)rK^#BZ4!e)5Rb^_
zuEwM=!+<kdY$igQFqsFAt0gY@t&q@26J<WSCTT&$a*2{IMy0t)6HK+)Dwj(zycA(}
zu{xS?Qj6`<Ro>mUjZp{qOW$;}y!35%YFy%Z+_+|OlIZW$ilh)DM*Dw-@_&~5Df~}O
zV(MG5gh@u-i*cUIoT&=&44&&GCT<PmbP0%f>A%hE?Ygk;><Mc3MJ)qzqh~(gTj-<k
z`>o{62b<&6e$1K9Y4<+0X|;Ha+Jve}>tyPpCm7f-b(nKli?wLy+8~ALjb4o*&-j+;
zxGsIL?)ovWgI0lDMWI|=t3{@ydz5xRx#n}=ugRYBDNQ1G+7j-IyynVD@Oq@GdCH_(
z?BdQpI@ztW8{^t%giFXU#WtpJdsJ8b*<Dd`D?KFW%at=LoX_-Bw@WY{QIt5CwoJB(
z$@ns#W8=(Bg}0vX-%DqQ2OaXUUJ|yO?|L}1){!ggoC6ZlL_Dgsez(_6IyG(iv?*5(
zn17gYtm?w02>vLk*N)!FjBX}jhTh4do5573%A$>OTTHGsPXnvD#hJM#Y1%QZw9GY0
zvb}T7dUb7jYlGaAD}N|0+~~VRbYiDR_S^~AJhP>{qSW{M2EPz2Y}w+M{M<e8w&5wx
z&L@9<Cg1z$E*|Og=i@!wFR{JT^l}xpT}pkyzy8(6)feRBt|z|_l6(?g^-Gg+<{Ta6
zbAKO(SAU)PuBc7)ACFAIw3nwZ-G9k%fA{jtcSUwaUY6WDQ%q0B2D$!t9{FeXue|(A
zo*Pw?&)aBgs<zKvujwXy>feW@d#@Sa-dyn1@#X4-=iiomNXS{N*lHvkU$8`Axw8MC
zMbBH}vrcllZ*UAhxBHPjdoTa-ZyY(>6LyP62iXO=2ss5gUUCX@+<8o;v1o3~KgGv~
z{t4V{tX2MGZolgJ%US6~^PXJ&Ie&TWytj8}Pn0@o{khHR<n59O*H29kAAI6j>F2D^
z`~C8Vdy18z^?&<6?$GFDJstS%ma1)v1D_$!3B|Su3l@<jlV+!NY?t3sTVg2Pmr`|2
zS#uU=+7-oFfnm9=?fNdic@($Wme=@LIq%!1Vmp<y{rbIAWfolrc^EU#bD!6mbz;qu
z7pe)*WRl!I%QC$?(PMRFkK=oR3(;w}6x)=UGS3UjN1GJ7czPDPJTP?svfycl+!mRO
z&C`^bdMp+tO;grNvjkC>JdOz3W>_v_*9EKDB3$HhRL4E!Op(h`t3Hd|z6jpZGMSB!
zJGjpXPHvuNF5K7Qaa?e^l=~kaf6GVDtKTSnX%GKCMg7#3pif86f1RQpcez_lHT{&}
z`nNMxU!MLy|848yUL(V)cP^ZDKWAw<^-e%UQj>JrLjz^YhtrQ2IJsDy(D+ig)O;%!
z``T}8*A>)0C-HxA<9G5|k>x71^ZXN?kJ^2z)24=M`2Jb7yz{!RpRlp4$&@00KjEiB
zlhsbCKGsO={&}RkOT+HdGM2zQF@i@QZc^^**G`jmTcD!)B#F<^dy1t>P5stGQ#Hak
zBDij{PWZOu<44y?7eSf8U@@QJloN_+uDb0lmy;r=r#_o-%SU0U&y8q3v-NA&Hq3k|
z%(Z{koS4>*d^^Ll=BHykyPnNSE%fNEw%TL%e(F#6EaUrYp6|DL_D1XcK8=~r>=!J5
zE^;v@c7DC*#XD}L!As4aNUl6vVm$M?dhe;?1Ag;kRBmQ2n_Q^6*G^JA<4k~UhU6-C
zUFWY0Qdcl2x2>9yx}qx*OqmE7hVVzVy>dJaQls^@g(uYTbb#n)p3sf9hXPh}>uirH
zXk_1&C7E|D;i}QSd1+UT_Qo5>ZtZD^+}h*tck<Vw>r2i)s^dEIiO)Fg>$K0{F^X66
zYs8QH&pxUvIOC~r(wSGWkHMoCI$zIU<+o<re?+3jPM>YQ|NMi`&+^Dk>^rE>(|lfB
z5`v?RD!%PsGPx!Gs<~?Q`d_hsA6~CIdGnBs!3?c8d~uC4PB6+m`&;Fox#x_~+6<ez
zhdGIUGOFFvg+IJa3KTr?<mnfgr+fE>|E~DsSR6kg_Qrp&{BXGmb2jXfGQ6n%r1OM~
zOh@tQ&;36qoRcf|jM6{8b;(!D?5_z&mZ+4w`DmRA`tzE5>5q8rDUa&+26X-JO^Oql
zFRJ?2U*w=r?MhyI>mLOwGL3IRLvSbFc%7ecbLu+<?#oAhA2nZPdFX8#`|}Uy9*gc&
zFPl2+-pUJl4n>iE0WVw}iq854y-4Zx2^HOuXwebU*n9B9$Fs$K1zdiGPkY{rA2HBW
z&R(X%>%WpiK)-Eut5o2b8?Sa;ers*BeFpD-#k9(#o3hhNp3eDt*=x7?;o1{6%=4<_
zl>MhqnXug2<!y+EM&FG^Q>Ja~f4*nx)8)r_W-rw`ucf*0*24(_iXC1ZwHfLOM}yvS
zhQ-Vi6<0A#<F-jVBDbaIM)Nem>I+6mdw)z5lx{yUMNm6Ua%0jo!OI>;I&3o}H?r$0
ze_N1xWQ(v-(or4duro$UN563>`yDi&ZFoB`?tM^lO~;v;9~F&ak4?WS5pQ;LhOW{&
z{`mj1uAiOuQPC*<*mVEikBXZ*B;=KJCE~-Xe@)-}bovvkwBL;}Kks!Hi=KELqtD%*
z`BcH)XZF$^v0>4=TG{_XEZkG}N2<7|{60MWyVAe56J9P=muD`Gj`|yxcr4-c|NDAQ
zlhjHD&R+Z5_c)^=D##>!pP=%_n@&B4yfRN5TO#9KxanPF{k(+eG@i2isfV_$^84{K
zbJoG4DY1o~=7(pVDQunnT}yUR{y)R$)bH{;<g?f6*z5Wl$MCjYONlqG2v^+TKZ~)Y
ztm)wG<^7rqmt5EIX`QWkf^X$ifgSQ2*6P?RZ<D#`?CF1X?P1?c*(5LS7PIh(+2?a)
zR!y72+;np{pP}$Z*(9|j>m`ZnjD!9L<WKERKf3dSNKnwB7>gql!rL_}*9uJLzQ*){
zrLwLp=l=DkB`;=X+*e#CCX>YWM)s0HMb8zMiFJN+xZ}Ae30-S)_R*7Rnqwr+XSg##
zm%(4)4R@Ey!E+@yA}_r@e9j?x(pyLGO|}`H+t_tavPn$LIjVCq?93z(wQOQXO7(?F
zIs8#zHJR!vH@hMyt(~EIv#V64`j$%d{k|0atVwhAQz!Laykc_F?a0N{NpE~S&D?^m
zC+$6;B0aG$<@<$X2Ytm?V(F(g%g@z5Usvj1xlO?RrOcPM{;XxUQvXamwe4v@--=TU
zWddt`?D|TlThE=`{(0f~Q>7xIektyOn=RMvR*8v~V=1#L$^Vi6jX7ZN!^5RlLe_Z3
zv+m!2Q0{Hzk8*BVgTHYLWB&YZt$XpZ&FSY4elA&)zljT5{v2~+_pJ}vlJ?}LhuJ%>
z^A9iGOIZ@r<rbvJ`bc1<$Ry__L0e>RR2yFYG?{<Z<xgi{Yen6QSKDI4>YpoM;_ZL_
ztdiE(J2RG5YpH5_x!2qdd8m9`Zliybk*)r=XPT3jyog+D+OD1Y^_oZTgjoyR&g)OQ
zvchKmk|oFF8jo)|(L7D8^1>x0{-`JxgGH`gkx@%#ECo?6%7VI|7A$p@+X7Z|(a)n>
zWb+meL+@^p*BKYLWCreE?Akp`OmS04X5clS?pr;!P4bpY7Q1%n$VW|i?;175v{)%p
zciF4_0@;~nkNYAI&qzLYa*2V(b;ING;)+jq<_bS`Oj$ZBveh{(c{kf_=8d)-xAz|l
zvy!>7)As65&x>Dfsr!lan*F;nQQARy2XFV)N%vB?4R2^X6^Lt|qkDAYF>NyykKV|?
zr9XR3_bJHne-3d9;+eW)!j&V3v_zAYr-d>2_n7W8*!Cu~bxF%K*-HsGmmV_GWSekg
z$K&p#+55Ik61r8=QJHx~QQ1@En`e*pK8tN{ytOskTtYh}Yl}PjtSe9J=mrTt?$htg
z-Lz%qt%+Zv)`snnOfB`uog!M1wC3Z|jj7YFMNj)OCAD4pG+$%tG%=5)nvba)&#u@q
zDK96?!y{OG&$E*Ugjs$*-S~9HmPv9^WgcfY9qv_U_MGrET*PzJoR=1hmRvtB*m$hv
zX7e<I>I*_?{85K^43y4vMIKr+Lls2%C<`WjTcCPIZVOn=Wj~J@qMKVH4ZUZGzUKJ6
zg|pbaZ^so5V>hwsY>DrV37TFKd^W>Cc@qamabNtEt!I9E$y#pa;jB70=d<W;wR>|u
z@3lTZw|HNzdaO9#*{kjXu}toQsf_ab`Zc+KxYW#IKbOoBIODt5n_Z`FNB7Rq;oHKd
z2^w<@h}7`-rTOD8uh1>w*YicK+J1a?IxbKj_(I~5KDVs%pU{QL9sBjBr2SuZ!SKj^
zG3Cm#YqL^zKPu)ioZpk}ebJ&#gY}WbB9TeS9nggQ);hoY<+sG;IZ^xPy!@v9c%zAT
z(2Tc}*iOWmRvq{gvT}j2ikgGXQNL8BgoEp)rgmOxSR(!J<tp7XEw_)SFm<HwTj{fA
z!^|B8K58m=Qx-Y7UCMv+m@`vLm@$1%Mu?h`sZRCG(}9X7yljO%zS<a0?QA%;cb7-d
z&PzQ@mK?KfJZ^HXdD^kc3zsbTqomjk78Q0yN-dwU6hyfz3-*3qu(VKa3s}uXKaa;E
zn@#)-y&sE2M@WUo9=$G-y8dR|(MG)k%9;<EY#iP&*|7X!viU9iBH#9y&cVaA8(8|!
zy!zM{e>)>0$my`dnnb2!1`QVszOP(g@@1FX;YmVLY)QPr29V+C+O><Xn@dfba=}0#
zdq#sHWGwnumb>iSxU3SXi9avDtjQ{|T7U6n&dhaXQ}m6j=E89Qg3FP?_8aYMlKmR@
z+Si2V9h-0bZBGH;>BaY_y!MH?x%aF^$<EiRm4_p?Sf7noC@b_oz9e7k*7}r|6JA?)
zaiz!guC;mk>#+HgtqU{LLw~hectn)c|JdDRvdjKYt)tvs`F{%)CcfkUAJG#%^~Xi#
z)N}ok8pU?m3(ox9*j#Ct9<F;Sn)kZSDJi#=v0+Q?PrmV1pX54eo^tohv`Z_xEqSA!
zf9M4@4R|`7--cv}D-_NW7O@hXu;Yj)*IXWtbyxO&SrYu{=K1?mAKXk^_wLi<o8sG*
z$~J`?pD$b4_J}F-{APVgaIE(~zL_UKnN#6y<u~bLDNdKNXRi3b*42IGo6Yau#YPif
zKAo`FY2MojZ9WQHZO_*QUp%r|RrToR#^W}(o2R8$U-*>6A2pBH;L**l$a!mLJOxp{
z%7W*=EqHoUZVOn=Wxo@fMK{|-8=l@QI$P{~U9s}d$x|l$Vchtnzd^B{!RgcS+E+He
zFQG@=-1PlRFK$@U($*Mpn58F?VPWFC;O95<b4_$K7bYrPGhj@XaLAbPyToSq<C}Vy
zKE9ce-W*pB;`Hd4&imU}<7e~xZKXw=E_?6OoA1v&Kek!kJmdW4lc&O0-3+z(nw9g^
z@Vb25wCyUl`sFn))*W)_{3guqwdK5AkMgbOJX134GZ(o0KFO?l%U@DQ=VBRazS8YU
zu`fe5aa@|5vT@t*MSfFry2D*lqHWZbZa)$iyg6;PY3`=lUzwbna-U5&uVcOG@3ycv
ze&4dxZtGqB5To$+<hR@Isf$b$UYgF6PCfKC*@$t8?ajL5GkiR%bcIFl9Vni7YhKR&
zVjne?*xrwqEL2J}Yt%OQCw=;yy3ur{SF-OV=WM=}k&j!_m#C@P>|gD%>AR1*jdxY;
zA>#`(4pv_<s^E`ed+&Jq0izpFoZ;yQqMN}~rb^NWxh*{Rnx}!)+~SnnlQiw1cADg#
zq{~Sk-sdfuI!Wk~m%_9#A%iVK4j$S&{pTLszZ^Z-(?7gN5B4jPe|}5xdV6I^beQ@a
zkYE##dS>T6_u%;wp1MHeAA6ENIEY<6^11I|c1otv4;fpN`_AXHV)xInndb6Ow{rLN
zt*2w}&pO|HB!1TU#v|#)iXWW5hp##rYEfaj?bDRk{P(AASNZUqZ_0uB<^fFquQUq%
z_{?tg{7+_cn$Z6*jgx+)^GrEnU%Wu&Ut}|@`p2LOKlArXEA~8z+BHpwvvSXrJnN*O
zM<)I0n(FGG9)$`{6o22@vFC@I%af<8d}p8df3`VEY5&J5XQg+Eu6tD=o%QG6kKHz&
z6CJ*J6*`}G+2~(w^{4S;oAJ|!o7T@-FYT7~`{OfyG0$UBvnEctQepgI#@eb2n?m`c
zw!LyZ9meRE6KZ%mOms7t%2Y{OEw?4-YV$O(np>PVS0znbtCe<hRTB5<u;348|9-ub
zXS@37*+~MC9@D1y_Nu0uPMc!7f1b7dv?=<1h39f6Zd|g4)thPZEoU9g=oB5z*~cx?
zmnF~Hv?$c(nCF$xi+$9EPG?2D>^$Q0`H4ohf?MQ{MOQbo^R9nz?p*4tf-q(0n|H#u
zoSMaXp_py!(=~b$TcXctZI(apxUuHC=IXtfvrT(q(~2vPhUv+~Z=A|~sqINh_Z!yR
z_4Of5hh%~RXVgyPY+Y$}SH7!#vD=q#sqvR@uNUA6yE)JP!?)y#)B6|6ES2<J&&#|k
zii<b-{I|#b;XOuYE=_-yy1r0_`}Y?0NO`~T>P(-YEnbmT?OQZ#zvZaK{Jz3%Zv4l?
z@b>h;?iD##)-lz6ySS)xzNc5$e8&EtGud_RKQDNiF1O_mPr{M&BAfsC7@h`EJ#I>M
z&m2#mx5)sjSv+^be9g3<Gn1yx*WAYOGx90dBa@efMmvHH{M^`$AE&%3lstY?#9(m>
z%d}q_H|=Eq?~eSizvkB;{q-OB*DQ2DY4vaUM9a_iE_q^q^z%>tzfs<4rEMv$KPiqw
z+<TIr_f3m$v)oVJ=_@tU(AF{C=;*oGIdzlYB@VY4k5@Ve{fL=#XwO}bnE@xJ-aDc-
zr@(Yu_J*m;rNvVw9iR1okM}V@{@|z}mvDdf$1kjWYwkH5KRM4nVBU|W#=gmW3ogvk
zNZZETUZdWAa>*0jpNmdc3a3vw?R`#Ty03+DcCd$Xb_V;mzP4jkTNiHR?#{^InV68m
zGch57XW~kuQwM{EdM@eQ4AHT6`?=9AOIfq+)&>qyyGikh^S||cdfYp6f{5ue<A<xx
z@;&=)d-hG3!s8pMyz7cjwd@o<>>r}i#<saE<kRGri=wJi6I1kcd2a4;mOA!s!Yv=w
z%^lKS&xG$7T)w$XBPMs7=7kyZRTn-v^GDUaa6HYwRZ7?1By_f>eaM+l&PR3ZmrU$H
zmd;dv(%uzWw_?WA_AXtgx*1K=4eGV6{%t$*Bic^?U)zb2pV8OO+~3r<f2YUs`^(PV
z7sxz#->6LbgZK9ne=hAhq+hi%(Z*5v(X^``(dSevJ@2TLMIT9#y(j2gX}NQX{JGOo
zZJ&Obo>F7UUuwSb)ch<{zWC<<n_j$c{82BkZQ3T!DDP9#OfQ>iYP`JFZ4+C)ae21>
zO!?T;S<O}J_RKjyWBY@wNMrxWt3Btb%~bcFtfk^VIqPQQUh&T_?mgIPD1N)<)G}W7
zuT#38PV1hk>h+ZyJc(M}-o5le+NCK?&ky8n3e-_$-M&zGzSg9YMJc+ICP`&6$pv%r
zM`=BD^j5XWSjlpr!%HJ=WlPdD5XI8#5^|_{npeezAdnhIYsIMnXM#A7>P!t-vsmcz
zGNGNzh0ecS!NMP}67r~Ci*<+hQO!$Fm?n0faGfi1<)rJ}hAj)F|7Vv?b-QL1v|K1x
zP2gOA-k-m3s(NJ>P2}ylQ(Cszjqhs5?dSF9^Ddo8>y<g?Vf}BDpR%x!Wcj?liwZ`f
zZj;}Ox_x$dYIG?xSV`G-tJK_+S<V4ZzlG#1z1{e^=c&=tZ+17hW*=01`&?ySn=bpp
zI4h2qXXcw-lynJOwK3G<i117u*CfB=VZR(LQq2F&YkWKN#y#=d-*}D}&2HW(YSuh|
z{x*#{>=Vs4ixp3q&hmWz23hZ7BiZg-O}dq51aIVl)(xG^IgxoH|3r1meTDG<J^P)C
zK76nHal1(D@!ySq#dG8v-u{_!o_XWi$uj5bZEk<Oa^kYw`C0GIdi4Ik^VF2{U$Mv0
zdbZP3|DUiZ@UPkv9=5kA&S(19DW??wCOZE!z9T02u9L^yrERyOwC6YDJM1&fjEuIu
zHQN6E!>Wo;3wd(Cw><GUwZl+r_TGz<$!0FAW<H#0xn}aBx~*MN`=@JV)kg=;&s(G6
zIn&Se;h8lWA6J*Srf$eu)ty}a)y8N2q9s~2uC;2hfs&hd%3qqDKIz>0MFoCgAzdGC
zcb)194bIFC%)GpAMPzi~eA&>znP;EX-7uF?pBwM`(5ADsYKhdPD0VH4g{2JrTlx5-
z)*fySd;MX-RjV^cPlUWSh?~3eTB1~&&sM(6tI}4LUhr5_T5%yuR&GmFJNw#ej@ApW
zEu3-HN@R0Xd(zx%hPi8#FJ#Kjy|z(&3!h7i&@<Du%9b~*_UljA^INMNpAzN1@5GkA
z8vZQVj-HEFpZ2aRee(C=?5<l=ZU=u1pW(Q}rT5LOD>fB3YClZBtHk%<6r*wpzg*aK
zyErjV`M+u}o3&1Y`W?|Rz5lZ}*a}4J*Ijt@^2cetr+K#bC8aEbmA_v-AzS$B{gYjj
z&Q9IAF6HydnD9+IHLgqU(wBc>9vp9YA%EGvq6P6GwO1Y5t5jFZ{F^G#-lBT!VSHz=
zkjISq9*TvP-m6sfw#x`i%bFdco9L;%v*qd9FGABoS%jz6vnK`ldk30Ye!t6kHKlgx
zEEf6Z$V00<k9ydNpVuhebfC_|^X!Atio-njSeF;8&TcyT?B@Z-k8>sK?&+RAp*`34
zO!elBT_3N$lq&xA-FeqF>FhI#)f<i_Y^?mW>2gR~+x3vrtqqgB#P(MHZVfbbTb!zU
zGVbA;)b=ZBy8ergC9M8AH!eOoeok2L-BZ_YOn18)5otUrPPkla&$h5nCRse&W~KLD
z51saDhJN@~^U7Y<Q>Vha)KXo8f=+RLh=}~SAT-P-W2z{BR4Qx2k~JD>Q$>%i*|caz
zXjq0y5KQK1b6DiUxdD-ZXSB4tB2!&AwuXkSTCI^={wp?l%d3OCkL5f+yZhL!59zzq
z^cxC%<K6|w|BH}1v?bAGVq>D&tYaItExHHk$mrMWy!E_g5H;(7!EL$Z2x;Yfa9j7v
zbo*)1hPe{vnF%wtuQgoreIs;j&9CmeSJ`{4T3@HFDtKzzsy%<-p{eHQpMB&KS2|r_
zcK(lup3K$`6vDLe(A4kk@Aba0vi_`iBe0R1{jAq?>y-K<Pabc|dA4-*E%`W;3$8Qo
z$C#{+)0=r}dd-cns%L*6u4aF8@=N=4v9nweGyiO~2{{;NJmYlqi$ycnen|^c{C0~`
z{_>v{>ul!#KD=Gzv5VpM%Xzl{C8aWjj@8XuZBUlG?$C|z#iGaJCa*S73vW6!BgCN9
z@Z^ny9iF{`64iGdS~p(j50ThivEa}R)#k!OGoCEh>+!s|%Fk|f^sm<|m|i7M%6yd^
zG&@ed_~sqI&pR)kjM>J2{J-)>TdwH!Q+FN;(ii66Ts3n?_tn!+P6g^u=H9?;xYBO*
z^rr=vmZTi3eb;&T$m=pKmG=iPOZx?Q#I-z^Eu7@^NzJDAZ(LT|s;*mG!&ODLmY$9b
z+--LD?&-d*ORl7C2-%?}uebT~lXn|#_ywMhxTu!?^kaPK-~ToH?$`!gS?7IS;_dXW
z$}bl#dN#p!#fpQEEL?S0UyZ1I@-;NpaPsHX8%4Jr+h?}yn2PNh!}d1^rJ9cxc12BF
zwQ;?^PcLiNEpxZ45^tw(RjF>3TH^ezgN-w~IPl7*59|IsTT-67U`6ffjG!wjs!LZe
z_KTYGN4cJD4h#IeAoL1@Ti2|lu)yFmT3KC@uDxzba2cBnQPW$TqNYdJELt`r^oq#r
zX^Yf!OQW7SO3R(F$+_BlX7SmYWk&oB0nZ-VmAd-Q+Pfvuq@yv>Y~ryE+h#%&pLW)z
zswKROV>x*?FEwo2`tl>BBOMz!`L=$pgn3%RjP0uo*L+_GNqbhVYlE-EED641<=Q*Z
zFYvX<)=tr_K3BJx^Mv^B-lMSlY5CI0U9;XOP4Rf%zqfEf*DY)A&?`^4uPBR{-n_Wq
zKKx((WrwR<PIHxM|2X<8tn1cn_Ts=RF7?qbeb+j@iFMuKabhX+;$<i2*_ay_S_!RK
zak6pu*FWhQOFRR2>xH|%y=*CJD$?0>Yjv^cl_iffS9t0M1x%Ka>6sD2&AN3vPe?%Z
zYX{b?+j&C*s(&ZUS)g`vC3n`OtrMH1KKa=CzPj`(bJFRz9iEbX4yVHAzdro&zei60
zp=+-)gTh{AK8g?X7f#<;H7myaRc6p%Yk|qPX1C8%tCN43`RMG#d2070UuHg1takI?
zecN2P>eekUf9`z?^vY`%2~S)8uuf{)G9^a-OB+m-IV+fK-`>uA7FV`fRdwld%NqVy
znHww`=Y5mC{`>s%(|4F{-|l`XwfOI*Iu+ZHc9~7=R}~}XOrQ5{@2Yh(PX4@lgDX1u
zzI<@<q`2AnQ$N1?8S*)`C-aKLnls-1yAu<$bS_-3Ggx&jWB(e-o2$#z!z^AESDCVD
z$N8`R^zZPte3rCX@s>`Xt@}@De{M2XxyP|*qsOY8nR?5#UVc3q(s;~kHv8I3&m328
zsl1?-%b>i}*KqX~&9tdzN7r0(Q=SNyk=x=W{kFwxc2Zbo@ENV#E?@7=$!0dX{}+_<
zKat<|-R<8+c86u3_!|QLJ+v!b>MM0*OQOlt#zeDu$2M$R21{4Dm)0%eU3`?2XEU!+
z+t$EO&~%mgJ8*HLCnqoS#x_og!wgP`@1(EP&NZHUY1@;j+x7(CYS4MV-MBDh`Ifi7
zOL-@5^O9C74!KqIM`!(_8{hgG%Qk3kIaz*xveRsph=p&NS!XtM<$Nlwc=`NW`+FG^
zj@+US(OY`bD=vIH=+tWVbJ3rQg}Sr5PpD10<CUK#nk28~H1Tb0b3n@E^*6lti|xNW
z(P5%5-<`G3?VXi<m2ST-61^#Rckzj9^8XaWr@qbJxhm(q+=^3bS3RcsZYnTH3Q`Zb
zdCxdt>f61{p*QcD2TXnYx4BSj_LJr7HhJE2Ta|OhB-L!C@5`>o>UXoAl~^Y|QgMIa
z6a6v1sLtY_eCON18&OMrPkAr(eRV)9_mOT`=HzborM~M9@*P>i_I%-%&dcszvn_I$
zZ0W3a^P0Wm<dmt~m{)F*{cgN`%iXNweQT|^t^a>qdE4AC{okX?PyK5CrM2y8T+Zo}
zEj9dKkGuZ7vbJi)--oM<u6@#(#JA(<wd8k&Po^#IpDMR3<l9<~_rZtU<#+o9to+0f
ztG^=T|JqKz#&@^sdL9%Rirg<dvMtwI)^(D%@S`Q3MN6ILgm~%&cTCnh8Or^U_rO!B
zwjXW}iaeeF1Ux8e3>VPfHkDPtXWiF-M}FPjw%F@L5#v#v>&?@iR$bT>!@r5+kq2AK
zzoRR8PMqnkmz-cJUT^q7t>IA^+Z~>OJ?9(R8Aa5up4Ob1KJiGh?kS_r>rqo$h0br)
z@GgDNk-E{ZH(=W88le!;S|f`~nQH5gzb_JBl9T(_)v8W>eaDs^RgV4lOTTQ4-k!_8
zd=guPu5A6MbGhot`I)^}FP)1O(0i9HWou@X6ZOiM_5CaM#NYon{0+~M?|JLIp;luX
z|KV>BZ~T+q_P_6~@`k_sIsb)kyC?nD$oaqe&NSb=z6XXp=aN1|u=OW@s9^I?_~62p
z{(PBQfw+8mQsjYuA7ngt@Ctvs+M+w@lZxj~+2C*Pr`8GgS^xX-_O{B$lYvQ<-r=48
z6J0K+Oi7Q?{;@Mqjir6%=U41&g#WDk%6#o%!{^uRYsCL#er3M)xMBHqehZfm=~wtI
zSlDeg*BxLxI6w5bIoH28R&^^LoEN-vKm1C=ufyC*A@39(?pD+)52*jKhhwVs3j3P6
zmQ%7<<o78!J>`4FA1|@+lF65L{jPva>0gJ%-B+0K{e9@I6cW|`@59ojU((+!%=rI4
zJe@RSX>}#f=8E+liPPRsH9W&~idnNE@(?%cH{CDia+h;dOuYMj#^Y`Gn(t{Y&bfMa
zfA;Od<9Xr{YMZAY%$D?MU(OSE{y`F((SEzfOMdojer@cxU%%PhctW4|v41|t{Jf6U
z`5ilWuxD|XB)hP2pV_p7yCwF_K3FZWXZpe45_{%1)^IQ4u{-g=nMW_ZfVG+5_y<RG
zztMzqk&-oY4ysF>SS6kML6dEhox5brQHNszrym&e*qwe*%wu={K^EI}gC9)I`bIy5
zn)Qu;a4}b2T*$NUl*6&S(+{fHE~#rbuQ&d|)|_wjL##R9c*0fJQxA6Y>^trdH{ryG
z({md_geE=w&~Y=lMeS|0TiyxJ+HGg%`DL7b!!NU?w9I_r+RgSQ7q;Cr=E$AB_oCzN
z^z&0r>`Q&(&h)g;_G0#_W${(>nN9v*dN1=paKhr<-x7LlSTg0M9wbg^F0tjADAUjK
z?o0lSm!BBt@wCV-ocRC3iTbQ36QwGrxc%!Ew9}KAtj?DF=|Y0fJn5(FkGr!cfBKT(
zBd5@F(zs>g=31WfyhlFFap2)+J@SFYfhX54DdUNt^X5K@TZKn16e+hYlQJ|p*Rjyl
zjpwa?QbwVmvvjw_t<WPErYW_36E@s3vt!|07uf~5E<A4)lQNhEop-lO+_E}yVUJ>)
z7_Xs8Qpdu(PCUM%Nf~nmcAq$YflE=1mp}QDYmevW!!x!xSboy!6)?<fe{y_T$I44m
zhHAV*$(Pio?*Ds3M{x4A&h&}LI%jP0@9`8qI%7+a&9>|n%Ps_bZ8tag!PqQsv}5AI
zeu+Ob4*E;{nR4*F#EI^d)DPTj`%^w>v+Ym&AkDTvwLrM}zTppB=7rBwKe)3UdVU&9
zzB0CGZ>;g&$}{iG15KWDsReS)%Z)7NAG|DKGxwmegw5oGn<Z>!A1s!LIq+a7&pdU<
zqs`YRus^pixA`$G+Rx@wUbO#>$}{%&vfpaH=CfVlaVbG^%4d@w>PO#nB`jEWJbU7K
zg_jL~GF}>-Nd3ss=Fyz)ue#Rrbnr7l-Kv{kJhm)p-6|75>)gj>W|sdli~CeH&lpZD
zv;4Q<S;V}Y&}r`v=)`~ap8j;^;{MxBck(QrC^Swud?x+7*p)n?$F@c%UV4Arob+v4
z{k+*hUo219Hj9LF++n*SCF&m7=O?|RT56xWl${#ydym78Z{J$W$gN&1aXj-$Mem)-
zk2!wxm7n{^vzN2;@13J%l{wQ7w;dL)Sh8QG{t$o6RM8JgZ2D;*gxK^`KNzv;r$5lU
z<NEYz4eP{kp`DBNtXi*Bq}bdov1gZ+lU?DQkF$@bez0O&pI%_t?5*;_Z{qqHl5@U1
zsINbexw(9L_luY(+xM^AdEysm%#-K6dP<s_;)?fnp70Rgx#^jbyxe1@q9c1^o~)SF
zy=JFo!Nk&~-8nN3xYk{Kp)_eyk>I1b-904}mp|XwU6az&eL}FfeB$kMZ@zCZ_TPJZ
z|GRsC8~zuc_|GfzbjJPbGO3SQ`aYd@bDub6%3^`{GO0@z+bn;kAusz_qv%LV-zK|~
zDeeC@KGT@|#k=n63yn#WibNjGUEEVL<@r^ar^(4Ou6;Ak1YT?H`?RQL{*6F0{|6fT
z^GZZKJuSIDo(pudI`z}k{pSw}_le4C#Z$H}TpV+8z2zyDyEpEeSamH~BGX#&Ttiv*
z=}lAjpGQE7p1sr9K69p~Z0hO1^1H0xExKdT@%PCDU*R7o^QHf~1O?SO?fE=;VqKBr
zS${jrWByM%I{&D=TKMOs!MDl0uhl+Go-Ku>>eHQB^J}tt=ARH0{^@zeap!BFv;KT@
z5BS$zf1y5U(kJ0ZbLaPbnVf$0&y!PzbxQ6&Pt*hdKiTp(+1@fmi1+FD-Z~{sO?$<A
zKTmSlzvRsq-+eMv`7R6lnY-S3wwv=`s#=%Nmn-)>w=eaLinQ9xIe!*j^i)xOs&d3y
zUGk;M_P)7KE+@}<a&5Au=N03fDQ0DpoYe$x{=4x)WzwV~p+|Ek_Y?@tbP}vSneJA_
z*+1im@1nkwSGTX+c~V8__F{J5JS9y{bH#f*PkNYFMxFJwvp(kgq{H)$%B$&j{*^V(
zUSpej_m|)<&!_zTl4tH|eQ0+yxUQ(*wwKw+uj0avGgTUYo%&k#uUIV5u=qqaOK11a
zj6dr6-{dQJYgp%r{Pe$fsJ^J@bvL)+wM*SbRRMaJOZJG@>U~j~t}*XOakp`5i3(Hk
zhoyhhyMEg|VN_?!`{G|Ow)^4=#Y3lh&&}E{eR5)>wBU<78BXShrWieUo!`HQBa{2F
z%l5f9*Ox3>%U|{4++F^Ae+7PBioZC0-XHOE)4!Gauk+2G9jh7o@?i7pjlKMOo}RWl
zI?DOirNwJzzdrF}XX)1y&&Bv={7!xyX1smJ{*qj?UG398Pq_X+^@(D;oLOVL&AN-u
zDymNvk680dzEr%O%)c|Or#)x>5ofa&xuU0UmHBVlbZUM7;l@94%9M72_ckd@+H*Q>
zpKvGJq?}ffTNKs(dBXfVigl-aociZoc%e9H(kFpObK85qOb|}u|M^IQ-!f5%KXBpE
z$`iTa$Ngt|-kP9pR@Jd&iA_tzb46v_l<YT(@luZ!qt!nOT#cC1o?~NBcgknck-2w~
zjf&maUQ^lA{$|z@=a9HUxuQ$)$K{Mp20xpF?5aPxZxrJtA1l^d%k%GiddBXNVtXs!
zrw`W0?oRMrab~iVtV>W3pVOY=2^0B>iry$(?<<@zS-oJw*@ew91<Ox3n)!X|sVrLD
z?BR9Fv7?;N=#ryfm+X_($udutaLS|vzfgGpWA_b5wU^86mR@vJQGKd##F}05rGj-5
z`_8<c<~K7AIP&qzKJhN^lQ*2aMd7)bRmYMgGA$L)6_jP4+_>SmPX4jNalZ!&6X#T2
zzIDS<Ez|K_c8P$erzOY7bB=CSCptGa*W~sz&#`E1cFB5GZ>>^y#OF}byjw36CQT|5
zcr>@Ur({BXhwPKr$udvm)e0tf`279dyRo@vhRcLij}JJW%SSfs-3`ZmhQ}Ps{2wSx
zoc4=<b`tx{l%&U(QGy`rjlzAI#|q0qZkqBdzWpel(aG0h{wU@IZfvf}?rD}WZESWC
zzN)vsyI8<}e&OGaxU8pK=VyH9QMMFXx5&<k!LCTe=i0i*iwfr+wK?n&7XDf8%i9ah
zF^eh$&+GP0x!BEd=HSF{vtQ0H`~Ul5_czJ7>YKM&V!x^z|CTR5Q&?_YrTtq|`?K}4
z?9B{!_Dp>6HZ3gV!7kp}SsG&AD;HMGw(Y$3{b-kssYrgiiqW=@7eros5^b1$XI>!N
zwWVdYI*fTGGr10~itAhFx63X!tN0Pi>`Sh`i%%x0u0Ny5$7?)W>-DpexY$_tj8|*g
zmuY1ehcc`c_i6B%XIjc>zSg&)J*?6+J@$Rg3iIGyUwW?}TKe?-fA#=xW)=|!1_lm>
z;EtHEK6a+>0h1XRrcPmC;ALQ72+1$-%}*)KN!3eDNz2)jT%dRHnyFOw@mro{IqLp7
zx3}ej(6PSU+uPngx&6NKeR=(z>RoAHS6s9WTfZu__)Jvs-wac2b5XajM8-e$(>v{K
z|Nd8KxOn11?q9Fp-i3>Ef9^hQKBMP)md@_ovyPN^7*91Wl>K*A%}3z(6~(>Q%6yNf
zr5bR#p1=I{=5}>OX~Qp}2CiLmzVVnYn5FSu<zD8ldnaF=l6~sV$h;_Y%liw@_He6q
z+<sW_`+6t8t(Tl#Pvhd^%SWd@KXmb&-*a9j`)KQDV*UPlYjs|qyL#pQp@nPDzu6^z
z<ok()Fr_E)_J{lSa~qpBDnFUfdZIeGVaB`G=iHV#>}8r8w=Y;ET3<6`!Ny<PRg)Ai
z{QdZa+i>!KhfSOEq6G~;zS*P8^84(UJ1nK|-Ttz5GEe^>Ht%z&*)8d#eiPnQccy9e
z?|J$E!P4EajcM--Z5IfBY(4mL0}p%P!&;M2QHzLzZPp+DajU<2@w+lj@XF+l_VP{7
zzvcGrmdweJz8pR4Us1K#eevI$nt~F76fUgZ@O`UGoX^T-+oqh++F7yVakJ{}$^1Vr
zD=&Nc`{B3XC4zc31=)u`UFi2JQkke?lDOK^&(n6Du<4%Q%loqrE9tFIi{Z<7efHa_
zsQX7JeO;#i&V4$M-G#>$Qr4m2YU#HG+0O32z^k?A?S{wuW^cB;Tlx3vW?O+3d%CJ~
zw!A7VSm?(ee);d|%^Q_Ji^~R2Uy|?fAvgMof#AAh+k{o4*XbO;d+I{>GM(tT$BXn&
z?>n~kMzQ#ke}=wuU7lp;&b-7kt$S;>bm@m9(a9h3=gxRI$Et6EHls_F!Nsd*uGd_f
zF{@kW2LC3;$?SzbeqSGEXNQ!|FOZ+KOziHXr)!V=`Ci%UQPzE{Z~;f#jwTJo%85ds
zoT@4<V$Utzdh>9Ytm?ua)q+d(_jT^6a$b{qtkPWbpVQA3D}qh83i%a9Ef%?a*(O*{
zsm`O^AlX=*yJx1+&zQPJPI)~#?=CI;YJadgK>5wjHOzlbR^>1;mt8)(%X#ZX)gNm_
z<gz;>${x747tCbcUvzDU?bR*o4rh92%vfTv^?%5LjHmB4TW05J%$a#jbou5RCl~%P
zbpGEPS-$(j<9}6c0j2!Am(P^h@hIx`gOrxFeK%~jChXc#`fKkWv8ORfbs0A1ms9pk
z-CwZSb5-vBg;58OIh)M?rMa}%YIamex7Gjm%R(H~*G20sT|2=)dfua7&cFTyKjN6b
zC5vy850@K%Wshb|eDV*?O(q}Xiobd6wafjSl(t~IC2P(Zy=8WH|9tl_SbS7wUfqj=
zrLx)^z2tgYx6BThv8agU^oboOb8qa7erLKedee=<<q`khpSAk#ApCRLxAQLVmpA-z
z)aPTaxUf#cMnqZT)@jZylfpQTPVy@{x7{X=B_!Zz;5t|FhBwlN8R^qrMhBcYAAIoi
zq`epPZC}cTS?7oxGTp=(?;o|Z`1(_&AMy9@=j7g>61gvcd+DE>=U2R)^7-<Fp6lE<
zbnhxv+1xYBxT)lJnU`^w`Q6&pnJT5n?!C>Ic{EG=Z_H<=lo#nDUCC!3Kij=6o?q-^
z|IhhxW_ON!-LP&&nvd)6J*#IL`Kqp%ZMD@Rb@^2ftDC!*Y2T{8cyzT@k;(J4_h;_9
z<xUG<EwZ<{uIJIZ>8nImnQt&&XsXGmF{xQGYUg{#%BeS;%7reQb<7C2lP+BtZuzl;
z`Pb?H67@c_?9wG}XMS2SH@2yaZGyweNu_!Jnoe_XwB>XtzOgUv=#k+5FB=;lYkiy*
zxB18hm+ePpAM|Y6+9a_fs$ykMzyfWT<%_0v{xLsszwFH1Jv*K6R49Gh(Q=LPM%-@Z
z`M*}~wO#Xt)rNWV{9m3Elk)VxUs)lsTlC+XXPfd>>=LgiHgC-T%bPj<Yecg<SBYNr
zNBiB1%h{K+w*;u(4v@_hF7~^Arq8kb&V}b~8=oI}Z&Uep%H2oVCtN?etZiTHyXhLY
z5YLgP6&XU=oz*jVE(cy0xa9eFL%$MV;B?NXj4G@BS34Y3m>zJzg=z1<4$VUW?>d;2
z919ox^m_5Lxv_}N>B+Yro?kiiF6#Wt;xawb!^6?T<I=-3p-1SIqX7rgL64t*{}q``
zEOP&o%G2VN-66B+-c)tng}R-IKkWOK`}N-0qqbldr{xOiLsOG;9JjGwt=%jn!>zyn
z=3{SVcm6=3t2_Sj2qt-+W?JyT{OkWkeQJp-AKKii+GOhZLX_|0ZI^3euN{Ne<ZShv
zZ&rFmW%a&3rhsi}uWjON{n9IMtPr$Kur0Jze6mu+H>@x*^}fHV@ybfkSd)##LMyHn
zSR9wD{yn$w3fr^ix41N({<-@6MpD!VFW#D;@u4-l1Rtm0th<*UXJ55p$=Cd(>f*b?
zvA_GfCoK3K8aPe+bI}I#LwXUj_XL_-y>kmpm$fija!+Q;=e*Dl@*yAIhcw(-*<f|?
zP~VC}AqS5qK6-mx`&4E_QCswZ$}^W$O}_~{ZF%-r#gxxF;=`K1Ns-k@H-41)n`F63
z^*`UlklWqHNj5WAUKjKIHkIeod5?=*vknHXt}ffOGINIM>J=+*Y_;y3*m>v7r|-|3
zlUg5z1uxh7t?^F((rnY}?9d*){`~O5jo(wQ`<VJzCp_&n5$A0AF!OhUw?ktzkGs~|
zwb8F<8O%=iTpV;lyCFK{jdiMe##+mV_w7r|zHxE1W~|w;l&vh|^3ug(tBpL?yq|5N
zx-^8#Kf+hkqE*F%$8_=Z^HsejQhP0@7JoL*GrgmHB{eX9_J-B@=PXTn%F;`>X{#I!
zK6W$snzgOa>}RX1c@9QL1ngas@@0C_;_l-qG2b+E#20OKw@9u!;JL+BBIR1}r`@|g
zN4(nH_)m!K>A42+qLsEQl!A}Goxu^C_0^^NAmc9A9nu$UZ`h`J-k!`U9-RGd;n%d<
znR~06ejS|lZ`F~K>mNS2-YT2V{r|Bv(<hFX^N#M6jDED$KH!A({l{<1-ro&MeOSEI
zyF2Gs=gob;Z!VV(mHy%Ae4tf&N7$R&XGC4E2zzd<QF^`lcV_vszM_7A@y6#{N+(Zy
zt(_tA->%?6;hDwjKD*w(cu?Q-ddmT4*$$(bt?FNE8m@isdjHhHzvF$G_5qgOY$n^#
z4Qn29G)UijDU+-zyzTR!g5OiFn{24t=>BzH?z4jm+uRNwY}93l|9$DF#0;b2#Ip(_
z0(Mj1hE(sJS#NM6NAY<M|8rfF`k>AI^8@^CKe*Zj8Xx`_YZAWcgG=%8FUAji{<~Dy
z{wY@ey*I8T{q=|2@xs3oMek2ZT>IR-E2)slrdY~1+UV$gM`k8Aq0c#irbX`}SqzlY
zcBJXX=FV-~(j_==!Nbtr2e~E!GwSLz4|8wG&beD*82QPqyERt)-w&IQ987k;F0Ia5
zUmTxoUQ)2ae%W@%lG*%=KQU>kX$sA+dzU}obA7V!sa2=k^|h~_KfZMCu}+^uUHj#7
zo-b@Xm(XaD@S*Zx^PlIB|ExS3c=)a1;~$niPk7v47D}vQa1*iM?Qg2lyLq|8;`jb}
zNz*3Em&yL|j!`y$^ijvcS0&X)wU%e)qRgh(2cpA87nr<tspVp>H1*kk?N#}q6OW&1
zK2kdI_?||?IeVS;O<&c{z3Sr#PpnwGV0X2L=7Lq$`iFg*v$|M9&zpZc@APVSvc%4*
zr`~iwDmZB^eB;OU%#ib6nC;r9CWfeLgdW!~Q{9#sqN@>l{{M=gjG5E3XI^IulH8tU
zs=Xz;<fi&}_2)h6uV3_?kn`@-w6&3*S!|o?xcO(szrv@de0WbBo717uaG?E4clW`F
zKZgYZh4d>P7s+XN{XAuL?ko4_H8sC~eLrHUty(u{QjYWP8z%hIW^G>_x6W;WfMR>q
zx~HXm{&&7H#&1#I7NW66b<Hjpjjuv(&SHD2cn@&gnK7&E=XU1f|ExQFR+rt~a(_MJ
zh42y?y(!y`XCF$GU8MW@Xz1ldeHuFc5ouejc<;vXdhLCcXMeWH{;c|kRY4P;a^Enk
zx^z>PS>Q|cN4D)Mi@vm<?K~nF$~t-V&m#}x9~{`&uGH77wIDO2ZZhYIUf0Q2o_?9c
zYrv#eJ4H(KrJI`JOA)PC56)=SzL;8hc}o&^YP7YGP1{V#I}_Drz0sb!L5gpRy+>4M
zw%SYY)mKusN~-)_QgP|W%q2xLF1=E8si;U*sF-|sLJ0GOm)mQnY=4x!-u3bJM?vdd
zr)*EoUf=$BdvehF_9@$)lO><7|Lqve7N@hgtH)U=RK-22?%NiV{WBOvr=))Defm)(
z^;724PnMk#Zw<se!^Bn?h_&;IX&y)|Q<%KN|73xjD#P7<J7%VDOeuR5xJG2(>rF~C
zE=^g@>g8Unc_(Yeg(<5gz1)LWzSWYrETqhQwCmp_D_hr7_k@I*kDmHBiOtq^)jgr)
z=GOZ2ufK|ER8K$7K3zM(MERhpw&NNbkH}pbYb~@+KD)K^aA^bU+34%0hbN!9>idSb
zCsM|Jn%Q@uiS`TYo(7$-IClQ$PVX(N7T)XA^jUad?WCOn5`ryZyg~t%eL+d)F=9tL
z%~F@hJWgl5b?AR+*~I>9c~XnkR&WF-6me{{Pw4EIZhO;vflaH5sc92)tO>i7J9DfK
z`#XPzMGwtScfIMnur{x6hLJ{pU8F_I<GvW{!2L_+u9UD1*xxeWu%giQ{fms4x2NQG
z?OS4Y(Ui5MASCJJEG7#}rR!-|)N_~d&Xc_$Ei8R`&*nD0@2geRIA1;WT=boxVS?qV
zgEKg{HZ}+9+_^8sJm0_3H$O^_<KwXntIU_$EZO?8WYQwf_4nom^<S=4;n{S5;^a<y
z<xs7Z+QcYJ4+(||WjqPrH8#!^4YXyL*tFXtP$H;8<<g6Y8xJyOTv8GF>LX#+a6!<a
zf`!3TxVJ5s-<Cau&*PS3zx6ck%)qS7-rd=pGWuJkS9Lsl!TC2hnUU+=)z3S&+~Z0}
z`S_$h@tp4h5f1j5nXFS&Gud?+XR@=K_AWl-?lAMVvM7T|?<4Ubsr&XJ9Ft7%XwE;R
zvPt>)Mc;CsIq^0e92%^x22TG!g?_D@9Bkh`@5<+A8$D;Xs4sbC{37ij*U2U4B3M4%
z6!%q{#J)+>A?2y@o3ekmdqg*{oY|uKIXSqwY<A)&-{)VF&;EM5d#P}S<Bjq|`<iAv
zxShVDU+s^qBXfh>k)^-=H%mHJFiJeWvHgb3#}!FNE!nfqyWc2Y#NFF(TO9LeeT=WH
zr{m!jhad9JxGJr2C)sM@-z%9WU$k!6aek?jzZ#mnKgOtJg1Jz!){ZB!n-<ROP+zSr
zu`Gg7rN2EY^~%eTwsoRL<^?;pZPC14b$_u};rWaD^B=8rufFEC=B4ZJwh!Cx{@LHk
z^`2=>lJph>!DiRCtI{i07U`)Ku&{mPaTdHPJoU8wL(VG)Pu)6r%H;oxi}7bowF^7^
zd~}T?p1)o{U&E)Yjw@=W_L4mw+*i-sI{U)Cvr1+6-_8DOIacI4T;qxSmNE5VvxDdr
zDGMJBkBY^6j=Vl7HLo+%t)*_kwO8+g{(noozRFgjv2B-N$(Oq~eIq7YznWe+?fJFv
zGNyt%3;~f!KUl;JYL6dpP=4f?vG#Z7%h?=<S0<e~b!kV%?k*qKiXs*72`O(j_gOtJ
zcZ%KrhspD+?N#4I4lYLLYg{%T8%zFl>OJPW6=6MRo6RDB3lkn)@yp)1=cc!Pdb)bm
z?4&)O@82J~UFaK}K5w1)*E<q1dQ0xOOy7TL{RcU<%ab@+d*7KS3Ef-B{q$FR_l%n+
zx70PHdwJiy-I~Yqy0LZ!x6YbHr?+ozcq8S$dxB?uz2s}bw>NIjJA3Ty?c4Ibb$55J
zVZ1lt!nFPyaz0{qA9{>V9=+w*duHu|h5BVLHpsO1#@3cAU-Y?S{Q8-{=z^9--97&V
zN@o8_2r(9#uO<~SRhLWkbG)e6s?_f<yk07Z9g_7HDPMHUj8D{j#arHkQQ2?!F5cT|
z^Fj8g8Oth>!c}WGS*#XeD^)bG6I%5^H!7pj?^MQ_e6dB3Z?-7TO02%FxNhFF&nxci
zP@mHiRwX>|fROpAPqQ@I6Z}m(_N2d_6RzES%tQa|oX|3jklD9#AAY>vvDEir?}y@5
z&u_f%&2wRX^L*`u@Si<3%*_AXcgWo8`L_0h)xrJhCNg(;7AG;}Uu|r-x%{ae=ds5}
zJY%ZET<<g)#f9JalJc;nDrREXfxI}j*JTg>t}C|v5go7aZSA}l?*g<qnv&lixPKs|
zJ<c=TW0&y$E8G=x4IkWcopY|EnvKJ|VlL-{TdFepS|009y^ejpB!o-%;_c3*w--xT
zoH?<0GUr=+!4wwJ?DB}~K8tU0IT++PbRY3rTeSIvv+-}?j|cX<g@137@;bAbzdES1
zMe}3p^|OK;AM!YR0~QBuGg-7IVT+e!t5ZDdF0R?^J8y0IbZ*<XSU%_SRz(9fZ^38J
zLzl10vOga${Qkbs?)8xd-QurGdjgK%pW$X1dqvjn?nI5rdo%(~H8f?fY?|+8qwz09
zUR$h2n4Qaa%BTE04_Di_|39biF->$IM_WVLpRf?WS4W@SoXaOD`>}!TrhI2i17C7#
z%v85I)33LFt?TQX`0-4r&o*}xw_lMLJDV1AwCdQaDHWVsw(IqmZ0$4W-c6puwCtp>
z?AL2I`0qyj_5Sgyu8Oh0`i=On{JVXBUTo>puae1=eQ@{I`phi;zai(hzt??mch>sy
z*&FjsxBZHet%^SXur#e9JN&@jHsSr31@Gm(ndhj?Gfk-dc-{IsRvu>O2^~kW{utEN
zUuVmh9q+ey#zli`#=AtWZGH78_uRS}3QKG{Pe>YRX$qGcE?>fIYbtkK-)XUSSy1MN
zAKz3aJo~bsS<T7r`UDN;vlfSxgmMq*#k+X3DP?iEtYT3t`j8&)`qa|b-ul$WRo*An
zW+^n*%c`8nl~!3?%dz~^t>tHOrPXfVb6@y*!K({B|2HkqQrIA<CTo&+aJyiegh}3!
zY8Ho1-bc?TI`{0<Nk3|kQT{DpM|6V8L-AE*GE8qxmpME!Gu|5}`hDi510N%1-CyO+
zb}l2qRQLXGqXSkQEB;P5wyzO(W8VIC^&9Tny<%VP&6^$dtNL$-hwjP?N^|?Cm~x)>
zeI@05J@kmPMER#r*Ic9C1o<9Vn%{ps;cu$Us~HPaKXa|x`q1T~w)!F4GAGC5({zvX
z<ZImXd?J{5{Hn71i$k{#>}~colahUJa_`UWNpEin9~MszU(VNmt}OG3YVH@C+|Jok
z=S;7zzU|Vr(Y8se?C!+B0wuh+1!iU5w)5|uEid}6t|jC4J_ptBv)^97{>yi>Uen8{
z=H%NeJhkEum%P4tdE!CY-y*%$Zp$8h=ld$mp0Zr~(ET@!b04#oPH*f}-+fGZ*JtHx
z*P{<UxApQ}o;W9JRo5PmIw##n41!J)8+X|sd3=a9?TBcdlU`$UZqkN}%_|C*n-#`w
z;oR!z<KVK4^Myve-rogEf9!Lmc${JajXp0?s|X2c3=M584e{O4knB@0di425rCHpu
z#U*bq7Dc>2#_{W6+ozk!%DdP6=KS;CCex_isrA;;fW@s0H+2M_X)@hZ*(b6v^$jDd
zcfh=ho7SydmVHTPetGn_+FemoJf|5je`9gAuVl>W+wlEF<L)mV|7N}sxcBhSH;Kfm
z;1#X6?fCyV_gHxO&AfNV)^?{&ka};w+<8wE`Dg08=l^y-*tfkTLg1aMxvBBNw*{XZ
zlZ7wuzngfe?B4xKuK7<kpNw5%9`Zq3B<<<lPz%ld%j<m4T%TCb+#-ED*pTCuwf;#?
z{gX4RSE)wLIi$`1q#|vG?#^kuwah{+I(Oa3*gR2fE3-+z_aRxkS6kGwrRBcbo>;S&
zD<V&0)14_R1l`^ryi&}eF424E<CV%EK?0BZJ9_Sgbz2>0zstPoX6j=R{{^z^cK%2$
zI^lL*?!b+`#UK3B{)NW&H|W$wS|7cfCNFv{_6i@r%C>k@%L)69Z~P6>)6@x6$UE}*
zR{d>(+39CfSa&^3H;wL2dsoe7!P6HmbW&vb`%|Wid0$QS$od=Dr<|oG8`z*>(aaag
znd6|Nt|;Is#>v#VC^WLts4(f;ia@d6%`>d(Rx|{yS@z+?@hRDDqAKNnPQjltuCQ+_
z-{N|AM_1FUWyS@`d-jHYQ=Z6DI7O#n^0{Jf(*p0k=hy7n8+`BWqMXF%f#<}yLZ-6&
zn{Mcvb!ySvuHOqH9IgbH@?14!iF%kKt|Ge5f%#S21}65)U)0x^f9ILG#%s~8weHN(
zt%4hv+_M-bepje-n%1|s;qe*1l0A)kG!w3Vni72W`mSXs`0nhxyX8!VD)()j_dRN%
zAEq9je^~GN+^6>L6EuFEb2dtU=XqQ3`&y4ny(xxwrul4QtIu*=wn?pVgIeG<p6?gl
zOuq2u^y<7#3xc+-HPKxc_x!#7;`)T-+KztDzNrd_E^q&J<;a|3PUF{Y>~r|n2?v!(
zvfMnpA${t%1?7+SNH!_X|I6jY^sxN&$>-e66E(X<rySucI&$kf^Td<gvJ(#QRf^f|
z3Mt)Uqi4NCphnrVtM*yC%6bN=sx$l!%bxu1IPTMxF!j6MYVM|)x6PU~?uCmSTD;_p
z`k$lB^AxA2Kbzd%Fik6*f8OZ_>lq&GtunnPwM4n^s_e5JvopFc$;$1Y9U&U|G$v1W
z!||RDFR`BW{e8u2H(j+z5IC?vsMYAt=JLB@JC>D(uHCR_-ATt)A<-8@Hsx_%H{=(-
zSrvOvSo$)v-O`_8-@6V?Y}m5MK=0kwWyX3-Yc#z&4DS2vGL-i)TIoL3_K1K+j#Gx$
zEu(ygyBSiwD>o_Z(O+HWF7Umv<G;B1W`;lA{)!CO4zB3aJY--ObE6|*iU})A%PdFV
z6-N%9Xj-&%`iDhj3}<Wiyb{sZ@7by}Re5&&&I2z$*SNO4d8V+`W=Ts{X3e~q-?CDV
zHeK(R6^pMI(N4KK|G^A7o~VM>IzhQ<mL~B$i&b6jyXyDKhHdzNRVbO=?v&WS1`qp&
zNH6|LQ|v^n`s(<*w{VK6CM$U8oaNz5J!>D6Xxz@|v&vZI&#LkXhuXe}NBKm3mX$NL
zm=G@!pf5fnx_Xth@iT{NL8;cg-4&}EpGTJ$SNoql@o9CbvoPm&pQll2QnBsL3m1jk
zt=128UlnnTXZ6u<5+ACUl-Dc1eAxPCkK^_#+j#d`ldD$6ttwvqYKPG;`HN<oIHyW9
zJ=<t@dgnhc?K<J~%x?#u|5NzCXP>8+LbQn&-<FW3uhUwcryjkSHF2Bpk|$cX*tnlB
z+W2|Hq@^|495>1zG@bL{eJa2DO1V+b`hcnGH_y07TDb&DI3%Anczu{<ewx`Xj-(@V
zHqI-`np&at=AcV?mGX@W9jl$kOs<?x32y2OUwS<D+2egrP1l@yW*{i_H+~8`Lq{i<
zg_*F4^xvxq?^SiwYg@|O&Ztb}yt%RFp}6YBXEGC7xZ=06{a+<$anOKg%>t3XPD|_*
zoNk)<%v-ZBX_t?U^}jPbPRVJjW0)%zN3<JwE#K~xK7UKL!DE+=e`+$0&k(;W@FwW)
zVeM(fi+0}bTD^X!-0Vy3%o7XOH|^W<;NI!Fga!R8CPbEhQslgOPyV=Egv06c+ZBrC
z^IN`5y>yyexBJaPOZHPCu3QVXWX<h(x8J+4_<$_e*|?9BKZ^R8TWkwE&?I_wy2XKO
z-Rhg3ah=@cI%SFLmn_rcInf?BBxK{h$M*_0bKlKg7szpTTIZF7BWkP(vwLnNN|e~$
zlNHg9{c-ogtjN4Z^Jx~Z#Vp<*(Wz$Fy_}LMT)ew=<y|Z3H;EI@_9uHO)~-)_>v2Uc
z)9l>`$uB=1*BrQb`nSrv;_`RTAOC6G|Mpa@%i);sf}%f*+b#Y?D|M|qd7(Suujngj
z9|NaXr?j+WLnE)`oNzo~#OgX{)|!J8V(pZ)PM+$NwLRjdoq4*Wd&Puh3|Uz$m03qx
zIoF5i$dyFSWfOZU(!6)+l7mc*Cwi}+Ki3}mBdC7!n-enYe_eZ=82$KU?nZfmRJX_f
zWkYsnhyQiia-nC!R)L*-FPFI7(LCAba9#4xlN&NDlMdO;R+yq6w?I9jVSdatRV^i6
zzRx^rhH}a-8tDo%)Lk^wKOFsMBXh!H2mcaFUF&}>+!;A)R(s~2S!ft(e<qSAahiVm
zwEGiEH@#5^-Zpz@TCST#$THhw*)qGzZ9IKrF3k0RW9)yxb%Lb(La*4%_rfkJ|CoAt
zM)CdP`PK!6KkwdsG0n8Je}d2SNvE?X?KMh!ccAFh+rO2&4}2;7pk^UbsUKlgmf<r0
z@YSe$JGcF>IbZnjDu>U}r`7qN%1ct7oynHmY`yvN?5}U^?x(YTG5&I*Vew<8-=EJN
zR}Y^(`}yT-j}u$J6uDdcYBX<R6%Q5tmw&*rzk1o>xeIIKd+#qizC9}6^L5ayb^Qyj
z$xi-!b=u<iC)Y2koIPFpSlQ&^R)zBuVq&s$qyxSkKiOJvY6=SzZ~B$VPq^l5otxv?
zFR}E-{i#x?a@YKf-u@(P{<*?;-}e;E3-#vzJ6*lpUGOva{@>r1)_U(c*KWlAKQvXz
zK1gXnNUr@d%PCiki$&h)p8u|-xN=RwHQBhEWhHk7dCyCK=6YJOQ{+<kfs)p!MMbsO
zPi-(gY8~wUo25IU;_G^T8Ae^H%d;!%RIa4z#BI~9PklRg{+!G`S9Z1AKUxtG6<(|Q
zT#q|7xa#PhR72ja3LL6&_9biPN9=c<ynbe~zVYi&#e=)-b@l3>-*LFAuuOMN>{Py!
zIy$+J0u%yz%-H^JOT9k-_hr4?GK_Y{&McnGHA-Z=bpPAc>@+pJrn&K8dvCqVxhKm4
z%ohFmv|x^u6I1T1b!A8G8Gia+e&D|9T>5#I%xJ@C+ujvN6nFeO^ndfiBNq)@<tig5
zwY_iqJ;iu!=<2@@AKN}XpMFa>jMMM-_cAy4;|D9^YR$DiIeja)U-9i=fN|c2)axz2
z(<({?Uh>CndUwD!YrVD21)=3T-%s1Isz2@g=j#QZuWuGJo-|+J#=28)HmsI>y7%Oc
zyvt^%KD()(b5`A2eyhy5`%CuohrULqN>f+4i9d{2(U&^*L*y&}u_+81FBViy@h-l6
z?rpL9yP2IYMOvO^T|Sku_f7NLR?i0tS6P!2b6@*-iN9aT_IOs><7ZpD&QCjg=$KpV
z*U8cH{m;yF^>5|!$Gc_5-4fa}sdMwC(|>xGy4w7|=@Az5dvn(`H`60?&rJGv<?7L?
zLHQq#eLTe`_PhK1x2Z>5OXn_?Iyy%>qjlXsKJh=l@3d_6sf{;hTd#k*^j>#TT+4*!
zXV>JPy;ooUJ2~#><f!&zwbxy)9k33+FE6!x^<LhO-&?KX%wittr%PSlc=)n+I@`Ca
zKi=AZSHGI-czQu^Y~gc5=LJmVdsmgWzmhD9X`h<+ICIsH9q+egY&13(j*ovXylzUS
zm!`?S3}d~e)9q)?ai6N}&ST8GV~;9_`O>wgKIqEW8kL2M99ki?JlA?sP<u~~MbPQ*
zb*olc>ckXe8Z#YRAAChal$}SH)0n3`Qb)o$S@z+^MXK%I^Gd!y-gzti9zVmKw)boT
zWpj@0xp{YK|JC-|=@&0Q+-t?Y?Ox;J9*1~=m2+CNSMjQ`^q2k;JbY_*TILtA#>eX~
zmKu4h33^)p7yi)qfY-FgxA50Z`}_UpmrqaQiJ6qL?J{Ti%<4zBI@2c1^Kja}>XYr`
zNsFv5wsxv4Wl`SoY~{q9$A>K3*$nyKo_K9`<;=Zsw=<6Z=ZZdSuHw&i3#m5Qw|ASx
zMqkEtadH2P@9jU)nEm(lv#Gu|i9h{%izT8={ypjae`u}r>~-H6{uI4j{9<nG<JFoq
zxm(@OKDg){_we<ZS`Bm7&-~4fE*T;`i%Y9m&s~Z(zqgS~ZC3u1b8Z`^t_;5y@g`}>
zjh2(QzO0MceU9yI*BLG~1A&XPbygMVx20+wSo7u4$GR^WZ`7004@-n?u3MLL?LqXm
z!&-447oOO(jCbua`SRrJ4&mz$NxgRqGE%c!VJT2&yXW;rX9;emmzt4VW5mC2nO<C#
z?_~W@l{az6y6pmYuHLi%a{bG{y)(-UIJe!hQ~esazG;=6T8fwTnbyVgwy(0&*mG5H
z>D3kXbIJ-#qGM}PWf>-=-Y)(6cY*q@LY^GA#7}=GeagC=@Yd~C^yE5m$!l^}PxNm%
z-9EnN%=^fcir+?lO2y~&+)sAZ{P;9s|Ivu!g<_erEF`TDyM-BVo3Nzp!V<p=OZYA<
zxt;uX@+aG!W!i2!+DG)59&9<bW;d7Kg8%#%W^6bWz3Jk<gEzg44#`KpJ5}m@VDg5y
zS{)1*rJDc78wfo-eC>t85A&1_Ka7^>W^x;rNE-PFNSvNGr@uq&PR<4ija|H^{F<UI
znfFilKT((6@=|(XWZt6h`?p<jJ9Fm$<)HsJ*7YlW|Ml%lgG_6b)fxSmjlVusS12^=
zKM;TZ-Ci#&B!D}It#Xl2-<;ApR)1@Fju?K7wT<<^elkYM{-WCw>CYxbW@cp)dJzxa
z2>7RL>b#%v$k+cf*Gx9M2lJJE*mdql9_3$s>%6p%P~>lmJKk}3OzmUmWN)7*$+*Z=
z`lNA+5MQNH%j`M!YcJNnI8;6B!duIt?Ov<+&+IJnt-Wbe>AQ;mo*w7r&iHE|vR?Q+
zUm|H|q2gQq&0J`G+L^UyBX53KWa1umR?=katYkKA-TG60s}Fx@O=0=gp+9}b?4PVU
ztiN?xe(SPw>at91o&Ek!=&|>*9PgFdj;-e5DpfVx-pqV^#f10y@Aq%J@2+rVk>aLT
z4Zqj^xpFS>{}UM<ClOQ4O{u&;t@15{zi+d=y?E=XlDDhVrHhY-{f_#nJ9YUwW%U~~
zjP_3UURhZ3@`5|hbg@Up51-gPT_ET8`}k3nU5xiOMCArWJ%9H-{f?1KO{?%(+lb4q
zou+vUHui6^YpL)FPf95|v+zt{5o5>Pkbmn;{!Tc!y}ERdq0y=ezx(GO{npD@xp;hC
zda#>jk@ns))=T#at2x(;o#EpvSb1yK#T!qn-^YG(Saajvxj$1S&dm7#(?#A(WxM{}
zo44Ix-Er<o`Pq0s&N71Uyjx#JgXX5$U8|+n{_iY)k)L8-8EzgpVYcg>w@>czJ-;CS
zD0=Q%+x!>j=Kr+4ey_5SeM`{tW7X#_c18KE@0U9EdBue?&$!MLhjTlcS!<)s#n!VQ
zsQhx@a*xB`@Wp%PHQt!q%zA(OD>*ldv-2_{PuSj`?|*z#+V5X04|l$g5!RY^CQGTD
z*JO@Y+MK9oZ;Yho=%1Oj!c+9ltU2aB`aZd@8K1tG{H2=tmyW9kr&qmmdb-(3)=gdp
zFON>`UGu%EOjJ_%{a=@g^W~v0x^f(i1I?VyEqHrAWa)v=oRuHyras`4yM6!U?z7i|
z?3z`Zco&#C$LPDww9uR6?!J5F($J)aF4;{7xzDdZJzvE6N^9t;A|I*fD_O=@vJO9(
zB3^vEKgg>$?5EmXr_Jjh-mIK_$=>%<y1+HB`k((*ZlCEA`Cp%T^_C>dR2}VYvsXU;
zT<LZ<MD^*9Lcz4Ddn{X)2D#n;!KAbC{nhUB<^xk#eTcf2%wU{4J#Guj+~~)L^rdI5
z3qIi!`e8}Bn6}ul8&l_=_v%R5s`vSv+x&IeOBuU^V!O8bYtLQZ@%@Qu=?4o7<7X>;
zMH4z+2}Ew)+<0O4*IN^I7KPi0P5$!LCav4y%O<gD`(g^X4^J_&=#3FGuIBCiwY|>L
zJvvE#q0Fpzj)f_)vkSTI6!+cu`1X50^VvsXKG*yurC##|KYR39=<fRWt=~624eEUw
zbnjJ^$z0ulFBvu~c@L(P+pyN26@M}<&4Xpj8a{)Sg4{0!y)%utJp*HV_v}flxUwwu
ze?uzY&PZO58PDqk9==$=DRJiU%Djzi^Ev%r{bqi)P|)dKdr;fOyY-pwH_JC<*53@8
zncnp#P)X!<OU;^&g7xXkglevvy;x@YOieB7jD1n~wu0re8D(r<s2e;>FFQ1q@9v5j
z#*(6^Duwzj1^NvG>ctpiGQS)sW&X2;dHe2nAzHz^O0T8eJ>}pc-#5k0DJ5mich*&(
z%q3698?BnoHd#T)YKxZ|>t_9px);8@WA45r7b5<|t+;5;9vdz9KPG!`?5%5P-dtw0
zsz0T|{AtAsS7UjL41pE#jh!=AUy@(R(Dvd2dv40Yn_q$@tsh*kEWW>1sN>ykqiy>>
z@!YKcdZ9nupGD(KeNM?^J?^5-S4uz0%U6Edk{$G3I6FXp#>W-eyvyZ!c~;jJeE1&s
zYtNI6HTy-lRzIjIblkKz<7wEhbw1m|`eOHFCLezJ?aq~5ZmVvsle?F{La&-naYfK&
ziFVdv|HW_9g^vIE_qcHW+~DGA-qEtN+q`#g+n4QOv{6lJQFO(vD_*}p8&)fB+PZyP
zTR*RL@QXPbvjjRVt)3i^^A_8gGk>F{$R57!!m&53Hq1TF{Zw(apm0L|FORN`g|2Hh
zYp%OGwWGqg^rhtMRmx^DGx_~K^IS0O4V%fl=E<W=b9XJ&dEdKoLT&7{+M^;Ik2%8I
zi&pD=_C8$kuAuF2S>Nxj?6-BdwyjlOz3r8TT}f8@hg{2Q%jy@2Z+|KV-<fN<$?4MH
zydPTg6|VVxZ8=@}{lu~Y&(gJXCaT$7pEqZ^+RV<E{C+Ps-qd>c_GaXX#k&opr+d~K
zxNS=_Fjy!vm5smeW^I}5N)h*`vG)Q`f3&aKagFVUk9z;_yj_)R9W!S)NBj=m@XYYM
zy1Z$!OUjeGlaflDZtJi&Zex?4<&u^tBEnuV;q>ASHMd_r{w`tN`C9dWhQ_Dq6Q({q
zV7~smS&B{7yU)HClcSSOHXN9ibSS!0;@)p#$L+tqJbdzGWyXK)D{j{e6c3kcuNQZo
z@-MtVYJT&N<4=;iDq|XxX9m=_d|;n;(p9H7Tg%)i$m-jSk{pFt2bDFQndkT}Dlc0g
z=QF1usqw$?pBo!*?)^NWRW(TN!SQp`3#}Fv-)K6`+3Fs;LfP3ZxGYl5@m)`SvC5zS
z!Yr0T<uNB&<)$3Ec}+yrnp>kgZbs{|Bb}1Q?ClAq*)GqNW2SrfmM$v$yQB8Pyo8kL
z9#yMd_LeTH`?ay=?~XqgPbHku^61Vw^kK%Q06C|3z0$j9@>|`PS2|cRf0fTm)pecu
zO*3?oW_!P|U3l-kVa~yaJ()QRAMU?&%OOx9c9TM2+%z^><>P#nb>bnG9BQ1mTTHnf
zudkjU+|xXPW94(UiT)}|MoI^m#me&kp6fX@>7etKBVP{*IXIut{Kr_}AuI89!Ibs`
zDPlJtv>Z_qeZTUQpo;HP^(m)=+-E;IB>3=tU%~9C;8?N8i`%?wV`Z<2|4a$rqWnzg
zz~n_2b%YLUUU|=~d}&_B^}gEp<$e3PeZNV#E$lBjdGv1cNrUG?tcT7!7-bze^{hSp
zL&md!M1cnfD=U{z$Yqp#d8WJgytlQ!>GO=e&$Lb_m3lvpbC~Y+*r<H<jEFhTY|FUx
z6qPR*ub%N@&aUFGOy~Viy^+`azIU_DkB9?zLiW2z{ppr_W>fTG{*D9J9ywbmycD~6
z_S5p;33Ha0p5kfN4_xcM^3s=|G3|A+*%Os#eGvL>vnDoDb@!=%X5vY%89@#Uf3DV8
zeD34oLmf%^&GR3xlDwR2?mn&afQ;Pi^L+>3JFKsfcv*71IkePs(GA{}ob|TM%c2+A
z{jb&ty(;(P)>f&hi=I4mPg$hwc}RH0+LmJ{^nKzc-fg-wrKF;0gRX6_+|k0KfNntp
zWz&;gNs*FydAC`<ELtb7wREr7f*-e2OAIC24@Um~@4o$6^WXQcuH9h|JhJH*+s&n0
zs@MxJ-Ktx-=fzuho(>7EeGEI&mpa5s=Fh)m((|2bjy!u)s`!1YyXLKL_P;JZc%Cob
zA&950)AfRlR@@}sIT@!6!}D@Bugdy*^SjWRUkWoWi#dg=I*F<}Jymr|FppT^n54`3
zbKlZ6YfRe0PP3jDUDdJVoI${2%h!t27`PP<e0lvayOHhT0yf?ctd0&JC$<0lxnJtB
zx5pl-ev3b;Gi~QQl}ga)myP}Wl>Y|rMW06%hjI+`AIu7`5tw}SdC#G=Ma401=crU9
z2;4n2chAN-THo1QXG|52E8&VT{m!mBXS-mJu)vy+*Cyz5zh!moQ|@oE@?o-LYWAI{
z{KREWHpl7*$2k`pL^^NxRCjpVymDE1mp+^H)YA%fD=!tsY*%k^mHp~%RVZW7CAH&w
z*GWss2ge&&7tiA4-Ex?N+vQD#Wk-<x;!Y`ndz+oRA}$<1*2>A-d-zT2XQ$TV$_gJg
z=g$ge*mk1P@oB_Y$8{%{*lgS6-}d3%p{+u5Tmq_#P6REx+}xVire|Q3v$u!yM3vTD
zHqRIC{m!``w7a^mwFT@itFd_W@UHB-kIo;yr0?MgYrkE2=6-$k{mYNvE-<v&csBOD
zt&*^~jOp!vg~I!?ww7o*#5M3}rhPD9Kkd@x1#SleW-@SFCVja6e$u7j#sA&a4?1~B
z{QbK8U!d9)lc$;yTe>Z;oZCKeU(UPm?Xz#09(F7)e1F$eW9yx1visY5Q!-fH3%Rq3
zPX%gz`1Z-B%0ykWAlLPu<kHA6lUKjv)`k6iQ!txVxm@MqHMdncr5pLoq!gwGeYp2q
zO<`+H$%6Q9g{p&64p%<y|1(3kVfB$E-{(zs&b>HQ-ArC*#i91gSBtH*Ig9L<9M#ox
z`j$O8<ITF00#oGAZ#!Z4q{()rleCNDQ)XNBMa7m}i`%%~?bOw{z;09HpmEWe_szkT
zz6B~j6+S;TXfm@5a^RJDRiyOg^sc(I`TUg`E>G<rcU3CItf=6Ot2}PIK3%VG-FB<Z
zZl(_xEjQB=s$P|<uCusE;xum{OV+N$o~tVkv^!pQNQ}C}V$%6fh$Hg*k^Qr{J5JX>
z6a1WW$Xx63v38~xiefW9wErwudtDu1sF%|``?y^A|MeS+J<kcR@33C{_0FbktVt(w
zH|5AZ(sX~`@s@p)FSEkO_}RL`Wy;&XuFJ{1ZU5$kqPcvC<C_h?xp@WM)=e{<w(P~-
z(8@IZ(f~$9_9w46PP{4dbiQo6>+P{uSMRq>eSWf!ult9r<x01&>x<i`2dl--ywmL;
zAFU_9EWW7kR#)uP=;^@~8#!kBFSVU)bw4)$es1(N?VZcE-MwmiFKXMeHEVnBO2)oh
zJ%4rdwd>lOHs0NHKeb}d{jYbez4x7#l?`9%Zz#SnSlwSw_P&?4sm<Nz&&8goJHIot
zkiGob_r6(twsX0{3wx<6RtHXS{b1j^pKV^@u@Fhc#&o_zDd(r1NIl&>vnpyyT!h%X
z7_Y@%AuE}GO+C=!Iw7rF`O}N=&5}kXuNSI_Oq^uUS{<y({OoJY@w0VGEiY5wZY@jt
zGb3+Ps`S<uHZ`aAt=no-z9!7bI!8ZBpk6C~U2J9kuJx<K{pa{;*Baj4w|(!;in=}>
zB{`odazUYM!%OC8tDoy{&un>F?=0W!lQDPMf2XPk!g^=5jIYg2y5Vws;i6XS8-KD}
z-t8$l6c_XAOP<=+%R4`(D~5S2F!go|`*?H9i7OxW+OMsCzvOeFvU%|-=gVT(zh*8?
zUbSVl(DCh&+tOprWXu2QY?!-9vR(Ao;%g_4zyD&}si3q_pHs~1y&h-yD;^1l8HXRZ
zGj{Q7ui!}L5Kv2Y^}2qptMo_D#vnz`Wm?XhMJrf~A9`68yuPyFkmaS7CPnYM;*vZ2
zIvw~I$X$KU8l3j3k$K<I@~%^DoU@o&4z6D`(}u0@{Q57=!r5Qhj1{)Evbt__bZl7h
z=>5TrmrOssPqA2cZxV}Q+8Eri$NBVYt#x^t&d0BNcywILV_B^bCMzb%vpdnv!1)!U
zw3U;a??K7T##!rHW{5@FNvxD}_pCDM2=R!X<~TRa&z>cSySZh?j8zL>_BNZWX`dGG
zU3H?w$HShhe+LKjrY%@{CjNM0(F%4M!K-ftOx_ACkYb+BviEU<g!6y>hX4Eulg`|`
zpnvbf-lUjaNijQ<I9C4-eDd3&YX$os{U87NIUMba9?jeRsQRJVr0VAnOcxZjTWA<r
zohizGo_p~^$m`w0TVDnH^8NGOF7LHCSI{j=z--~iG;!wz60&&<I1@_>1+uS9Pdey3
zWu39;kqvEHEtm6^I+8j+nK!tKo^cjwI@C5bWYg7I9{K)8fmgB@Fs#wEjs6=SdLf3x
z;oW=@E>nh6_5t>LFKx9*_jz=)Ys&-684_0&dv(QLEDGGq?`z+%O5VqmV;38jD|hFX
z-hfkn7P;n4|NhrD{_I#`uKnV>e_lWLp7T#P9u?Exd${h#GX**C{%1UjTRS}U^KWTS
z;qCbp&w0VV=>^Zh?H!ex@m_YkR;5e@4>m5HQ|6OA`{MoQT)g!M)c&q#5n9D2z5ndN
z{BjwKhAasmQ!XoU;iUo%{GT_;?_GSk^7zhEuadJ`t%Vi6T)L#^K0B7O!CqYKUp(iD
ze=;F6Gz9JPN_5L~m^I%8ua6dfE${W)dVkcfQd6GiEpzv6ERPaU&(cU2n3(fPKgYAx
zDP38GvE%HmD^;ad@c|(lq!#{rvh9t*5i^O5tF~e_jdznbnw8BK)KU1ctvNCwJZH^}
z$WCpR<9Y|vIF6ow=F<FqOV+C##k-P)kL~}(Miw$<l!*M4jdWC8qE*MyHf8fdhAkUz
zmCT5))%;Li6|^qN!_}$caGfhh)uh_0pk<HNiLbJee#05KSI%*>oMRCuhijw$j}<=;
z{9huLmOm+mKZEgxwciPAK8ZNt-JX{xi1UX`%h+EXwy^&F{~yoanhTWO71<r9rt#*D
z!5<BNewDI2*KMDQeUR!?xs)<VPSf;pq}7)XDqBt(I4Aq<`lsT5KlSgDb7z+RQ*o3p
zyQ}h_XWKoM1^m0~g6i0!>w_#7?4AB_`|<rzpYvOI7Hi&{s4LC$zUcJfyiA|NJW<=~
z4!U2^)SK8IaE<R?@(az07r3w7X}Q3fTU&ZO>9y&nPxrkHjz8IW&Pry^^~^69-yKtL
zlGgq(jqRb5mhbLsZHk3jXD|M0Q(ydLqnFN9(f3VK{#*NJ9betouzi_>yX3D6D`qID
z%6>e`FZp(n+fMa^Z67WeyY8Jew^UzMn!imb*qJ|CbI*#+P7T}lP0_p7l(ua9Y4zFB
z%X^Q0QeC<E0<Ye|mh*?=$`f)wmF8Yj`ysSN@jc)B@6Vq84A#8sT2mRnF-Y^x`R9Cd
zSHJf=+x2|O@y|!t51sJeu02oi|8f=ol=Ih*c6)Z5<^OyB$d>PWS1*^>Y+y-Ua_4IB
z54)>VgNyzf1Ydp-{$wV5!sGHc$ETFf_7gi}s5)_5(p|456ZfA{dES41y3ph9qAwLX
zPRE}*YHwWPIAvOaK(2bT^H%o4WX~Xh&;LFa?>w=(yd=8%Q&Pk;x6TvKmYwvP@n3&#
z_8vL8+1(BS60X<!)+jSwI@{Nf*!uCB%7ZCwb*yq*KW-O!635EAwYX(UxxVL|xK`^s
z|H3X_5EpIjlb!a2|23Pm6~AJvjl7=T?9cq~?+11DZrbL4$$$1fn{OWRN)?y)X%^|-
z_}&%r#y<Ho8_%j4D%|g~r@ozNxpc<!d8R?{*IYX5vhruPmDiS?oD)k*R+<YR+itOc
zva+b`v%bEBJ-3;6aZg?QLGWa-RJY`d4KtN4*mJe1+<kHROu=`z084#~>j8VWE2-R;
zxRkT#&6${O$9CuTdF<J+B!cx$gjLRz(&+P&%NFbZSL)rq-ZFikMfxkg??0>?_iZ$q
z(|zNCx#jYEHy_G>eCQXRd+yoKi*hm_dVg1^uG}iG+Y(v&c;@9v64xY+&t+%MIPp88
zI(TvWr$zA+=4rmXmA;HOXD2U;2+00yy~00u)8&n2Z6DJ8&uib9ay!V_eX7;c1=b#1
zQnNm<wUPfcM=r46-QE4Va^`zOw}X}vZhY&TGO~@_Y%L}Hc-AXrzczN0+<skKI*RqW
znVR8>9A{(ZZ_g)Q)LwMaC0d*R8N13e-fW`+*~!~^vh~y-th{rvWajQZ4eO|_*Mc^N
zzWZMmxo5lP?gv}VE^G{wRjbyqy%iQ$7cKodYDI6PRawqnt+E^a4ehq_IwzV>YS{F?
zIAhv+w4TrNUYzM9vlHhEPV%L3yi}Pq`Ld`*ibbgB)PGASPLVn{!+e6-dVbFIX_sDV
z3!PUF&u5?f*SSB8d#+;0pS-W1DyGdj;A%Our(uf5Tl+Ahj3q1LAB#>)V^u!t<TQ)(
zaRtk&`wou#r%g#?-SW<Cb&uQ?u_%TT4gR8dwkLK!4<yZF=A7WKGh2083g2=Q^*PJ?
z`8m@kX<agJaNg(>EG^NZso9&eRb_IZk+WYMx4LYD+}!K}nHIa2e)0Er&oKUt=6?8u
zGyg`x^fcBYnWl^RCKJ+*y3TreJx`1&qIhx=<F?>7*1h|`K6YB-wkbGCeTVy&+9Tfk
z4+WJN7R*@UUy_@-(R;Q{g~aQU;)g4~JXl$)vBU3@<wDNL&2xipcq)D2^2_l}KXq2Q
z_EFNg6CKe{Ce5=d-=3N!HYNVV@`~Nsf?nE!Q4{!<&-L}{=n2*-IQ=?&4WIZbzU9s{
z#5SL9G+6ta`GdRTy(zq3)+jUoa8K*+eNvVqJEdlSY3==|ZRdO@_V)6a{_a+~&+y3V
z{RW3>uW&K9_@C<^Gc4F;y(~cQ{v9s<2X>(wIsJFsU3%VVWyr1T7sDD~HSSq)<EmVn
z8jJ6otH(dIJg#5O(6lJS$NyBog+DEyeG}dGKlW_xnA*}iNx4bnk@wVIE2SNuIGMG%
zQd(RN`5UL7aBY>3ov^Rz&_2gkzPy)qcsg$VD!-Oz8KYF(-lwkT3|{}6GEb62V&0a}
zJ&o^fglyj3^rzsrUr&(en=1;f?J=h<e|a%Z=j#1=V#CR$MKgH4gB8WZj@;!=idy<H
zug0ak>tge?h)1l-`dxBHS&GFU4J$GO?@U;p)Fs22H@(Me?ct?o<BNVPZ<OD4-PV*_
zyuUN~-eoRV<F9u)Q_jC#n|x7o{*CSzPt|`JKVIqOK696o+m;sI6&^DLQ)PKWL|-R=
zzgp!k+~qF(H+C<x!MjP>d9%dNEHR$zJug$%>xJ2ckf=SUa(8g$9z7bK_eeUqBuY19
zOZ~ZC={I#N-?mQqe)#BDX>UK7QmyyzHvXGdpg!qVn({{5|CcYHH0-ELo-jcxEbn#s
zGR@LuC&L|=|I?RSta@kX(rvOT+a_}6&c0P6b)#hM`ku>H(<fP7{84gj+wEs^*YkzH
zSS<6JtFmXVv4pFT-gV*go5haL=$r6>U+&(uxSeMd`ahkV^Lo!Ti^ZGfY<z$En6k;7
zn`ijs-kcA5Aez6bv{!c4#LASdvuchk58YB{H}7LqeL%5FM2y*7oy)QBSIdbOuF?4!
znNj3Ae@(pj(~2*Z(+p0&((U?x=Ic7cx6d3RbbrLU7{0oa>>H)L|5-!s<X_i~4jt$7
zJh4{LxzL&2gZ;$JG*1_`=cb*?RZ85cO^UJy)Jj<VwI@dhE-G4lFy&AK|6>Qu_KgdA
zpC1tMW>=P1U=3_aJ*07(t$#WP%k9G)3rfA%cb{h4?#;fr|MF4J#?37rH6I!8T>PqW
zRpvzahl#&8N<2KcX!_|#Pq+Q-Y?i9OYsyg=+^15!_6PH^3KjK&4N4}QPOByypRUm#
z%<FGbePpIi*d?#~;rFWV%zGl+Y9~5F@9|mjML(|nTy)@_=Eul}`wt$wIKE1BGycn>
zaI*Zbmq&IG7l+d$jhIUumyd+L=Q?!S|K-Im9$UAsShA$Y`Sd}F$I7Q>GMutdHCXKQ
z{Jhu8%;OfSZ!#ZgTOMDcx@7qs@o5&BLfWj0vrFYz68?SlyC@j;t@+pe^}gSD`NJw|
z9_w8C;C^eJSo5UA$=m&&&RoBPfwAc5<cmjGFE7&bNU87tV1G`|R_gr6h%F1ndnTqR
zysz^KNLu;UsAj`ry_y{f?ZT1oO9YP0sjNuoU->3-*M=uMI`{1}VABa+&vRXxzuJ~l
zDK4qlcQ*4*(Fc1^|2)JW>Rx=lwo_=iD#JY9m29gfmqxc#iiin*WuG<q$l_Jkd{0U%
zC~VEXDRO?@;#yC}2U9K_QLc+FD~wJmFpaq>a`DmP3x6a+uc}{K_w;?Oa{S-6JE~i9
zmE*s+$t^RzS<HRAO|H-M?icUtp9^oZ#m{HEKkM0@70Y98q#yNi7YcUzrKTYAug^<J
z<bTZ$6Aj+nTfaWsi<HpZ@M_i-wfD1a_3u6nyC8OC+5RenB?_O{F3|WhHAFS7rR=?4
z<&jGDe~i-(UpTTOW%IMhnm6o@lc(N_`|Wu3(ES<auXxJh*Z1kiRIzdx+piQUKJspd
zozK2jQ~l4=s{N&6HY$DiQ8`bx|3{3$_kCZkp8fuRZ6^DL%m1IdGZ&jlA3kx<d{uy5
z+HZyZ<?5Niz1w8hoSaa0ef9c)hl{rSiWO^J)p<Z!XvT^A`61jZjA!5Am-Tznv_0<g
z+|JB4nSS-_+phK5ya?Z)`)c3aod(Mll6|?4U$c8w#=88zocNB2`(O0V#f!+>=-B4R
z$Dg|+VIw2HCNG|SPDIh-+J6N<KUDqrlyYEa3)`%_U+>Dw)kIk`nCzOrr?SW{(rB4W
z&ii%`w>!0OB8~QL-<EBq_I398d+bV~Q_eiSxBEd;=BL;DPA>k(fA^k54CjSh>D$|E
zKOWh(mQ#IO?z|h@a&N0|o7<VIF1-KeyS?v^uimvjEA(sJ-rd>d-+yhc4mU4TiH}i#
zQ894`U!98T;>08A&CYX{$?#rV-}twmZK;K?9#7=d#v^~`PvO|axA*9jFI$*?J$(|v
z-M&eRxwD#oiopM>saFoT1hV$HKFiCMz3Xt)r?p9Rb3jX%W4ll5qIAyniHB}lyY#R7
zEWonI^;y$dQQN|n4WE8^>Nef`_;P|`hTAET1f%so)8%?uf9$V~jY!+SJWaCybDG3L
zM$=Vs8Ma&Ji3hOfC2R6CGnwzLlRkd5`DsDETihK>o~^xd?!W!iwqAI;bZ77E%i`a{
zJ@(BBe{3%Pg7wavH|pZYrv>qI=LR-B*>iSpBxiL_kE&noYqM5)g9FN48x^*?alaCc
zEG_%g_ecKW=kgivTFtKSd$4YaA7lTSl67+x)(IJ`+p6`XaOGZ$)9-(Ow|{t1{bY7a
z_V1LrYI-x)L@jf<`gF^B!FDZqo@vacvAL@&3syZzem-~4&Yok8vl3Qp+UA^LwDr<6
zsSUy<_r40NNXZ($TF6_H*TIvU_(WSy<C>H6Oc^)BjoI3blP23%&(d|ddP^g8t>LRc
zz113rZ_UX4HF<x^>pfYs)pO$Or$tts*)^}^re*bfm&U1@rkZNK(K{w)=gm4~S!$X0
z#Uvu?va<45N6i<p{Tp%|L*LoYmboo@ctNO!#bZsgIEVY&A1;p03Qq6K*vfw(w{7#`
zz^HvQH>A$^^(F7Xu`3!<;=UL3XJj<^UcT*nseRTGtza)P^+yp|pHInm=oTGJ-YxzA
z+@Gph$38#ReLwa5t6ssIicZ=$#mtkPHzg@M|Fz7VXz_#pd1vz~Yav&2i>cpcFa7qR
z{G0a0iw|>5eYXd5zgM{yuz_pJn$Q)JiW9$-y@;A^WLeqK>nCC)e7@w$GEL90ip3R%
z6J>XNbG~%UFu5bEee0)okKacNe5UUW({@<G^_i>8_-vM@$x)$qGg#-GdX`k_eWQ-`
z&-L`^-&1aMp6XaLF(NZBf76VvV!LUTv!>KY{yh7{$H4fg#LO8fl4Uc6-p;vSvuJ0S
zl8dMkQ&XgE)!qGdJ70fKcy;UL=I<|Oy!xJ#{ra8G>hK4}5-PWSzjt1X&=xAP(Ahd;
zc2)Sz7q>Y!{t$e*Hc!4z^yb~Y<rVU;H(fvYYx(}WSBzdpsTn^GZ1dRi;B55Dhtlq6
zTj%?P1|MJ0C3!j8W%C2c_8tWukD`yBM;;WOI`ZII&xeit3ywVCnCvXNQ0#2$YWw9!
zDsC-#u=T<H#wK@@1gV=FHf$-|;1wdj&hq%X71GZ)nH+n})9oUC(p5Zs+19m}N{zF&
zXxX)_%Z^$1-;X<ap+q1@zJyHF=9++8#cLCKPaEwzqT1dUpeDVDk?*3$f9Ew@Uj<r+
z?NQNbbz$`qWUHDel{L|2jjE-iq|cj~GfSFhZ~lMPb5r&;7jMIvhbCss{B(Z_)3;Fb
zpTbf7_Geb+eLrf+c-Heoc>AaKeb!fB9Q6O)ry#u3tL607qnWB&C*Ivwk`4;E5E-F+
zy<p;D-=re-h)d_9*B4J(v+IfE-{MV=GOpk4;62EB&U)T8QO*YfXUd*r&e^n;Gx<%+
z>L2ryRgK#-I(JEbk(EkWz0mW=1G(O9HIE|Qx2%+#*lhoEfySz~oxI1Ve2m>V_u`d_
z*RBRK{}8)=@J0HEsj(|AZd-CY(*E<5H~wCs<`>F8E;u87s?aNBk@%ELU)FUzV&@k%
z6=!V<+;v1Yc<E+eZmYL^FAmNLZ`+%|UU2mLO^?uw<^0Uka?Bzw^ndmY<2!DsJ?re8
z7K?tjk2%FRWyQtzhMCL?EAYChYW4BZO<7A9Rj(V)y2(OS?ge3OIuEx5g(ZYBWN@!`
zx+>@yG4a){C$o+i1^s%!8)Ez`dBQbAw!K1P{}Z_unaH_tE8h}GGYu@=!Ex=kN~VOm
zt9IS#%Lf*;=v%TH*7`QFPmHp^%_#LFnKQSc^}(8#CqJ!iWS=!F?@@gA6xKNhC#5km
zv)(x8=Mm@>xOCYAp9fYuI&?EDeLlDq#9d_DD!OBX?o*-T?%y{xeDdcA|J8KkyW#7(
z%QF91O*q=O<d<Hf+aG~Li_$kX-Z|dFJj;8|OQS8%pIKOX9=>?IxhLTt_bb_#Gh~{>
zTmOEUb@KZ5yyM5eWMuhEoILMrr%|^`IJ1c}{2kvxUcL1@gKe8w!`~Sn%yWCQAjaKa
zZOQ6${J|4)_4Jp%)wr1FR{xTrFJjS?>9vzAVja(0JlwJI`!_CwE%NprQdb<5&C(b4
zr<KgRrEl`%an-l=B@dp+8nt!b^Luwk`~aJz;o9D|Ga_$(Fu$B2A#S^Bt=#bsDXSxe
zq78l-ueZ{EesZm9&oa*~DUPd*vsQojA$?XO{Dtt%g}g}-RnFI=FQm@bx-HLgEc$#D
ztBAejyFAr%b1v3QeDbB4D~)&4#3Nr%KD*3xZcgF7f*#w<dm&0yhEKjM=6*C~+rq--
zsnNS`$tSf(b07SDKk-~m;k_lBUQbn6F{4q#;9QeN<M+Fce+6tf8qeH+u)>5(=b=M~
zpp1d@5<{5{4@)&J7}XR8thjsNg}dUE1Ai46YOCy9G){`_FmO?^@>2P*|CyrmpV{g*
zVjSHH9^r4MG!~0Uyt|qmTX`&5Yrodnb=!Ys=}&O_Bf}}Q-C?G44Ac9M<;Qlf4E<!x
z{N?1HRel%te)80MxW{tI({(bBO7&8#FNHPgt?>?3tvSqlTV>zx0H%dCmfi<`X_m{g
zeQaN|(8_Vig=umQB@gD@43N9BLDgZB(!B|>uEuR^e(`TV5jLmRg1g&>gS(o+B;3Zk
zyDNq_a;4nQN$j1|3kBJ_jtSg5-|Sax`7-n+_q6P-;T<J6I6{{yb?ukjQg)={Oxowi
z{dbpp*K*xF`XaS^L*l`jrz?KzxlGh>dvY;5<6<^PnLJ~LRf-_bXTgrXkfMrK+n-x|
zgv5B5#V)s<ResyoVRTLOsBTuO?$&b?m(IvNF0>`YStrE#APc*Oh_j@`?;`*9K7Abz
z#fyDGua17dbwlP)=?DEIZW$jcCDI*(my{Pg-ICK2xh8GBbpKsD+s2s5x=!4OC6#_Z
zYV6yn)0f9++pW^Ymb9Tp$>W5R<BQOf&NdF=I!g>#MCQzQQVCXQEPf!MED&`ys>Gw}
z_tM)>l!|PgI3M*rbtmNL3bwDz7RTKB*(Qk0;k{`jb!Co{$&}<{F-JL@O{O?VdCaq4
zu;tO0%_UsRfA7A1C3%tC--LS;S4?a+P+U<Md1$eXimu?J+dZ3aEeu~et?8C9<IbtO
zJf-9huXtkS9Q9Agc8U6Po8QVe{Vz!TyZ-yNaeucO(@gi1Hw)F7V&_yu=>%;&Z2M#N
z+s8lV=m$o!zLo8mbB}##UEiW}M!V+MDsQ{nH);Owjf`(IH(YPKGP$skMfK~+h*eQ_
z3DfO+s@NF6JBmC=v%Sn#;PKVP^*G~amai8s%&I+g&PmMU;{P?$sp$gYLb-WU%=D(%
zHWk=473i}IS$v+ev(!^b#jtMO50?VL=XFX=HBKuF12%nkQ}(OBn}1R_?6TA4BW~AT
zP82qL?&N=Zeed<I6RdT@Gm1}TUOsc)ku_c2KWBSc_4XGFBa~})JF4gwT;5>5IdG=;
z*{4xY6gyqbw;#IqmBY(?x{hGTy+ws9%30l0<}AqBSYhqQwOC_uz}XK%&E*@8<Y;zr
zn_m!=sP|J45@Ge_>goB_?|Mr?NQF;K=wVO&V*U-jZaWX(D{L_6T>EL6^tM$VpI7+3
z{jgw5=Y{IIEt7Ow=Va9fJ~}C*nRWdH`)7Cl8zKr_OF5G2cPtNPQ3z^nKk3F(<7U0d
zRklL*?1A2e`Ne-`@*e15sE}XE_E2KqA<jeM^XziW=9-+1RuQV-D`P6U%s!yvWu@7P
zMbmVzOh2CSXWJUid)MmTe|`I*(zM$B)sn?QfnlEK)~ifplIP&#VHZ~FxOr`=&(Ec6
zu5UjQRFzmJ828(^!)SNFC&7z)h79f7CtbMvn)CW|&g&0%erV5Mepz_sdEvV+A9B4p
zAO1G^!G{eum5MI@I)3!sD|Lte4~zMK{64*G@A|d7pMCw3_5TiwZ2bS&zw7ej4f;Hl
zba@ND?W#X>MB`^wx!k8+T`?>*FBrdwygj=@z4uwKnBh_d<5!nCDz5A{=laB1@@3oG
z)3d%mec|f-cE$UDW$y~F@qD-2IW=;Nd?uG*ueLYSJ72Y@f6r}=WZffue)8{>^L;8?
z8M9{Od0p<36Y1P<`B$zk(e9nkzknB$4{POn@7=hu)xTOjpuTj;^FNoRla2W2zl|>b
z`0uN|=C8xQUQV6pvn%CP_}$}MFTO81x#{Z?g%2mPv!28Y?Apa6c{kS4mhZsTDOaPk
z67+ngoqRe=A^EyO!P8xJy~`f1pY7-VK<+|#?&EV07X7`#kbV5yEF<yt>)Ty#?M`(%
z?)!h?=Z~`rt~4*%$22wBJKgv1%k473k(1Adh5Y(kY;ZW+KcYx}X3tbd20^JETp_l5
z?UkqcO!<DX`rGW+2@QPD{#<7L^l4(u#T2$LGcV7NUEXiSo@dRTXP<T~R4U$Dgz=!}
z?8y_En&vh$#eU^>d=h4_V|-lv*NwSz-)hH~f1W<?;k9@L>DbaUJw5l6Q!9_R-xIHX
zv3%}<POtX+Md4fKT5-RfcTe_Xtn~NUtGC_GlI&R;c%tk0kxl-0*R3eqF3<Mv)z4c}
z*&(j$-Rt>lu9$DBp1N!APS#IF%c>>!+pk}~bKlI5zdO?1KdW@!zNO~4+q!RNMWtZ)
z%kArHmX#XaO1q`W{M~Z>j~|tLd^Z1DRlL3<UZhSqMmScO`^WM-0<p5+6cvu&Os-jb
zFO+f8hW$$y{x!VWc!X=e;G;kP_ubY$zanOt`lXQ2@c*JoLB)Ufyzu5S@Xgr%vggxt
zhwLBwmUHmmWxglpR9ND1&t#fmoV)(A%cpNW(75tWz9_}}$loik%MZ41S)($O%^@Oe
z;*Ce%Qyu5WitW5VxBt`Y+F1|yh5sZ;o|QWH>~&SN{{Nef>D#1E?M*uKv2azp;=MI7
zzMQeI@=s}Q%MpKf*>hFMeD}`&qYLl3meq@Cn*94{adBt<<V`*QC-3|e`L5t&`h&Xb
z0pA0}bGK@)+<WN5ldVUscIyhI&bm~akzrC}vTsH!&zZC8;i=j;+SVjZ{(PU=_2`Z}
zF-(h;vzFa>9#Px$dUnX>QgJo?SzOKi5@H7@YMygAu~WUW`R$9+YJ(qty4Tdrn=fPB
zefeZ_tBLqMkCbgYB=Q6#PWzw!tr+yzH`?`&!h%9$(LMDqQ;nvTYdieRNvx3*W%gf?
zXaB4rGGP00)&{d9ceMjElFl_)B+2!MPROmB9-aANe>*FK>z{v{^4IOZ|G>rf$iDy8
zy$3!lKYncDylH=ziOjm6BYTRy`1Lob64?tH22tlk4n58~quC+Xc=AKLx8XVq?Xzs_
z3J-5z|2*?!M80aP3ZqhSv&6Gc=k!m;@9(gmBNMN=+yCnVp4)c<XQ#V~Y2Mq=CV8&3
z!)x8V-C^&d-<`RiWiywBQ#EVW4x{aVA8!$zZL@RF|4EMn-@bfbYgGO18LPFOkqzHE
z5C82iYU0K7KUO^U;(9mVY{PMtzUn7t=UdLrR{3@A<9E%Re@8@Rs&;O!W1AOO@YAL5
zT~FWtrDt*;-|=~BvH0v$@$x<QEv{E@yKGj!Kq2T&cA$hc^Nv+}bc`#TpZt})tGOgY
zu0X-V<@fIWfsbb`YnQw7{km&O{`aprYq)|o{H?LxvEJvx)2-`LPdvA@wRrjD!<l}E
ztw9qHOjOi2m^0^%h0OV>%Cp^_0*hAfpLoyXbexb(aomY1y{8%Vt2K8NZ_fMv>PkaT
zy6%T9a^0OAi`T8?5GdVs`qW|7M-NU_74EiG(n;GP&+u#h|Gs-4em`Z5nVQ7H@MZFx
zi22=HOOiJ{E-^{hY@GhqY=ZNZ{I-qE8-DL!a?fAi-2Q&j<Cc<^PuWL2LKgi0R<+0P
z*gc~jONSW+oL-A^imEPhYAP+C@J;g6oI@wSeilExtD$aP>eAzZ(q}$IamZ!cuIruh
zQ}fTUi*f%dO8aN=9M<=m)6_G&?UQI*rNc4V8)fxB?k(dmJDT!0(AP0aeEn69<*c!4
zN*iM}CP{hteydnf5`CC6LFi`T&QRu)PZgdx^BYWWpVxZlYYa<X)7@RVOqB=oM8z6o
z6Si;VkYD`dg87EO_dcz@>!V;k=Mle;_4QKM((2RSD(B8q&9U9Td`fP~akcKn4;Fsx
z+1k0s=|#@^2lHlplL<Pg_U^jb?mvcq_SZAbbI<*eR8?(mKW*zK-zgPUH}+n?FTXKP
z#@=eWyV;BhXD4sj^fBb#G1&`K=1x5;q3*=BUov(I(@ajokH-UIj8o>!`g`T@iL0`$
z2X6CEJX7A4H$l6qD1OUq!S60{svjgy<ory2a3rbW;Wy{6Wk(NqT%LJi!o&XzmtJIS
z_-dB(>ER!@^Y`|jTc^fooWT*MSDnBSu2)@f;+y?>?z_C-JD>bh;GfOOaqwz`c>hNZ
z*JDlM?~6EHk2T1j`J27yVE6BxGZrs8cYWox;}%LU%vYypGbtI&)YjUt@3v%|wOC}C
zZbKQ<3;X3~*W6*bFz4=-%T;H;xtruLJ%0X0!@#Ne{QVmjFGMYFYW#R6Iyvy*LGzUA
zGa|bd9t^)AXdCFf@=5xNeUnxQd%sjG)LzHoXuaa`OL;CsPQkO&7^eR{{aNwCXGH;q
ziv5#9<3Ed5bFEUn5p%rliBRfw^^ym|8zW9`7h*il8tFJ)gqKODI!E2OmDfzC;Yxvv
zr1c4v&1^f~eRW=R@58FiDo0N)Se0aH-0@Ae%%kd+`rpH+7M)_v{TIA4tm}De*iqp_
zbFMGC<MKIJjQKgQ;NM4E3r_!fP;u{`s`<+UTpNOV1B{mn?eRK#)nTvD%BVEUfW1x2
z=lRJ-Eq=1+|Nc2fB71d(IYhXAem~P!@Z#B-K93i<ZNEK=>YbGK%zR(5>Wf>*^!(B-
zX=?W;ByD3~wbJDI-Q$aR)TdnQD?j{l#UH~fUHXyJuDrVM)~d~Gs?8fXE&u8CnMbG3
zoT{<=?D{D;YlHY~BIlQAGcC#Ms#q&@nJM}ivp`Z$;YW+q=0mgmbS}1i*NIx<H~+2P
zthVo-K2Nm^mQ^gc^yka0cTG?9kC@K5b}M^on>0V?@^9UaH@<3@xA;o@HhaKjdTv#@
zQs~Ka!_6&0T6+&TMLmm6^R+s=(`w^)iFg^asO~2mY$ub|4~Jehof>gT+gbmBf59)#
zrLSeL^f)(t+A%Y>Quocb)Yf~K9WNG?H8oyp)N8X_>Nl_8<U7Xi%<+eoB%fj9Q@5~e
zsQG_v@wO`G{mqN6zjU&A%G`I-e=+Nhzlq;|-EWP1kWl-eKR_bxL4fUo#M^%t-u~No
z`{!1L@8vd(GuTdk^V;tcxL3)`-TTDm*gRhQwcBE{!#k##JW`K;bth|M$(6u`$E4Yx
zSn)mkD95*c8h`)p%QEbtZ_@Tu{!7_!@i$xjpU8Jn?pas9xfS;OFo?{}5XsAS(aVT9
zo0(z0JY?I_C+5o^m@H4YHEZ7OZ5p{D-(n_bwXt#Z`Z4_LX$(KIqxr2}R#S@bVfF>H
z8?0I`HEXCid@D9@D)3BhoYCL>c2j0!O7LNJmlw@G`<o?m5}HlEHZ8Gt`j&3oIOSJ^
zk$i)Ebof1C&9CQJ8tVO~KIz=eacs`7XD#{XCshloZ#%GN*;3DQEqaIQmtD){v$GAD
zqS$ZE*L%@__9pq4>y{kWe0DH(Rg;&fLdcWNm#%XqER|{&%l>!zh2WyDM^1hwJFmq}
zK3f<Y{wb~`iuFW=sxO13F~<teCBO3@-elo?aK6R<Xvl=#dx!oDs2s4L{71Q|HUHsV
z7S6=olagMnIK^wzT9~|f#d?D}HOHW^b<z)v53Sr;obzYL@}pN6?CN4>8D)LQn3uRv
z)*$1&o0uvqpN7o069?jKk5pSW|H+A9c~)G)FwHYO$$RUpUjL07J;H9g^gea;UomfK
zrvJ%vm-3@TZH?MK8LnQke6Ho;OZskkN0)E0UY)Zw(Vk;|72lq1d>Iwb7NyQ?Dv2^O
z-Ze3U_ql-bst;dy=FGnp9x?H?QghMKzJ@=FE}J51I7F<cah)n~cKD%i<&VUfm-#`V
z8x2icc#OOfml|?}gp~vuuMFqm2}?6hI~}!PlCaWMp5M3f)sI|?WV@*Otz=y&^ZUYY
zfBtt)&eA>7q-Ulo-g|n3RDy|6tA5*YPUX+-Df?{=&hFa(r~c5Nb*#J-omE3q4Od=c
zZ9lCbXlN{T`Ax&2jFQ=(X3TgIy+piY{khP$ac!+!g1enLe+I1aI3*p!c=c%Nhie+T
zUls^(@!V5Yw4K(}*l<-@|G^}!=WflyauE{`IXW44^NRKDPj&BRWl5j-eqn&kW7i`L
zZ5ArME{}Jv(VQ^h!iwhH*oY(FvxRT%Tv9SuQ$kVcmddy99`BY3*#v0p`=IoQ!TChn
z>_ZO^@Z3Eqd3=#gmZnqPh2zH;{#qrwcip!)KTmyoB%<>5)rn_bNeMdc3U|}i{u2|O
zuDQqXZ%dc_?&>LjCWUjn-SfA|`M9)ZZtv@phb1@i^rp#H7BMg4WUN0Xz0B6_bDi(@
z*+J@VqMQ1%-z#Sve7$<@jE~#&r#`FNe=_01-~HXSDJ}|nUUuuQOjetGL3r;E&E0qU
zzDDoWUBh#wkWtQ$OYfYKba53&*Sw^eqWc25j-Byd$vmg1I%FyHRIPAv3&yu9j~sTq
zU00u@7sF^T@t`EEVy4Ag9lt3Ft%n%{FNJMUHhO-sV6#Ex$qg%t47NIjDD$;?Rckp!
zZ{9Jn<fP}>E`jZ;*EY@I;j$9^``P)<<7u<!zRv#^dH2Ruh2zq>u6~YB!@Q1$dHfV>
zHDJABS=^+^nWoOQtV?Q{xc0K}mHKU)6n5<RGPVEjPu-K2o<D!CeOdX(D1t-!)fNVs
zz^(i~+}94hJ}+|plKN%lElZ{zy!-OA%nqR?A|IFxGv+Oykz9JoC?NAu%#z0*6TTh#
zcTi1YX-vVBeO_`lOSv^z_}7OVNhzPWtSZzoTqUjd`oZi69Xu~Owq>WrWpx+$@@4QY
zyDECTW7Fq%%)Jl0&eW80<&>V9_l&>btb9X2@26>J>ogWhdFZE2x*#TI_L|w?HS@Zu
zf)}O=K7A!2n6JD$%DRTzO`<O9q?(Q_Gh5${X{G|N+Z;aMsul0Dmv`>En9b%oZT1SW
z*#~}xeVC~uwRCOluQ>;vEv`B3>C=7kVI}Y0%*Z;Ef{A=To|qgmyy;MUZT`;#pX~o8
zCSJI4d3Q~tgTLO2$p;(@9Msi24@lJNOxSmA-%tIlea&_Im^VI|C*RY}Jcs*n)Dbbh
z`hb|sxf8Vmo8?oxUn_ap_Wxx~z7pto`qjiWM~w8frgWRgB$`i9_-Jx=Lsr=85~C$t
z_GjyAU+*!zcIH<96wRWq{1=}`HGRvPYRedOr**~J(0@CZZ&`1=#CL*|{00GAr_PBs
zHziV)RBmLhdj7|MN6{M#U#4yzW4@&wN9Nv+RhxB2_e_bPsa6*cw@+sW$J^P;cMf?T
z{j}&q+p{Yll^$sBRrC`*vS91HpXqJp+D%(0c5J;EbuIODm{9KSpTDnhd}})A5iHqz
zC||bL?byDAH}gCvolZaYyZy|P;JLiLjC)^aO}*B?hrj-_Bloq!+^PLj@)$o#r7T!^
z_!?{N`tQcsYvhleyK&uRzVbWyyY3q2R!wzwEIF9_WYJW;wlA}mJvs3G>KtK@#>ZMA
zt=HbZb@_GU(b6R=@7Hc*&r$!ed8uh=*PJ6w(Pu-;<0Ut?sb8wux%Az8otd9n6V=!M
zQ~q^7_Hy(6HHyY{MXOS{dhJ)NH!`m_`8YZ9Q^lPso?Qy_JdE!Y6~FGXIoqVP{PmUk
zySa9pSsRvAy%6m`rxO!Zv8gAfIBDyzpEH)kUfOtG$vWwT^=$tiRrPkeC9`^>R_SZ!
z?Xc<by2%x#_v+??k81O8wq3L2ym>6Ewy5IRx{NIqOP@NbZfz@Wn#IYrY{%kXR{|zx
zRQLK+DdzRApW(idz5iIro#vvRHrYLU;&x>Gi~Y^Cq*wD#<KxnrA10h9S1!+*{$q*3
zFP=-5JGY55p5uA6&9k>KMaXJ>ZT*7c$DtM*bT9llU~cIDWXaU$yAICb-QuRXZ`HXF
z^T>7E_Iy5`e}(;Rg!ZkMVtz{NTrVwQ59<3Q71A-cJ!E2Zfm%hZ&Zozc{YQ9jPgr9v
zH{(-y$d`TF^(`Kn?X>rDU$o&Gt52$8(f=)>hIcJwg#U%!Fq&BK@Wz9hnSTFHi>#FX
z5W%G7s;L{H{IzVFLgvR=^EiaRAJ;U#ciwH@)wag}{(K2*|5tU_t~wN(s`Yk<%3t?F
zW4X1tO4YOSkG1%-u{XQR$jZ7;d|i-v+{k0*#G|UxzqJ~7ovsO7@%qoQw6m7o!Gf>1
zSXDRN(*NMoJALcs6wV`;Q@HZJt=ic2Rrd0^fE(qDr`~Opx>^}@@8#<s+a&I*@;u(v
zEu(pT_R_lCZ?j&@bhGPc_3GYhOLkFNyZmlNnSZ-saKj5T`TxzL_Ud0|Zq%MHe}6iA
z{5SS@K6+J;e|`QYcyaxU8wa2M6qJ8wZgoHG;-#X*gH2Dfc$Gfu`0bt@QvF7+Y{^1)
z&QESz1UWx-${*D4=9reh-+t#KHI0R;-uu(9yq#9Os_O>-+yzDJb(B7x(#qSb!vB8i
z-@dfB)h<qddLHM$iK^r1v-mM>MxnLYTepJ+I}g6Sedmmgltk9`|5M(S*;ty+`l1z8
z>@hdMqe|BEt!2}zD;e2Rt2yh_!!lg6l4{PGp0&~oO*=Z**XY%yIyS!PskZlK*DQF`
zb8W4&_*L0EmxO)_2g@&s%(>pAUlN-anl3VB;%b*t4IQ=Z%fIbB*7ZUm`SvA)bs8_r
z*tdCi*12ue{p$Jm&l;}@5tEy?Yu2llZ2wvIA!W;l@4@lEW_(yzYne1-X;;2JyS?OX
zZS76bd*9z#mHYelwWB7F%hd1AHL7T@dQ`Mx>Z?<#<(`w)DV4sM;CIn_<LrBTTQ-<-
z+_I2ya9&XIkNZaT>*BXeg{INztaHt?`=4I^q5bc5`1j8qZFh4I-;0-NyUq80p;*=K
z{$oGe?!0<Gle6W!+4RW`mwz9*JN0Y;vpj$6ky0~x`yG=P?QZ^EdXDc?jOpEzj~gdG
z3_rc$<s$tj{8szc{9jw*m~<%X+kE~9R^Mj7&0w_F-(O&}^T~GGpp3F|M<t0{3#6V3
z`!MuGd{}bnwD3KxJ$x)Y`R0W)W(#hcIF}>+)a5@t5woQwUs`jhw=S7-KF;=bIlEc@
zOXK5Bah02!>bQ(29bs3Cu_?T_nEi3h{FTOWUs5LWdV2nf6JM?T>qe7*POWAAsj7SD
z*<yp9=55%w`|r{%bN*Crwp)5ZXz@k!igdU0WwYegz7<aIa2Ju^_P*wR-qc;P`^|3V
zbFePXKXYqVNBhU?mu24X{P4Fk-QD(nj8uH}rY??J&M$FEpZjLN<15WuQl*x;Bhr4g
z@FHi;Z<am+3>TB$ss#l+;rJ1_UhuHaS0zWoDN}h5{)y<gy-bNiKt{6Peyzfv9;X5m
z<?p=jHe6eLZL*TW)(yOi_Qk6^U0io$rEio;#nT;Kdta>ZGyQ8-7|K<^*W<bKdI9^&
zRTDUq)!RIK{ogu;CVrZiaKFiL$pzuW+=$mHb^<Ro873^}ijb2`P7t}rsSsIIt#`pf
zYPkka#nO$tvo%8ZM+qDbT2=AAH&Z5{abkRHw5I=o-`Baf-+#}tvU#1-ao^W(Yt@({
zKh>xHpLsMl$|`?Xr$Bt?db72~zt;Q<mbtgHh+FdC9!;aSce7K^UUu&?n(bat<6dC<
zn|c4|Yx~v3gjYW~DgLDXqi0Xon*XASnH}Mgp|?a675&y0>1XtNy9x_T{5F5Vt>~vS
zu4&JoyreKTSSq)uu<AYMhkv}rlOx2xKDXUy^X>aN?FY`QZ{KuvmCM)V%=$5}{I9b}
zTXS|@%&ZNYU+iIw*<SW<p8I5DmS_<!;q`ySV$W*TI~MwJ$b4jGnpn%ny6b1Lgs8E!
z6w|s%zM3jmmxk8!Xis}vmSZ&2#xS=?A~1O8{IAcP4=-~5o8RW=vC7XQuKid2<SCCA
zak?gbJ!4_z7;oy`c&gw1>7?tQe!F^p-fZ@9Z&kqCcWP2hRu2st?x*f+ZMQbz?c-P~
z#&Sh$&6WPGy?;~>&U?r2Wco@*WrcO{^7FRW?wPZ@H*PJezIAE_m-Xb&T_U$qpLT63
zn%A|b#<C!Izk>RaC*=`VW=r?VFXwt;H^FMQL6iK^CvSdiYTCvk_Or3_Cu=}O{)Yc%
zg<lRkopyd|{X^{VB;{+%Pj1}8mSL6_Yv0)${X6<|`08oOpX62aqC2v?kM61~3bu*L
z-uU^G^--Z`Hz%)JVSBy$uyt@!{e6}7KKo^|p4@D|cy{*-f&LSJ&p7cH6=voay7Nj&
zx|tTL80rdMy;8^0G~t-D<l!&e+`8@uY=VAI;5N;!-0inDUZcfbMP{}|%CD_AZdBQL
zU6op}C(1Ka`Hznxi=1K3`G-DwlUP0o8_wS1Y#MXBHLZ%N?`~7z|9ej}8EpFAiXFTB
zdf|rIcK0`W?uq!ftmfZEUT&suHPtSD?Wsze*^Xa7`IBQ&?W7(hYafr5Mjx`dF7n2$
zG?@?@u!A}4k?^DXiIskVD^(`=1<a6{_*QOWZs_h~#Q}@8yyLFKOun>Wo9p4oz~!rw
zU8|*bZfbqUmpmi3W_@V#k9(r&OuCyta&JwGyuRz1MBs9*ZS^;_i!-HOr<e<LY5cdW
zG%z|-zLk5`h5(ninOFQa9#(2R$C>V=x>@k>Tltm7RVT}L&EkA@?{s6&+wdoUWas!E
zFW0{MM6kxqq~mb5&a_A;yRAIu)@|EX)Z%Vmm9JO)?b>$vrUNIQ37iOPe(8E^kEYq{
z|87@1ofy;)+fBbNn8<E*a9N3u?`Ewl6Q}Dl^}Q5VX<qS-t^51`Q~tSuG8%$yM;1R2
zlh%C0nRY8Cd|E>B2A3M8lBYY|rX0U6X#B&nL2GKrXHS(?3Q8L{T7NGS@({_*{FtfJ
zA|tga>VAIwgA;n!=H7dHUh|1*^7{*K;!CtwNz^cwz4<Xsr~I>Oaj}tH`Hwf>pMI{}
zeeuwbt_#oCU+-P~$u6pIL12SGlx(ib%V@KW-Ij_^LRV~PyB#anpnJ4_^Yezsaa@Z+
z8H6q_(*1ns=gn=^cdn(>A6q_?t$wHV&2xu~&-neAwDLpvvn7dzkqHa8Yi+zMVt#mk
z_x;<>ikho3)u&`mmW$pnZ(dLEh2p#G9`i0bbZW(#HD{hBZ2P`2M51(+jM|p1I#&DM
z?*0?R_0`|fCr7yaRLq+QkEV6)Ovz^+I!)Xz^C(wg)q%GwCo9(I-D_N+d(r%%Xk*xh
z`i|s_p;{5Uj512uB^tx-uS+@6*>b$>#N?z?J7r#kSbCI}&X0e(M)dN+X(><Ewd`P?
z|KmbI==|x~hCNH8Hq0=sOg^LV>2tZ6P6N-H4xPA%mUF~;EYqf6@$B=yl3g$Q=IP|P
zdA~9wc4%fsbN*Y+V%qd@R?>HiRW@FQrF;0K4c<32?vXuHQn1nDXVLXVIl-4-_*=@U
zow-%#IhXx!<eT>|)Gu@{f3EypT5XzN!@rBY#_kJt=w|*t_uSIjTwY&SIju<V<?U?#
zV;B7QhtxZj{^o5svTLu?DapGZY<Is=(V82Q&?M_6_U2cxybY&*N1^A6)0xHVEVkHX
z$FA^}JP@V$W)}a}g{?yB>7wtpFWMD%v%z<t=)RwuI5eNnF!6lz|LNafpL!R*)VH7Y
z#p2|Yt%oNYuf4A&wfXF~*Nb0&GTX+s#sAmp>nX->KiXZ{w&!Now&j`|qP~Ay|NZvz
zxrt00YC;U}-}vV&_Sk6c%u5rZIOjj^7Alln5zTjD6-$Yh<8Cp9x!nSKD+TykKeQa)
zy(nS+<OMs*-Y==Dv(~Ep^uNe^*W4K!e}p<uO6|Kjb3xw1<${Kpw;xT9a5(kD+s#sr
zIp&t3ouo^YRUEI1pkD-QACvI*hy!AEuRq@Xs<>D?d78y_|94UQH*Mc^X!pb5^Knbo
zseh|m|NZiVr1iU}wJ2rY=lhxH%hbF&cD5RWuYLE9r6=CV_S@?CRco3F$4s&>G}aK|
z7IIx}e{f3U)k$CX**84Ad8I`5v1nHP^x(QH#f7XH{7p*)7;f}9a)fe-`}s@mt@aW+
z6urMmDQK^q-!H2QgWBN33P(ib>r6{iH%J9XnjKm&nWrQvt<lLoA&t@1KPiu4;UOuB
zLlYKn|22_o&Z(dO6F>ZKj-Pq&%H!xio7KB7eV2b(vy8ozKiB2q5}to^1*!~w9R6;^
zYgi+FhW~bx{2WQ9&C`}iPnc3QOIUHrF0Z*0rdWARoiOE=*USl1qP!+fm@>;NZo->R
zryV;^79M(SaWe3bq{Yd^Ls1qd84_b;O!j>LljJh<>z@RdnOAFsU1mP5F?5-Ew4!UC
zr1H-tx$~kG=b6?f9y)z4?~S8LZ40No*sSFb|JdJXI8uM4zx7$M#eZh$hO`C)xdz|R
z2^JSvw#-`j^s~+6cPAy^KN7rSy~|4c{M|_wGdEsWP&AN|pHlke!E}3ruQ4x{<vo2m
z;c39U1y=Fvc^2PUu{6$7|Izs+;{I7%Gxki{sW6K>DD&O%qzx~cUNz>eJD!js)_y;w
zWhdKIf6X-wL4Fy$>{Im{CrskhV(Si%zC0n2d*1QIu3j$6D<d8TDkrww30F+?N&Njb
zUbnn#s<Zp^s7SdLUB7~q7zLM^ZeBWl-nWEFdUf4=*^ky$q=x;>x!5bXIr7Dg)M8G>
z`7s=;52wu#n>^Wm-Jvu|*V3yu4ovX;ek89d(N-|Rz5DO|<gNFNF5aA?yfWw6%i86M
z|Euq=+?y77Uh0qeZc{E{vE%1X=St+f4xe3{&HqL{;^`U3iDAVHj!s#dmh|YPP|~!<
z<ggW6T-?}_IKF61<4I{=#9{c5bwY~hqxO4~b_FQ*{`9Rm`dMbV$&EvkVjgZS{mE<)
z_Cil-@=tb&Qxz+=IdZY>(7TxuAe0pO^9fHw#MOm63%E<BCtS8Xe&ExKdqsEmr>L$p
ze!6Ro(Gyog`_P_qNg@RY|IeHwwDR_H37wo2X*t*4exW_iX7d!njo(aKTXS&b0i6TC
zERU?a{d`aFYRAO|DPbQOWY;DpJz*0LeQWeYzkKVd*M@I(OTUKbT1oDat(n?ornAfN
zrk>>a<|xt1d*_5Kme=rjWKOH#$;iA>v2{{@Om%6*g!_>Vd40Ry1iujx)CuL=#mAYO
z^wEBIzQI}7x3?xb9<>OX*zoATwzAJ6F3z=DOAFtaupP5`S6}I2(i&3hkn+w$)8wd$
z!SwrA^u%}?s@L-*dfV$Y%wm41WzqKFMS}1mvDQ;6q9yChjU;z=7wD`MyY_`&XVWHM
z$--M<-<<f@OBs4yUbHUY^0l9lZ&e;>PP+TZzpeh5)eMEXXCH33@o>Y0GlpwFdU9!3
z|6p@s5}aT=YgSU_=Sw%jh1Q+=Q>u5v>5W|u(^i@KTv31a=*sJF?>)}W|FXNFN55gJ
zokxB}`&`52-Z=$I_xKerU1yb_xJFhxZr#y_>oNx-)ca>h%{O&gUv$8GkMZVRvu9{T
z&ypw-kF~kD|H{R;o-55)tvJnY==gu@^s6?_4>kC=t)2fy*FoIqPg`7swd()->jHJo
z9BY%4wypYfj%ALAt$C@J``2aG6$-B}8|$p~75CgEE^YgES5@ztwKx5ap8V3aEq=Zc
zfAxB?&QH4!tWh~NVZL19-v<vvB7YrOx_Q-o`SsR4d+)#NH+TKV6kGLYS554%=>7K6
zR;GWg@As+Qo+jUa`Op5!V>fH6?eEL&{JBkJTbro+-`bV=BKz-&$uBzdyR4@AtKD6>
z<h1>}cK^BkwRX4dla1-c`}0<r=}!|+Us>)_{_Bv4Uhc=`&vhae?RT?Z8)N=o?q>S8
zw5KxO;dTKEc03FJ1X*tQbcV%#$&KG>VfWI5^Bx4|8R$G=l(@{Ky5e=MVeSO&!xv0v
zYH?`s9$N6~yBlK*2V1Vg#-oWxXTO!Mmp?f>b+?yj$AyGip?@q%uO_SrdSrcjPnXQ2
z?9ZGc!Pe=)cV_L?lGA1JQhK`b=~Cm*+<#Zi?JPZ<?-{)OOXTF_xk;so>N<?&7kaNY
zgmJC7Ua6S>s3!185nGgAfz$kkpglWP1<W>;E}JhcxwL&z_bk_GIj7SDm7g<iy!F%P
z_O6<h0nwYI-{1J=q1^P%V$Qn4M~4IFnA<GVDOZnPoqoO9JXAa}y)?c0d3^VC*2=A=
z*Uj(Gba_*I^}KLlTV>&j%EArn3txYIdXqP^v@)&8<=J%iYnLzD+Sy9}x7u)4f4Nw8
ze%1dR&3$if-27i<*s_ktK3095kjRRC=T?WUeyx(Ev5wQyL}$Tux#p(tThzW@lj%O>
z#nQDV`?5fyu1MRHH9UVlu~kjGll%XW{YTx(TV7f3-+lT0`di77Nz;XHY&NJWXqi{t
zZWYaBTFqh_z3A-!yWN5lm{v(7ZJ!Z$_{;I@kCT6C`qrL1f92w0PLuUMBF-Dz;uTyM
zvIZ_>yRiLhzG!OX<%y!vx92}?n=0~Ujo!YAGZt6xnHTm;yG7{n(OVonTMtdV=J>B}
zzWDjLJ!|*xFP`x8+6S-s!Dptfy#8wLIsv_H?MI(VZDDm-_mXKw3gh+tpNek2dLegd
z!+GoXb0?|IkjV1l6yg%hOx|<*-p3Ql?1#U<UMhRt;6tuhMx)=uzju<pw7xR@aO+~=
z(ZUb6ohR7yacx)mI60!CWa`r!RwC91cr+M3tdT38x^c~)u#;PLm;(6TF1b5Z>&KUZ
ztPZ37f@&?Dlcf_=r!4fkbD_fKg4z_<WwCR2O?sSJ8_4Is=;)1;Qzb9SK6tUY_d;4(
z@qFJ1wmmh?&QF>ZPbc)eWH+%kc$4!_W%aA{{10hw8SX`Fu~c}xBm4U7z?{cx+TUkq
zM()jRHQ%ImO=^S4zb(e@+Do0n-wFx-Df@jdH~s9xr5k**?UpGo-F_)%)3raz3ng`a
zD}9{RCo{M1=a$zGtnO~Nouv79x}K6?YpaQ7tY_@HIM!oa%kK$=8rmu}$hRbWdfdFC
zo5?xv8Rrgxf69JpCs*lpy%Bx=VdaYB670V_{(f1JnttY&TQ5)Vsk29}Dc;LHdC2Hg
z+oFx?QFHksxn)H~B#VW;jNDiF$?CWrwmufLLn>&=its}VV=bnecdqBT7QU+BrG?+2
zZ@b)5=5nrkVsa#t_gThtrJD|t-!oSm9dnlTZx&EjeI*y{H`gP?_WM<V=06=%L^j0i
zoVBsY;9O4V;zgO#&W{9~oxXOiI>l_=E|L+L>1O60%U2%bKRK?~_G*t+smHW+#p;Ki
zoq3|qvOdX1<qg~Gxi_N@-`%ufAA7#i!O1rkzvBz=$k4k`?IIy4vTowjhhfVn?+#7c
zAM_%3&C)Gt9*4C$D`FBhYj5NE)@QPFQR}5S2Y$9)aE<6QekrqDyJ3Q6zRcg%K9Lu0
zafC0OddWgIk9mHqiH{y<{5l35xjFiJZlU{Ds&w~u>D*WQRrLA&oz;eqYj4ddv(6Wu
za3owY=F(4}KYNTG+gv$W>?Y&$WzDmm$2RX2Hdy)2R(k(2CuOFsknq*i&^=iTSYppN
zFaLSP`i7wYhoY)@1wZFwef4DwqURn}FFbJU==;q3l9xO^q^HUMH!xfB$mcq1kN=#t
zan%x$1^*N$vpzVzO2Rum`;p;tzTTC0dR9y^Pe{p@a-E*jzjBAf<#icuS{Ljep13vR
z!ZvfAwOR9?XH0UP9x=n?|HUJl7rBQl5bs}>k}I=mvHroyvizEs-@Rr`mr(v)YO!fe
zWy04Afy{{Lx8+MFo^Gkla(@}BY-;te$mNLb+sMdC4-agpV!WAZC%0Q${`Ez{-BFK^
zJ>d-wS=Q6u?B6i^NOI2eQ|43sg#L<1%YN$L@Yz4}m|?QuBe$J>e=NWIrB6K2*xy_C
z%Y9AFW$8mRS0*hM{Qvl)ce>y~!)Y>sClhC;vQNAv5izIfmYjD+_KcHOA78N-^u2Y7
zXNi?<;EKqbayNqA_RHM$P4k~vnj{z2s#gkH)oc)nI(<eeKzRRAzduKxUT)mWxV@Z1
z%Ji$l3-fE)pBh&c^Di>{%J6tmTk<2uL$j+GeCO}Iu)ADwM$q>om#@ukcyUE;-;VwH
z5qqC2J}N2`^tEM}JpJ`<&KGyH7CxJux#xXAH`hEVeUWDzJ4y=YD{p;&IyKdifjiW_
z$-g&VjHRP~+D6siQ-1g4<(3)wS%$4s5y;;g`g6PDDi(?8@-^Yw7B029dls3Un{~1E
z?W{VJ<MT51hHrcEI=)Ts-aA`{eHxFSES40|6ZknP>Fmp&cMQuT()8J9OJs4c-=*C4
zc}tu8q318_)A-tF7M{8Nr*gagg`niceRgSjkN#P!FQ3bK<J2UU`66=r_%jp?mQQ!_
z%iYbG?z*+spnZP0Sb3-O-C4Z*?{OV>ns2`9$rADEh1x39D^k?H7b)D=n5(_%OO$Zc
z8TH480@{b?%J*-~n0Vplx9l^gOcful<T&1CS30G_Ml|uVmfH05CHzcXM=y##dNs}e
zuU6cvfO*SS$@sY?1$Y+)wHKbcc*y5S{L>#M0aFu-*IYcv+a$lGI#ciY-kY!X-Pm^S
z@QIJxvwNfV@Y=cFxh8#_c|m8l_@N1|_qtX81mt-v5bRXA{o=q3Uv=(J8>=LauYIbp
zZqbypm6><4h3C#GowHyOvtqi@ineJAukzVD-*I{<T;gHY|8->U(`OPV&b0;aSl5u*
z_<p8@)75p`ZwIrfR>?X%e_qWe(fVaaOMmq)S?2RcZ}cWSik8?j<MrL=1@qWGaJc@l
zsJXCX>cyD?PEwYU|23z|s2!8gZ<BEJc;wM?O*Q3+-$N0HhnidY#WsqZzkFwrm?ghq
zj-g@J!!ON^*Ujc?^+f)g8hP*B)h#+{F9nu1P1}*QXW5@kk~2I7j?}Rvd~exu&!+GA
z(&Bgbjva7+5W@5(@U6s_%>|DVUOrZ-nWdV1$+T<19}hEr#)}<K&gNWlcTzoX!R8n5
z{rQct+OFiyTTbh3dor(K7u)e?yh=|z_AXqsagy{VWeZjY+rk{D*5E`(!|e2{8Wy|V
zZXZ^kZ+m&2faKc0^V9@Sm6iH#zHPDdRh*$_-KkhM7n>#P9T$ghRA~tAm0m1(TC|^~
z|5B2i%Jbr>KT_0Rr4*-JPUGV5UUo<P#0}91RzBsc#ftv_E~KrHXZE!<`O>nc?Z}}_
zp;W`>Wrp0JXF75DZhvc;8WMBEb)|r4K%<y$-rv8`vLym18@Me+rru-=5jokw6v@<j
z^T<bad9iZ&+21cL5ZXJL;o2|$dX<^COcO-R3m>I&%{RB68vK94@;Oe&&U;O>Wn}wk
zvu2~wso6*WK6cfwD|xZ)O5WTRI<m6OECs)29{gP`UGZxt-_^F|XZ{Fo<n&v0gm2nU
z{q5JYf}}D{yErDfNF6<L)JwIn<lRoik3Mq_b+B(^%lavC=(J3k$W`WJ#oZHCB|fhG
zvcvC+G4tzd0*9DBC~w#F3pknNaMo%|bb+A)WAX`$iW3!DSyz~}-|h5TWN0$~kYS@z
zNY;FRtMbG+HO(`AT8&y4lqUMu#Yb(;c(7|$>(<slho{>!Vm`fE{iNk9^Ujx>`sx?A
z2{0wOMgDAEZ)0P8TT$=cx+Pu<?{Qs}i=LaRv)M@S*J{TlQ|~;i_g?hNPtI|jXNQ1j
zrn>z4#k*pS?IM-eb)0>Bu<*$It7oTwm1o@G$Fp;%rFO)(qUA@^&mNn#Bmdgs?wetE
zOu{A>O^dCbZMCps^|^4y%Nm>yQjDLtok%)mXrQ0ACC=CB_Lq6zB77|W_tkLBaIZAp
z?Z5Sd{lwjdTRz(;#OS}{tDM#Ad*bSq$8p-$+OtA_7tQwGr(@U5EFgM+)0Crsh0Tgt
z)xUP>om%5IBXthzF4;A{ud6JIo?cqE@V?>vq*vRfmV21pS+JJ>hW38lYSG(!_q?2O
z@5{S=I#a(ERd*Q1-ZnY2-k9TY<E3|9;>J&s{L31qeO|2KsekY98JU+>Kj%F@_l%AA
z&J?zzmrS#+o>sZ_yzt!fX*>-!uYF$5$-H!5?QyWp7QJSUy+1U6{fK(8D(b}&#T6@d
z&an{n&Mx(Ox$8(_qSw1!M|j@|=manH37*=rsOYDYM-AiIfMw60tx9w*R#Q3o@FbJP
z%Z}w|eyzMx!XaxJQ)wB~_QfSoQJ8Vhq+4E-Ud4ZONKEXqnpA80nIrhbxziy|YZRSK
zOb*q`O|ItMu-In`-)1w9xl2qVxXcp_@7tSPNV>*-xcjQ(H--&OyEiJF`m>>@F?eF$
ztZw;rUL{wq&C1dC`SWzbfny4fPy2~K*czX;%2Z|vd*`g!IkyBem$CUae~EbU@z2z-
zOx5NB*~5D_^j*xK`^@Uq9)p;oclSaqBpvTqWq3#YWSqF<mnE0pwnCm)iK!0kS={ml
zyISl*LKnA(*Z8?<%6naWX0H7<*>vs}qsb-#79ZEnJ~T=5n%UZlC;B2kE*Tp;eK}uM
z+w{V$|HNk2wJYkR8Y&uWE(UgF&2^2d44!jga!){!t7_2s{6mU~hc4vFv9xMjV$`|#
zK-xvse&Q;2^Hn{Y|0s5rXoggYb#QpdmRKa;5V*hPNXg=7h3chIE99nDHFy<n=Q?^Y
zRN_xM^Q2(!mmdQwHe9>xaBFiCgV^^?rABUX`=0&Oe7u=A@Xl1DxUJippQ)`Wc{%;d
z<SqTZQl&4XgR@kQ&dk<m?7n&B=#`hXJ6m=y(&|3BUu4akjgHedr<(T^JiIaQ9$VJL
zu82Mbd!IQmst>pL`7uvBFWtt^;1<!_c79JB>-MO=voAiMtuuKoZCxII{L%kJS()ee
zH>~f=ko~ryXyg0JgYP!IovNI1pV@Tv<*?M<O_O{aXL~d%&GoRY6Vo$}{BUE_${Ir}
zZsmELYRjy)bsS7zd3O4nbdkvsfm^yn!dmLm?rnec;Lt-QtL=5oTi;b)|0&VsaN7E_
z;M7IGKU#e$`uf!1t50u5y=dQ#YSoUnH>^v8W|iuFI1s5c=c9vJ?75wmFV{8Z9m$Jy
zHce4oU@5yV=I*4<sM3@RPKyM$CaK2svc$6HJ+WHu+YwaCV8ef1CFkM<8LR(B1-+N=
zY+AR|Z7mnGPP4S_)9n?Uq1q1N3#E7JWjtRH(#tgMWbeFF(R+@pV%_x9e*Xhk=j~Sv
z%l}CCUys&E&zAnNtKxX<>*4^jrE4phqve&>ExMkz#k_F#SCO0z?RTD;OMG7ct!*Cj
zwT@ZQ3!l%k?b&lQ=g}7Ple&BU_U?InZQI)1{1)@W7t9y7&ud+K-_fi{G)Ls{`Xy`k
z9?a<!&Fu&@SIu5$WMQ*t-JzoMfA9XBZg0+7|7-P(xPA9-#XYaf|9_SzK1X=r<^9D1
z4~&keXV05@XHKp|@oX>mjL-QSwXc4;F=ck9G&9%snX7t_^xVB8DBZsGzysx<mHe&y
z?l7e^HZ8dAJ>$UMstfZr8{htQeP=jxqK2n%y9LXlD%<D_ZzostoX`I-E4zN#|E-4(
z9y<DP<Ho6rAMd%@Wwz_H-|1)NyN<PV?7f{7UwC28$;nj<ANx)<xshPCC`QFq<#E}&
znm3=7w1PPVPjX%g72POsERE&illT7e8(cNNJ~4eGFSh-~ByZO@Z>zl|ysv(#N}W_U
zLwsAsak1cM`{r#pKDD6ywBq{x`^6M*8hm^D?vsYqZ=b*x<MckGvK+>B);~5!7<yW5
z+$^%hBRDoe@M7BH$3IrhDpZ|S<jgxcD2Xe4QDTSeO|{SNIX$MyVSQ(qo93=4KB^VJ
zb?^Q7Q{l_EJeqgWxazfg`L#C}-!I&D@cPl!-{NIvt&jb_y7|%?^OmKL+s|*(de<5A
z$;GYdy1&Qmz)H&#9oBN@|6*dEx5WQx`PBLTiSxQOP2w#%zuIkGccpS|Tp*lz%lV6*
zhThDy=C_(N#AfZgCZ(b_BmAo4!qdKKQ&{Y!COz74t#V86p`2Z_A78r8^`Y+CwJm7^
zs;hF2TB$8ubX>q?LV_s2sN2%$ngb3Sjx6>ylJe|UIw0X&(0sp`KkC_Eo((fNS3G6g
zZqa8pH88P=<1<fNKlfVxNtfm<U-Pd0>dXqQycPUGmt)jj<UOZcidnu!&n<T0tXp=X
z&+KNd2@St-{e?r$4bS-P0&L53?RXC*%~p0gRbo|^zI>7AW`!3QtR=Kho;#krf8G4w
zdprN8B(I1L`78E0C}H=dm$Q;r-#eBOQg+QF|JJ-!jTRE;?yCFGxpDD$*aE|?2O@2K
z<_9~amrXlv{ioe<gX{LDqZgK`hUNXs-TtF@lF#}q|6iQ;&t<YdKIn7#W+SZUo%(V5
z*QfqH)35J+zQsD!BxOIJz3k+*#bRGJtD66qr20X3_v9z3vt*9cu6w^NBurTLwSzVr
z+uQd(t3EDVU;F0c`R8JP4y8U^VcU9Dq@uR!ox^di!>bluJE!~1@LIQe?gR&eDZT;*
z0h6)>1Ux301#lTC<_75LOwhTa;(GDdn*hFyBf>$K`=wSL%Dm#*?IbVv#b#^Cg#W@u
zLTBHqdK#_~;W*&HVa+kSPIuX+q=jL8lHXod1;u>L__(t=Rd5BLtirPA55Hs@`Cs~R
zS!o{6r-ps+vQu~IP3*4=*f(LW%3M3Omw%Rizy9)O{Kw4yZ&d1T+uNR8J5QZIQoX6C
zWk25)vH#J33N3t&J-&DK%g4K4{$<Y5u|FR#H~HRr^>lUdzmG$^4?hq56#6&rU**TW
zn<uZn{O{@2TbqumUhdz1c*%EX&Q0br_3vcw^<UD-lk`>J`|sw@uU983wrMY2zw5!p
zYo_m>O@4fQd3VaR-@N~~uDhvUG^4e2yMA=?f)9UPS4^|qSH0wx;f{S-W>1R6GS57F
znepj@^^aefR(|j1rTv$?`+s}Rga4l{n*5#h)NgO|pLo7LDZlS;LL#K3%N9R9eZ1=F
zuX_)~KA%nFx}U%2ewzN|h1(upyH~$?_UW9`YZmf}H|5_SjQ%G0<M&n1*(WP3&ffBS
zW}ND&E4C?BUQc|^pBqJf=cg~qxhUKJiTP*JhKPTkj{YvN+2x&odHSCN%YO&{nbEhe
z=h@=tDP<)sZzo)uwcqT+vuN{x$lOQ!+Gf^z8~6ABZvOEk=W#^1_;q#mnt<!~`Og+u
zom+Fx?d|N8eNy|f7k%BIJ7bD7*Ny9$zi!@XmuX;dS>F11PlIuUXubI{(Wei7lqAos
z;>nJC!tL*DpX#R*Dk3JFB3P~8_r&<tb~6X<YtC0|9&D*Es1w~Uz31irxAXVhwD|LM
zzPkEzcI)IeE#o)A^E+4lO`88^dg$+`b~oRLy)FNKvTl9W{_XzX!q47Ue!aRmyyp4m
z+|nKIFK4Xh`&KLU`s%`c{>pdPN4$SO@vGy}UkUwd__eRKSEtm5Y_$8eFYV^>i}ojf
zSgcoAzj^z|=RaP*u-~{iC4aVa^vl3Kzur6%{l~_gu0Q!lUXAr1nft!~FP`!HJ-zV%
z-JcI0X^B6|vyxTc9{I|`fBvLnr$z4fum4wCw`u2@kNN7y_s{45l^)JMSNDD#&%NBJ
zeZNfpPTEuDlb^o$;p+A?x?=TzzD)3mJG;#PrNPXjAF{su$Xa{uS-$;Ue)-<&GyJjc
zWzV;s-fP}`)UMTcy>5Trt~Eb;eAAs3U7wUonf@-amfNm!d%Ee#Z>o-)bPtq&X)nF2
z`{z_xSAJd5s@?tZHzha3i!7Sv^hUCE&C&_gj)A*Y??0$<_tt%5z7@|KY99-Hl}Wm9
zkz#w?+{Vtx`S<JH@3LQSS}c89On$@ZhzC37xw-DHJABOTO1JGQnbkZ2#~FSfRd^+F
zq|We!Ra<_3#k1<^Vc$<~{hpC~ck<f%fm?nARkjB>A2Qgnr>gebv#+g_md?E=vtK&K
ztMWqTx1#f5-EUuQ-?q(t?efKKf7kuGq8oNkqN{qb@;lz$JItdVeap=?e>p)++uM5O
zma}X2D#zuo`4k$qbGxrmfdum`lmC}eUa#y7J?pf;HPt<K_SXwr-LA(Je?Pg^H9bRe
zpVj(XA-b+FPVKs}_C`)joRg&QfhC7Gt3@q(*0H?V{_$sf{VmyE+hix+RM}&8?(yaO
z+WcX;xhqyIUu&ZCwm2h1W1ihv-_W(0mv20lee3mAadMlP^7rIxp_g~7%<GlAwd;Y(
z{kJ(;sapfTuZr1pe8X$0+qZi5Ui$jTGw%G`x>>9Kh%DV*`Aon5WW!34SpN-fg=aHo
zry3=&s%U@Mz{H)MeSBT|tO|>n`Z_1yKiR#EdGFV+!prjZ>2W&r$p61x#F28Hd)_*6
znOOaaYbLda7H!z&5jMU3>eGa;6V}M_uReb8m2zaQT-f|(Q-@7#HftE!vpZ6FD<how
zu5p}{`nbVOWzA*x$+uinCl~DfdwR3f5*JyqZSFO`W?g#1$qJ@HHzXuWr8HOcggid5
zuIFJzfM?&X!zX6BnHk=nHur)YgZ_M(zdLy96t@{fS5~gwU3FQ}(s}ib*!Qs}PXgz8
zt*c}SPvLW&G&NU|tD7gJvY$UBDWjKX-|MHvFLbJB3r^_ywQ=*i?rSe3b%S&+9_x7R
ze)5pU*)Gprtb2vUl4Iv`ez>e<U@%u_N{(&WiRXL29m>2eeZ=Fr?e?^L*=4@9M>tGX
zEv8(am%Jrzi^8;=4IH8-%eKx63){OfS8J8oj@4Qkyqc??>|VX5g-x`ld%-jFuqgE)
zA)b(rMd6{@LO~%fi^5%_gn~jI7KN*V=$l31tRVVgQTWqHp`ehHMd3$5^ueO=r677|
zQFv>lcF@&nt_({=8UM~mWssk2ayF>xMz?uBf9ot&NBfg2_H5$$GF?~L<$#ixm&?h<
z6{2E$UrM`Nl-1Z5xFWwv$uzJ*gw-M-##h9#>yS~KjjxDj*CC^@El!iYcP$h;Vwx%}
zG|8c##lthg&1;3bl80vmn^*RW4~v7gZn~Kl-d3>U-Ig5ZlH3_Vy&SLK375Fr__DgS
zFIy6-$TXR$;A|{owNiqT(1}Hw2mgGF=}|ptw7=0siAhuG_z`wJp}<K>MQ1u5DCYlA
zl-c@tdZI)^t%{Dp!A%zV4mH}-xuzZMbv`ZPy*Tfl1S50*WVdOWVtlQKS);`dUfP_R
z)?N8`S;6sl)4m;Vl_)IwKS88Wdcn5o`t?1jnbVRt@4xdPN9ADGy<^j6`A9r3l=<9n
z@88r}J`(MRCUU;c4c@tA_Qe}Yu8MDs5@k3~w>-O*ddNa(TH~Y0^h3wC&yroNa)srP
z`KgwdpWG5vx;X<Arf_;YDJ3Xzm3GWCbh&%m(NivF^#s?H=>2bAi%(i!r+7QP{p?wZ
zojWCyH&5RFIsDs+=R7YZelGTY*PqvGf9cE2gLXy7*Xc!Ej$QEQpYGXdPjdB|J?$>s
ze-wFF{#3&5*FxdpI!v9<dyRMw&V4O7J*1$8$8r8^;r!pn1&vsm^BV35oDX77`FKsh
z^HdN+%EwzAo>~zel6$Tf2Q1+WW}Th7?JL7cE}u0#a&I_QRwpDq{gBw1GSz1dPpj^E
z<$b1Ik^YfhKj!(@q>H$pFf?O+l-^X(BBN}yW%a&S`F49!3iqB^cO@&ytl9o&Uu^8v
zBROp9A05x<bQ;V~Wc!x;eetW?v6p8YD!6@jxm#QDkL_>cf|U<j+T1BiUpeuijNQBB
zZBwteN^ZM-N;O#T0eghg0+lBcri#-70)&cq^zZ8IP21h9^7%r?NoQtuXZ9&2JohAL
z*l)@%?Ox(^xJchrz|6#A+JwyZk_9t;!{gRCe=ezc>Lxa0jT+mGb-HRB5}BBnrFU!I
zFkod~mft7&>F1imPc}EIs%{7@+L4ptsd+=g(#CAlk|{Y;Ce1S{Iinf7t?;CghVup&
z7CDzTK}jxemxIkG3}l=$J2ff=ERQWp)nMT8zpFAu$y?7^?faM9gDi>??ZIr@5+(`s
z92Q;NSCcvG*y+<Jw(Z^WOY^evYv-jC5Bh#tdbVj>*tavk49ZwEY!)0z)^K%UYE{?x
zD6uMK;+8uZ+-s!wX`1D_t*gB0n(+OmmQt(X>6}NBZ#OP|tJdcC>8v&9h2YvFTbQl5
zblioXJ{F$zG_hIZ$Ug5A3u~qe9Cs2+<ZPTYAxT%e?#}18A7A|uxYPS=@4bW71@&ci
zQ~00nf3x$6y^&4gy8`y7&hO{)&;FeGc4qmD?`JOWIlrw@@{X3t4%sWYfjgZgrUr)?
zalN}A*0b}r%gpN{^L_s;&Di{5$|L9H{USB>5`SV^-ID(%{%k)eooOnS-1Z^LF+h|h
zm({taNzv(`z~Y1x3l{{4irnI2lVD|TX<~Fc*x>BAQcGj2=odq-UmUEpJxzA)P3u-I
zSiN>Zd*B6UjTea`Uo1qvgs|G?vc~o_={Y%yFJ5qZ;ez#AFS4~Y47t2onuHcFcoJX`
zAj-2vR78T6)yc6jFrYv~Vii~0EiNWEM+XfK3D!pEgC3y<m%=U_)OevFQewbm)xvcz
z=c%c=SmoK?S=aB(cxKAG-H2i0#*kMre3@cJi_;X%mqmIg=X;!#F8aVVH9Vn`+3Q|I
zbNH$~LZ@E`fBGe}XWgmSNtL!<asAELgDY)y)_b3PUG(9X*82XZQ>W~i)NCG5$*i+}
z!4pxLJqu4sCsj6161S*Oo@##Rlhu?xQw*b4`t941&ltHR%qmN0UGDvo7qV0OBv|rR
zMK+XAZxf#AxxM51sWl15Uj=O3wDY7=nD%Ba?Q0zzrb)_EMT0V3rGzH7<t)i-z49td
z+VM$jy_{9hdZ$;B6MwlZv6EgIulRMXhpkdjf3L}k32b3Y^aNL)c9RP8aMlj;bkVx$
zv6w3}#Z{}rgEjt50PmCoMnQ|X<bFhCGpX+O=+p{W)H8X?_l?gFZkc@a(kaEs9#OTM
ztKZ3;dVWr0*R!=Bj=VQtdgE63gucnYT^~J6O-ijd7u5Xy%W2M=|8p1dY&-Sw=sCOe
zotxL+O)io?cv~shE=Bj!%j8Mt;;a51e0ufuX7ly>_rCC@e=aepd?7mr+SVypvi!Kx
z>=O$Q2yty$c;~KTr|6UoEkd^fipm3uSXFfwE-{;OLw3sUrXt;iF}WHyWT$Lz`lPea
zCRZc9Jm4SKsqHPFN*6A=Ysjj-?7$?=3#nY?$3@o7aPnRjFkSP8Z19$(E}fZ5v1;}0
z-1&lj4Y@Xqw-}G=J?<=ZNR$oCXcw{W(OAHtdBAKL!`lT(?S`x(j;uG_L>I`0y)jtT
zptOeJ_70{m%-ym{qK&LK+{6~hM!hlEbV4`y^QNPWm!ITJP1OAoS@Xi@@~;^tZ~JXL
zjen_{G8=X+$vMe5O=iK#ho1^LrY=r=WMVl#<h;6W>BL#PIP79$rXJq<q`=g&q5p8O
zrHwbA|K!6DAAbntyFcmh^~W7Q446cfHyq*kV&G)g6L6G6*U-tfr-5HN$WZCe6ZWN7
z*J@@PSS44^-58f)5-xSDmCZ}rH@)Yc`_UIy)de&>y|QI)=oKy4dCE|)C?M+TfwGy*
zcT4*wF4=Of@vRg4EN$7pPT`+-HQ7A)wz*>Wv-v(w?{|qSKKUGSw(zZPWa+hA_w%k;
zN<Ui`G?%HanJIRmYxArX@osq*yziF#3v72OJ?C~sUcYO7dun|B!Jogpo7eB3@vG|Z
z?Y1xF@mu%j<h>R(`!)OUo07OEYfG~j{CXSQdmAEoFD__1u<~K>s*m+=S+^8K<Wz(i
zo4YzGC@3fxrY4$#Xa!@l)QVesr^gmAGLUF}|5sFU-Gij<DuJ)x#b&>q7CV*imS4Kn
zT%MyIZ<Q<rZ-2e7|A1X^Yp&2iZr?@uHs>c!4(C{GmnoRkw2bkKr$ztW3yXXD7^7Ph
zQ@?%I{+e`nMdRCM>0)QwC59IydGBhnZqD#qv}Te{=j)@~<_a?y-X8d+Tz6?weqFDc
zqHN*)Mdy{omRo(%oNE`%wnw8wB66kEkz&c{Wt*f~Z|3jIZZ%9zzP{Lc(|0o)m7>>f
zi&M;Bx!+l_dS{^i@!qPFc_w{3E(R1cPk+p&ceD4Ip!uw<yah#f98`A~)*b0BHZ@PM
z%sVjYZiZ~(;-$~pBKxGDao+V%iDl#FYWUVsKg<7hreAJNDSMKd+`8la&(!zbtlpOr
zePeq0^^<lgweOSb%{CP_PM@vfsxvE4OusRezfJt_YVPM;FFw!kb&}7x`@8JCT*4a3
z`0`GNK0z%5CqcImUFAm-6Pc8S5?ihsCG`nv8zc$3ZH_t?lAw6)<}9CW*AL#AHBGl>
zR?%x0&G>~iKl9rcxYw)*j|phx<|<yeX-B|BZp{M4M7;<xalN8MRz00Fsq?127GG@g
zq-fSzR^wS$b3V=VRk8YzCA_d;-Inm{&EZ0d=Q%?y77D1Znj!pgjz_C7XW^kPOT+V>
zp%xnjmPZ<<FI0Tq9hCVzx@e!$#mT9~^*^SB?-9SrnLd$s)dt7XZNYQCSg)O#?5vgJ
zG3TsKFYo(}iK1p}&Mm9(wJJGs)NCf_Gt-qfSWG3oN}iQ4FRWSCwfgm`l{PazJ676h
z9B9{i>TD@L>A5pg&4wVmIiC|N?Q{;Lhn)(4v3Is=r^@Hra^vYQb{WmItJ%MzZr9r{
zw{?Z5q&F?{*`T5plHl~oM?P(PLGGMQn~NUaN<H{VC*sTZz*j4dZ@Qa#dr9r(%>R;i
zzJ4m%K5OmAiaRaVFQ1*3+x3_I($-(HMY*NcE7#5V)fpFYe8*1P&`s07oLuoT&SdT-
z1GZVt$2<SDF!CrH)GS=!+stqyxxn~i{0kel*k5kUy25MvU(`HmKdGL+H)9J&#<unE
zR7F=Z-%ee?J~{o_rqo@(<TmclX5IN9?z-A{+4O{)wU_q4jxC!rM{FiXt^1SqZQ)ZB
z?^%7zFG?+BP1nCTW!~8t*7GaU>xz2cgx`y^IK1b<{PK5BmwWc^><|2V`&Rhkv@8FZ
z!~bsG9N^8&BErDHz`+pQ5ff&_K7B<E69dCpUIqps1_p+Z`~u(nl+v8k_@b0Vy{zK=
zytmV0^KTi5w7vhW-8VsPYtUy$j``)XTW+f?$U1S$^Ky&NBcb(ub8qr#uljXAy<JH`
z*L!(v$*dEsO?%E)_Sb$o_UIwUmr(A4W35g8Z>DvNXda4O%g)~#_M&#4F6&Hnulx7@
zG*oTByvgSJ=Lg&iTkg)!YCq9t?4@YX(8A!YBWAHJd%5)X3B_F3BTj_QzhxS^V8-D?
zW?|ddkKbDTbpC<gb1q0ot=;x6xSiYafzc)3+Je7vn{Q|TGLULX=-PId-6Qd_)H4aa
z4?KJLuH3f0{@Qd`TW-!~`JFo3OjOE$eBODRX`->)4VkEYrpjDxEl<B2DEYh$s$Rb3
z{AH1iH$u9TsxC`-8>I&y5s39tI{540(U50S!rmK_lrHup`RaPKEVrMiAr*OFHT{8#
z%~3zK2`Uqf-GA-=x#4Tp66Z@jey{u1y1!YYmRi~CxBYG8>rm^$DW-EKq$|rk-__bN
zF*m1tS&+`U8K-C6o_cmwPmTQ6z`LbaKPSytvHT*NO}NE6(F2dWqK(6C8ct@NpY><g
z$pFSyk9!9r^3U!#p&ESh@|A77L?5K4Ice~QO=EL;;4zW4E|9lqnMYTD@S!K2jCYI*
z4?p=hIc(aYzn6SBg>!xPK6v$}_jdaLJ7<w!G3*H);xi82u-dM6%~w=LsO$b;J~^pZ
zrTe6}Tq>P3<MPAOZP7VP-&^>nM=<PXoyyVk=uzjd)iE<)e=K<|pruvDbY$~a?{G7_
zBhOuTd}{o|{_*7=D?3ToiI)BM^&H|(f7|udXoINo^|HIq*?uz@pSUEq?b7%B%~N!q
zep!2dvGbf$8B1T=OQ|RROW2z`t!DS@vQr1-Q#@avEsL~g$>NWG87;XY-@Si718Q2G
zFY{@oG$RAUK_&(UVU)C*l%H6XqF0fUJU8&7*I@&Z+V9#-zjhsa8GX;~wr66_(%=9W
zr)hWc5=}YHS8n6`f4787_+~e+q9v#L_c_In6${$0F}BTHvwF(;Zr5|&PitKHHeKU2
z*tL1RgDls2)w^9C?W#AM7T(*YaqzR|)xJ|{=kI@I-*2b5ZzEIaB~8x{5!#*^bCU06
zA6<525mU;~HBmMbuQflqRTCV+Kd(YEnWx;&V7Yb1r8NI`VM(S>8xBv)zqPARmCdS*
z&$r~zx>LJrW{O_5e^WCl{iaeAXH4Vu=+8wD>&-7M?y<}A=37{P;M&VoXN>>NSo-8f
zn`Y(~NwXJojvnYr{aN6@r=nTDLqTNqr^qt57ez&BQ+C_c^=EiwB`1j7V);MaSn~15
zv?<38y|zD|vJWjR6%P0`Oi^TDXjsL_z>6G~pita9_jSIs<+P*r=l_VZR@k3mnB2GO
z%O>VCOiQ(Xirx(SQczWHAh2cAuE)92W-3e7ZzlZvuIkO-9l9rEuJSgq?zzWG@+*G*
zJ5qhpTkcxYE}6aO;^UToe)@gEeQ&qt;d8&ec=hd%-Vei{Pd{e<diZzx^ye>sU;kP0
z(W3JI>xjQw|JmE?KAU{>_sP>a8|Ub|J^X$A`Ss~Pi$3nN?*AQrQbSh%`1}0j_xI^%
zEuQ@`$#U-hFK-it&TO{-xP1P-)&HOVpK`pNKhfa-H>>$8?H?VkFPZx0%c}N&hX48g
z8UD1I@1K79;^C5yKVH9?Umy2|&Dm4h_FP>hXPwUe{eJd;=BPO?v*Vd3m;TFs+5ImX
z^6T<;A9maz@!u}?|I-?)x*r#^kFQK^nLIh+!_&fve?1$2oiF_Gy2Na5|NN#m_uovP
zUb*3q5pP)1)%jnlFL3>Po@!Iyu*G%Dw&@REJ8?#{^&2ns?ft(0^2txkCKXd<|HOVM
zHLI+w3;SOx#`1T$Zqv!`U+m)R^*6U4zq$UPk?;?JJ$C2BCajWL@AcRGRrxf}sNS{p
z(Ffy0T9!RNIsHxl|7e4Mu7B5v_$>AK|8_sy<IbRc7uWo+pRT|D;N>shUj{GupW_<y
ze<inU#^3Vm3)}w9eRXBOu!&2vlvAs2W!20-+y0riy5$rpZ#%a6e$#&TtH16yt>emc
zd-ML_)w?T%-R@pgkh%M1Qp%El-{yb$|3!5BSNlmNc5PEnoqbyGtmUsc^%%!pi=Ptl
zTioxizP%up_ut09Z&@ZUzrP`-q-@8m)w};G?DKsbCsp!p^6cGpUkyAHTQ8Xe))!mK
z`fiFpZ*6+%@o8s)u)0+tS_gOc+<5fc>F?Y7Zd%MN@Bc0R7c$j&ezW}Q`X%fBzu(_n
z{<yvU@Rj15veM1xF8!^y)l-jO7Qw`GbI+ccky{U)>2fmNylU~6;QA&1^6HYl+J_r7
zrgVv^U;U8t-TXuH$My9g|9<9wC_k_?BKY#Wl}9;~g*ST`ugX_^X3#dn$f)tOg#YJ@
zTn{q}UrHV8;C^hhojF;3RodjD)0Z419bYNwwV&3gK3j7{$s|c|`pm>BI}FU`p4K>Q
z%zjF1S>Ks|MyK5Dl0q$#?1bt#r|d8=dTkweFKf#8z2A234ZCL0n(}LU;kD0!s}BZ#
zp7_K2!I9R9H}5wZud+x>lMQyC#vu5#R`KMuiyfi0D*uEu3KLVll`lGzwoEpa86+#2
zs(8}uLB~&zKdJ$b4xM=O-a+zJq$HQd>={;@SWakgb8h2wKjVH$zfnlsEv=6IRIu--
zxpSXrs`Z`ur*tw=SAIjVMS@*X9p|JC8>D9bkX8A5NPS8*OZp5uqyOCo?-$pcIrm`3
z&lA5?6CNEpapir}Ow|a%w2so6tQ1axOg?TC=N+4aK2QAV{Xk{P#Gm&M8uM(vx${+T
zbZ64p`BIUbE{mFz?mSTQKI_h^;VcsFc8yW|;xZ*E&g=yOum4{;nWb=<hug6Ew@#_N
z>H;3$7|BSE7?aX=TbZv<e|Bzde3jxU(D|*au=%!bseI}Jp1>H%NbVSy?3;f|1dduc
zmTuDMaM^uy$3fZYyZVg++BDYntYP(Abl28pV_U#n9WJAbhuTzIr1g2_mxA?%^KUTR
zai*{L;L@}l9;3@_bIZEsnwg(Cqv^ldL*1`>zTe8jW^%lGnO(*?337pUzVaW0@3Kud
zUdVZjQ#p7U^U`~z9pBkRd~+^ed?2*U<MX{e<_ntH*1wq@v#%xd(V-nBFBh$RJ>SA`
zqpbU6?=4EF;tG=vo&KdZeFi8w7|pg02#d88yPajSlS^Td%#3tJtE3NA`(7%(pYGVn
z{dm%T=B0(NFV0HYSnD=<HItFwXB!uH-ncX7wkrROED{r!$9yY)w8iYzzb|dVw^Qa6
zr8*qTd}mm4<-ycHE8CyMv#IO#tY+YwGjZPBq(w*l=KAk6QdlH2>pOeNkq3wG2J@fX
z&*K#%5zaVABi2;dy43FJsfM0S5ylTea-Cqg>3?N4oNGmo)YUsIJkmG!{0<?7MSJws
zB7T&7KjVB!zgbB9SSl#>h<m;{^RwqPDD4;~e7N{-X8WA@HWj@d?>~H#e%W}daLQTC
zFT5G=Qkg$5{bk3)<Ga%3Ce=w!YcbOMYMsz_c1^h6#UsD$(qk9}GQa7VJpAVs@o~Zr
z?}sW&3V+@|8f?z9=+L&5>6+IVxU^$51s0Zd|MvNlV!ozg1>@2MLYH;~J!n|<e8rr?
zjd}qQ54oC76lcs_Qm|WfO@#)_(h8wVJBl7Wki53p^Hs+7<h2Vn9J<OnG4uSMZBtqK
z-tBA=<$t<BBsfMYv#r$VEZ53r&1?Kzp2t_8*pi*dWgh2rNx9otbxENrqfCp>yT16o
ze-A^Bytyaw)uA<}ZhF3UjR{xT`$eLCRhQXC_@>`q_?l~tiP8T232jHk`NBLNe_3PA
z)y*%nW<y+q)=m-qWLy63gS%(=FaLRSSGdIVr-hq(EUp>sp1aO<TU%j3(`}~IqMi2`
zufH%(dzfuoJ9p8=$CGUPrd>RiTJ5fM@8m(&MZPB7)f+EKMOyfqC<V#BUd-AUbm;_>
z;`u9QO*_8I+;!cR#<eU#zwTD-vgxkNLMD9<lsXl#^vJ}giHA*h?zY{(>%``_FU;Ik
zqjR_CPg?T1P$aE*yFu<jmp4b#cX#g)7Lydd*|+uPp$^I1n5hix4=>qTa7^EF(D8&!
z%_SbO%ki7H-;k3NU+@3;{evCtYB!t?zIo9c_WPV_r(@y1;Oygff>xb)_+7_Y-|wpJ
z=Dw+A2~k@w)OfF)#^%PP7Iba97SrCdmQzEne5l(kzCBBFL5qoA_pSyrqXn0^)jgTG
zo)~TL*)rMq!RieU&z9-;T{Uvy%*<Idz4O(+jS~uj17sq3Q)XHEcXLVJ%liH(OxJnx
z1h;EU?7p>6eHPqgJ38^I!;+sHC5-l*e0OwhRGXS&uMP{}tXsUw7i`#%PF(7+q;hic
z!_^ZWzAe@FyJ}>@nVBM3a3SYJvG5zI4bws=rm25to5&?u^V;akqacvk$3z(m1pdFY
zxw2pKcj&Aw+^as%J)ht6>dyx*CCj#(BH~A%78D2=iSMpc|E0C$Z@JL@r0dTQwe>H_
z$=Q77iP1d4>dS01`zFS7uk1N<)Nj_KHU0S;Ul%LBY?_*RPbA}!bnqoM?#n7uex85$
z>%@V$Z;zut+>1F}&8OeObAR$Ay$A`lg*~UA>CH&IS}JO^k5&KN#IOj-7i(`a1STHd
zzI2JW7@L=d^6CcW6?GobZvu{Of3Vc@694N5nR%N-u6*d#%<O2b6gqXn>cIoaSA0uD
ztPj2}S|WSR@p4Ya${7!(gD>%OUp_K*V_Sr{ulw60JW~`i+omR#ow>{`YE%>NwJR}k
zMvKuVjvWcF@~%x=$|<}#plyk4SX*Uq1820T^Cf=n!zxn>MHysTyq`T#i1A`$R}6mR
z`TBLyPo}!fIx4<PZqH2Hsr_r)iq6Xg)$t|UAKsAdt9n~k&EWO4Xobb=Nf#pS{W)JW
zZBN}>->dfg{|<QOYZ`s#6DUv6+R6}T|8kbCd-_h^J5qO=)Hg1<9^$tm_w%GleR5LU
zl$Qj%iB0#OYf>&JzU8t^lhn=-okKSKy}3)fZVB{CP2cV~ZG)E8#q|+f;+*AsB3|At
zF!1_SzBsIG_Jt+48n3u5c^NWqaq}UWw+W0r5t}tnh)z2?wRyW`RKy;)uU~U7Ji2ov
zuh4zT>5yeNxV3i{e7#Wc)8pT7%|n|`FewDz`8(qw+j36f-JgUeiUmtPt7S~<a_c?)
zSHmYlES%wh<Bpm>UZ-C+2OUowsk&5KSIMDw)!t|>`}G^WH#<y{tfrgY<eg@*_Rg&^
zv+IUsvqUG>`Bd)P>T~>7|7!_*rk)69b)%;qsqM=yC|$f-d(gsGxFg9uYNELC@||I(
zv)5?leK1To^2<DMQHzmY|E`X8(a#F6Y!N<sZi|xsv$L^_GBfPLmOo;f&+4`&TUgag
zBRP0)cgD7bJ&S{_1K)&be|mJ&WHvMFq7R7)M|PQl4A~@i!bLl}uX0MnW1CNpuHA91
z4Afch!7|~9lxg6U7Nbo9Cp66OFX}mL{QJp~c?vyyEKJWX%SkLcl-?+qebJ@!(WwaQ
zW!*1ct^92v!lm&|B=O178JS`MpIb{r&3`x;DCy2L2y~K+<e1}f{}+qdq6d>(1Ya^u
zow%*r<6-i_j;+0mJUCNh92wXj7Fk<xOrLkq@kCB`8MlFT+L2p^vX}Fg7s@UFzAHV>
zV&|T`MqOt8e?G-WC*Mxk*3oO3efG#QxutI|<V3yM)%MG#sy6%5rLw(wjke7CY-eMa
z#D1>vX6L&<bMrkP5zU1?r<dt1v5x=VsofhW<$CnG(Yok_=Lfn&FTYtf`+8N#mk)m}
zUte<BDRk<_mi^Cv6kWCT_!a&-__R&fhS~>5H)kg4`h?d^K6lGBa7~NRCbJW*q4VSN
zezUWAfuuL=?EbX4l4UJ(TmGfU^=e&{0^F{()Ea+zn|R^g^Lu5}q*4x=HHm*-R;d%K
z(4BwH^S+wTv;em-)^OigTRpMxLlf)LPED&k=+{(!{`rAFS@XJN_Ql$_eViQPHjRb-
z^4HUSg-?CfPJFexJy>V5=v(y-VnKp$HtYyGRQ{G%{^~-ONGHi~#RHBzQuz4}J<Ti-
zFnT-dFUuRQtdb31H*I+H(xvKgX8pR4R*b(nc<=B0vN-eYF29bmzXJD6pR<X%cxCIe
zUw?VDeKs^dxLbbn+}xQeN6h|e?DO`MoNgCuU@m`r^CgzwZ1-ZPUvHi9aQ5fLJM=75
zbIQsu)L83p+!J3rw?UTq{jt-pV@{hXZhPu(7#DPk&nzygVV%8D&fIwkmk;dz{3$ta
z?JNQ7&x<YeEmKn@3ohKLv34+jwDz-bj#$O^_-(~|n_6y6ls{T`Qd8NxvH8Wb<|WsD
za+Yy=JYMGWdDn(bN54N>a#47hr-t(DhUT=2XAwLL_cC7d*Lt)^!^f|N+0V*7aZZcT
zI)R4f0!vw$meZ#mD5Oj?jb>hO_F2IBrKzV<*=M}Y&yLhe;woeJIKI^8rCzc2wJA56
zCol6ZxD<HmUxUo8Gv{2^SehKY#T@$H@AT<AU8YCPA6`wa(Dh7>;VQixWBWLHY3Ek<
zRrh44i?h!9pth%q=e+95p0gi}&VHSmZtc6qJm72I&OI7Oc<wcZ_b2XBnL5$*fMfCZ
z%~Q5r0_j_l8O&BS^LE{mA8LENX4xbzXffKvW6|IyTKRio(%MF?*NUQ<aUx<)AK3Q1
zI%*cxq_(g}hna8I?s%qczW!^h15$OD7+pB@rRDpBQ2limg&a6jQ&bBW@BaO_t5@TO
z;)+djL4q$U6$?JtfwePfuIt&-*VJ5)DJ#?BeeQum$|h557W?CxW%UbQ-7md)F?VM{
zuKS8x_X00#9S$_F_&)!Y{?+(~uG;0-Eb@<C`Ssy#<yVV3pRhZPlGhGJ?%yu=>hr{|
zua8efWo%g}b8t#+`fZE)zn0u8`*Q93+$W2CXTM=m+UybB=W^mI?-MPqo4eCDPu*`f
z`>5dkv+~Eco$N~XZsm#ee|R;fuKI^j|ITd(W10;on;vVleE#^1QfL0>{^X}AM<+f#
z(ij=P`fk{>x85eLr)qYuJhOd4(xzh_a~|)>G~ia<_gnq#5}tG?naBW{IbX|j!=~Q+
zb?3q=qxG8Z8mZR~zFLsueXAn;KzI69nN%m4$UvDXrJL<npHX`9imgbr&F7kgV3GEJ
zJ2x@+J;4u-9*yENQtVr!&Ud1UYrXd74w#$Vj>tr78{f12kd&p{K2zZMRN(@Fbvg;n
z6V!qW1dR0O--$os_{nItulnifmKJI=^Pbi{+P3UkUhZF)rKMMxt-F@!hs>*acK-MG
z_~UzDGGEkIn7i=8oySKfT863ZUb&c8Qm1VFQm<Q1t{ZfVCHM5n-jh@4UC0yRBr`o!
zHo^S-3ad1oilePt+PV^iH>+>$lf5UTa2G5;^MC4w+vk$cRvB9>bH|k{?p>&K^M+OG
zM?Htb&b=C*(*%x-a<SjMI{k*|O%IjZOsjP=4Mb0FJJZ|475Dn(>nSTzmS~E)o?i7h
ztF`&<uibB^{T7l8+i+~sv2elcq~l%JH@3A)1&V2}>-)OzRK!>76H}+U$IsfBFjX~n
zgVL=lePZiVmM~6p@?P=StCjifFXcU#dRV=6Ubau&IBRX2?}HCUZdQq!Yg(+<`B-dN
zw~v42wWCSBdl|BIGW$d?s-?L{u)a^Sn=2$QwSLmFh$*_d{n}!DJAU1|{7k#->)T`M
z=f!olEeQ{MA}#*Sb~VGJuRU8%7S(W8#vCp9a8>NsRj$Z8SK}JKPWy7Mt0el+o1@-R
znKPfh5c;&^(8C40fAgODWoG;QXH)C+l1p7R$&LDPw>^Y)k58X)T$KNK;khi=t(M16
zHt&7Y{A<T6#irbu?;~UN_MKNtIN>3$>ojH3(W#v5C+}MQE;E_VT*c3%n!A;6!Lh9$
ztJR9+x(>@Nn4+`JsG+&wC#X<4_dp?Lk*(#<$~kA^o9a%<9od){<=%Ve?}yc?tXKRy
z`%S_l^VeE@y>;wNw2i=hhjo>OSKiI6Sz=@~-)h6VIKS*$W_nyXO_2|>*BlR9A|=gQ
z^l?H%e94;1jaT2fcAb87Y31bSeY^`Yqfcqg`};(B#pKf`j4p)ntq5vq>pOj4A<#*D
z_NUY(uk-KSlxnIfy0>8S<TboXXH@L1=gVJM@Kb}iI`Pr2i1f9>%YJ-mZkl<Fc@29+
zvhFq`-^-tNRCpXeZFnzvb*16;cZpRER+|)doOrd{OK(%e_w~na<+^Ul{jAwh`sTsA
zsI%Rtx2Z%r$wo@dN%?*za?R)P<l5~@H;c6+cWe&(oU~2Xb6S9V7^iq-t<}3bS2T7-
z++Xh&Rgk)CrK$4a+O1NVx0k*UdbOj9k?+vb+5!Q+!sg})o<CIP`7HCl{PXnZ63e5z
zxJ<8Qy?*ihZA|ipn9co@&%JoIs-FGSm$`Almsi=$v&js6@%}f*&Z!|~32|E!?#fJ`
z8+BJ$^4jiyqH2>%Z+1mQFFWtc?%&DO{QRg-G?Vti9`6-;Ykt)|P>89QIe%{J{E`FD
zoTi>WX>|R{mpQ9nJiJ|@|Lo@92+qWud*y*=s~YrHdCG6LxEdk7mcvxj>Fwf$p996c
z3|dPkPCeLI^4BX&X7AjpXB}~O7yS;rX8776Yfi@M3$bUXDN5Mr@4N9gKr%HYwIJc@
zdzKY5XXS>ctb33*<?D&AnA@!@S1l4>c=2<fs8?a@)WW5VGA-VpA1K63Vq;g_{O)AJ
zuQhHG`uoo<cdWOHZb)BXdP)D)oO<iGOsD@y-zoX}i+##V>$US{XO{l_v&y7#f8nKt
zTxae4ZpcpvDWA7y@`7cC8kfuc_G&o!CYzMGd#s#eptsm+;X3{3+wvzb`GhWH2`$o$
zOq(_9x4)=WXzzs!g_<&{UL47n_cg!VwKAbT&ehmAU`a}+z(h6HB_|np=k!-?n6I&>
z+hliBbHPh^1!23hdY-MF&AH{1pFTdF^G75l{9xSvJ!h6JXkeb4^>K^Js{OK!Z_n+S
zEqLd%;@yRxj_fwuCUd@j@{^b5U)bwfLQZj78%!1REK}M$Ve9@ywTt`r9C*t2l51*V
z+_S=0&Z;Ik?jB3#7+vGlV!peP`&mL+)nBt6%8I=UjLv?x@m0<G)xy?$`j&>Dm(=vv
z2OW3R@bMjbnpz-Wba7sZ{e6~?*V~UzSE#G2t@`s+(Dr}|@8or^FHgnokL;JayCcym
zsLQc+pK)f+Ebn9I*Lw;7`fcZ=wzOp;o2U5stL?K@=hc2#r}}d8l)`1pwx+Dvemmnr
z-vkfM*yAS_E!iq4({g%|y87X|*Rkv6Ll-;b?pXdg{+MvVMgJ;3S?%t(-dA{6=hjMm
zXIp&m{L2?yHVP`X9iPs4^vo^KzxccD-@lqA_iR5mO>|X@XAk<j;^{L9&!k(yKJVKS
z>|3`eo(i}ubA?gL_F7|y<I&WKmN!^SpNqsd&EhdgPwCyFXw~pcX}XSh#)}<1d_t-v
z8$ILQR@wJ$`6aUL>&3>aHmwsUsXDpbGAk?BI{a|q=RodnN~$G=qKq;vr{7;tD7|!v
zgU{(%)ZRCodh0Je(7*fd_lxxp7SubaT|Mu3;{JwL-;{SejQpPDU{a;!mKrka!M>!q
zrSeLb`-I(=1sO*i_DjFPJMHuO%ZFJfT3-IDe0!h4zi%-C|H9eKlU}d+9q?!7)}FV^
zgj%;-zmDT+|6QNnW2E<e+TVqOT^`33EjXsHIOw?J2oImrva6H-`Py^dI<0^CXU;C3
zUDN-w_IWQmm-~2Spby9H^50UeH5cS!`tlB(KXY}j#n&F+Z!<p%|Nr#&8Dovpl5@X4
zFUWD=R4!iL{qkL{VdqQHwEUIThA$;`A1PfqE^bm`XOt<qYJ<_WzUOQ1zMePZ->xl7
z%d*$){m1aS<LcfUpL=f2?T^dfIA`I-$D1rpO$|J@tgdp`yIB|CY&L!Q^>TH9dZd=i
zn#{UgYQ+V~(dlQK6+)dPB4uO(dSA~iTeWxo?Y(juQ*^|XuDY!KQ&jvYy4ueD@$<!I
zZ`i#(m9<;A*VgS>@oLV7z4L?LIQH%;pPiUB>F>m&{Xf{e|4M`%X1+3U>Y=0M%LSD`
zAI<UksugMOvahr9(7sNEKSi#8CFX$?m>xb_{#?fEf%T7_yn2iGSo25!tg`-b%}reU
z_y)O2r%rS|Jhba`(1H$8ztbr{1vVZ#y2WOJ(0xOh4)ztw-EH0P*TqLX;a-;dUhl|_
zKYj<T8Y=Etw+Vh^C`~zA@HVepD)7>?ZMA&!8z%I*Nfu<yv3~XT*ya4Mr_N6Q@9g|y
zal#wMng**)MHbKBpVQ|1_OrUQyG%b~`_hi>sb}Iv53H8Fqx|8~+B^Nz0^Fo@4m$3*
z!_Rj}HLpOxh;x3;FRMC^Uw2nO_>;Nk2NPdaLZ0utyz}ezdR|UnvBh%Lnq_kgU)pK*
ze%QUH^!>CW+csYN`!Vz5uN5u}U0+HpbBVg$`11;r>FJd>w?5nwbW+Yts@3$Y#d-6;
zEP7RYe+%0`+sD4HdB(Pm)Tf3qU3;u9t==JCbWPq*`=Q3(U80iB-0_z_y-3PgS93k^
z$n|MU{3j*(tVs55nq3$YY1ntIMz>+(_t00on|eALte2gv5O(_Ecy^b#c$(*d7OPEN
zZ<zO-yAmpC@a;kR+^^|f4f$^x9-5oJj;ooe$|(Hmgw!VX4f_I2J2t6*xV-ye!jv!X
z-PZDy%`^G6DsrxAUe^-qXQwAwP4TQ}J9e=<zL-BXNHW~<fa4A)2EIe8g#`je^7B<L
zFJHdi|8eDoZo~bFbH63!-QwQ*=+EuFUp9Gd+-<BMDE0C8<~7B^b1IoXPuz6xM`Wf`
z{XKV&^>a2b8?SE7T5|r;s>Kmk&zjh5zH+W7d(ORP&8b@ptPVVTyxe7B=jrbJV*5xp
z$>~9{8R7BAy|qm%cP(l!STa3$*)2nJ)2gro?y*b03%7c4B!B+bwsL9b`u)sH<QaN%
z@>SO^_;zc7&!(`Bfc0lf*Y(A&?>%<TJyC;ERNPIBUHnQ_*@ji&+k~!IS1g;f)TyN^
zvZ0FIpF`j7(%%5i#FW?xoAXm=m*|?<FE)vcU+J+qJuWZM@pljVS$D%5{*wjV#8{4t
z#M-a9@;X{R?%aa1D2<R!HXQ-$xwG&4are#bPud`_rP!mx!M7sO`1Zvkfw3)CEej_-
zJ;-P(zgtnxTK;H)eMm$^^OyBjpVn1+dq#Y(>s!A;TtI3Kd)N^xjVYJUKX(6Mc#bW5
z66;Q*Q#)=k@D*ju)BjiX#mlF@eb*&{dYc!S@rV20Ue&nwZ2IE7W#`WnMm2BUuVgPD
zcW2?xeH`VRE4f4;W^nH~A#VLLyW-=)SvwEzsocY*STp6?hoolR<Kp7(R!Oln=JCf@
z%=u^9yDhd~DgMoZ^^Ui)QujH({?qDzNotAc^oQOZkF^@Q-@m#$Wy__KCuwhb)*qCr
zbKPcJymK3$d`-u-4@n<&k53bD7vp2UeKyKw&8j;(-xgl>Hx1B?bamNx_>OK*C`Wb$
zN3BS2Q4MEd%EN+>clXBVox5kXZ1Puz?vm=A)gh}Hu03R^`uJzsV($*+({0V`=Iwc?
z!FzvKoa;8;0M$r)mu<|i&*VOM_&Lz%)JD}K6H^Z#HQOCJFKnCV%|qKbSE;;iKd~)6
z_4bj&&lkr`N%rng_HJ9uEcSBEnsOK3jm*>PtXNYg9d&N0&TFmaI)1*Jd527llT4)H
zoPz7O?=EA0C#f-cjjYlgZtXk9Hg$HVTrGFBSZqo;QLtI{>dRfVv3Eb{KH@zXC;FPL
z?8DZzE1dSoKRNn8n$KWypN>r5uH99Qc5AQ5mYTCfhpy3%I8vQFcc0=tzE6*s^*Y7F
zk5@IyR$S+2UAAzt{*6^hu?P9~U3=NRy?M`%2JcU*S0-LPd@y`}qR_fL?e=ZfFQpG9
z9PTn@>u%ZoO|r+lb&o`&w~*SEiBAu^R%|uAw7u*JC(pOl5z!kuzF)Iz{5WrdxN?E#
zo))W3H5MPF%CaO4ZfsKGJ!JG?ovgt<F{Rj}A_-2i;r0g{`E;3EORLl`ou3lw{(S!J
zTWgeZ4kr~%J!duJsQks!jT}e#iyvLN)?K{wNPkC2d4{0ICtm-}uhll%<xhFh)LnAz
zl+LBSI?Fg@?Q1089Niu>NpIo13sMOScUN`l^&R?}UGlBAj7RTsr2OXQ4TYccg`H~@
z-yGc<Gs$3KpEi@;q3r*sf6g_wZ|?rW7U}M?@A@~%9{px%J1uw5eJxg-sw{p;<@0(~
z9CWpMognrxZdQEbeHMXuw(5;K(~o$sP(IzxoWCT$`c?JKg+Agj3(Zd$DP8)T;eYOj
z)QxW6ohq?TvXNFYCC;_qR)~jx`WV6^r#<;vs(5VnpAU<pFTMA<sCs2$>*1{j@BKAu
z+HkP%;N;Y6S-Ow*ZuO7*^JQ9Tig5*JY0ATbhgZ+9Td((MzwpPV^wV*3Ztkvl|8}al
z{r=RoH+kY>WM3aEdvJG-UihoUA-Vl6Y~?)j^s5as-ZRNPyB8DLE?|E+mG>4;f|G2d
z&76kt>ib^4jQ8T+Xfe3?&)B<T>sielRUes0o9c4e43+!WDCiygB@kqsr*-U+W@wL`
zakXLo>>h6OXPXrs`6^x8=WD3;WMb-(gAw<IE<QhVd-{2unuGtQipy`W>`0t{xT3qG
zv?#cSvob}r@Wb7b1)>j3tfoFUxN-Q?qjzT78%tNZ&Uw1&UtX7?V!w{E-m%^Cy9Lt?
zZyfuS^!1+Voz3s3c07)`_QqFG?a4&fBaRXNNpqKO*UsdQ-!Sp%(XYAhTHi)Wy%RN!
zyl;MV`UH0|LH78^vo(|w*UY^7<<WmL&fCQ%cl5I+u@&?gDE8|p@*P^e{>;*;m%j!s
z3~Ark7j}7f^`B$+Ht;-L7Wg^hUtySBq>D_CdHA}}S$>Px@@_pLk)3V2*ZRc!x6fM@
z?^tHZop$y+^_w~7$!zEL4Q5`e`}VP4yP5TmQLo54c}L|Hn`!-Xrn*lPVt@R#*ssu4
zHRo-ukc4|g{_2-^eeF)vp2?Cu!jUIu+W%BN{prM|M-Eo}HN9^#<^KL2<ux0xe#ukc
zzfpgO(vIRQHq#c)nd*K`kp02etEYAdX`U#0tbAxzwD0?6pBC006g_x%@w1INPuJM2
z>uYE(xccDye}2@ZFu||8n>C#n7>+$<VBkktzEPZ!SdjX*rhHE5)vIRD=Sf=Jnb~-D
zsqXI^ol|!PH;5?nD7Yl>9L<<?lBF<2$&oq3<mBvzjztY6qScNr4mlmXqN3IAj2jz+
zSOOiU<o&$1`LylxH#4i>?abeI|NC|GQ)i;i$L;>U_nK9`cIKs&+r}${&q-P3y!de1
z>-W|FdrJ<mHtVm`e`{B9<v}r9{~G&+TYfF-)|WT@@`u}P>N4wTp;O9a&DMl$QucPg
z(!WN&F!S$Db=gN|nU|hq{JZ|-h||B&FaxHN$!f7;eqk=xr<-Xkntu7p?Y&=L-gRZK
zwx21lesxpz^~TuOyS~4#P3x~Ky<0UW?2)Ob>HGUz^Y2dJX5*LDvr!MV@z~Vt&L>%u
zSKB$=!}Pt~10K;kmx_a3_h#?9`gPsQdyD<AZ;q~DUAg3m>g`L~R}L~)eR+Q`*};2#
z=BgEL7iE@4XRnW3mD(0wA6*@{YU#4tH9Pf}|KIj)lFsr?rbU|THLg0PzI8szBe~Je
zbotkHc~7S<@#>l45>>7HXG_U1&Go6PTqUyJb~+S>Mx4q%nzSrK%F9qi*eq!6o@*<G
zcr~9mtoL0NTY8=GYV7XsFV@Z07QSL8kkY01f6KR9g^uT6oHE?S`|4)xflTJ=xuI{i
z`d12B=PDRa`Sc?{mY4aEwL<6`=c>PD0u9W;M`ukFTDzxthi1u3%cJ*9Rqq{K`Rs+!
z$*rIG9u~y1baK28@H1wSnWn|(wdI3X@JXMqySIMfJ1%iy>x!4x4p}!fGryTwb>xJE
z=6j8j@a?<qe_!?Ta;(?H@{(1(TyO7gPFGm4wY<kZ$l~?BzpJaaeCZ2S_WP{4-Z{-B
zW5w3*#&_G6^Zu#rFY*0)U|nrg_3@=qzt-f;7J6T?g@xt3#D$f;<xXBdp1zs4?q%6G
z$+vrjgV#DMdp>--XL8WW2?gip@yI`zJ0~l<`}BlwamPcl_otPz<-eY~G^(|5X3eb0
z{Hg)ReJ6i9wR7Fy9kYr`Lipd@Up_Z{qF3qj4F-2Et)8;>%67ljtzTBD*gJea^!mu$
zoI}}cydrVwoEnU5v#Mi*T6uV0-Msg^a+PUEV`=SfK8YKP_xmlL`@K8F>ml>665Gw~
zZPAtcp9n5x&v)lJ6LxQX%@QBQ<x9MN<jXGd-Whgp{b7&D?DrvGBG&JE6&L&a>+6fl
z`+l$7dj0DB`MVZqu8&SLO4AC~)egSC_WJ?G+N$vH0&(9%HYOTq+^(<<Qeu9Qp}S|E
zO+oc{>tL~@1nu`*3S28Dedh{qnRrj*+s%Vrf7E&kT>ac#&+&ylVOa0KDp%mN2lx8?
zRXf7V>#MeY__cHr^EtT-+n32FZTpZFbTW>4SJ`uilk*t2Rfiw`cWr~j^|gEdo!FHh
z`(Ms>_3t@)u2I#@PBWt1*^+KPxM;9qwNCs-^N#5<J^6a!8_Sm^1)P|6?|p^J%S%PV
zTfUt05;aWK3V1SiZq~Kk%igUquKJkv@ps6kdjI`v>KR^7|JvW?Dmf`Gca^5PiuhXl
z*64ld+Uxc1eCqil`Sy<SjZe*oIkx|?e0X=g`~G)l_bRSDWY^60?aj?Q*5N^ae{rq1
z3)NY<i;?;F_jS*_=1$jI_3qaG{cjfB`#Y=p`EsuFt6s*}mKDEm3Q4^0nEv(6ojcO2
zR`{LWyI*6KxAFT!Q>+)%&sWNiSQS}1-*JZSq2H^0WTJDn+nL6)n|*Fu9&<0DdvAA;
z+~U;G^r^fW-}KHI-1${+>@&;RO=4No%!zF;&z#XXIc0e&)ASSxzXw5w6&m+TvvJ0x
z%{a$A>E6?o%O<^ye)HG)@tm@a-~CU9gq~>mKQ+<f;eSJ)S&RQiyRBdKBKpZ+^{YM;
z=SEj7maROX;a&dhhwdIj#%a}mxsEQ=4ty_m?*_x^h2dJ;Ht|f=`gqK8PJC}y^rP6N
z7Mj|7R?iKYIO{;|?y&FAdvo_R7p~f2cF(ltOQ5qOZ?;<Zp6t0H!KO2V?y($~58k^$
zNA`tpNyXo5#p~_$Ic;CDeS5Tj`B$a<NrzW2xY_;dO33`a*uBf5t>0UzU-e2AZs7l_
zX1$U*VvqUrI|gCJ`CXH>UYvK(&exJZu&Z$D>n{zSRhQ?4B%0gFe#w68TOOpli_c<r
zeCdC=<tv3Fi}TssZ5Qt<?-#r0b2Z|Q^j!8o?-<@!sb5|8tf#Sb@9)AsW=nOsveZ)B
zYOeQed0JOrr-t7BU7q*)?u#WZ*YDZBI`<;@`u26NBlofwaBu&b_VWcpGuL~yzB8Iv
zUkZCizfWVgPTkSiwKsZB$Y#gCe(%FS@2=x4ipdw8@3#Ej)|B$VL)nwRxvj5$y|YC_
zu-`Ii$&Z~9Z#!7~EF$;3>i8{dcv<jk(sbo%)=G+L)=7(;eP>lYUT)WXLf3YEXNHrn
z*KCPHhAxK$)+xydKao&b$Z_0-T`|?+#0!NjJz{<m4_iD98W)6}oc3zQ+@Fa@CffUc
z(O2M2EmGoKfAZtK7r7Y=wmtH4ztR<7Y#pQVPxV(<<D$I+NsE=My57q^>=b6!4W8qF
zI%B4TaOTX8ZI?EtoZjLg#(w(DnpL}3RUE#+G41v2Z`11j+*Rf*WO!wL{^0w+eKQ_*
z)OWe|?YJ)3-l5uO(aL_N#9gQCh(7bxb60eOm#VRzbeZf{e{zjmebX}An4G62N7CGR
z1ixD@+WmudlU4Yh2OZW={>xjQ_`LMNjmx^ic{f^4?J+nhZtVV#?JTdLi^XH%JxgCt
z{J4IH@cz;xosV?g=jiu28|pos_xAYI`ENRsk0=;wOh|qGWA3dDhd)W(iIQj$VOyBG
zWkYt0qer4Z(2Z7~+bORT_P>&MYo6@%mnn<eY~m)fD=N~f+Rk3Goy>hS^O3eYkJx<6
zq~*$XB^CQ(R4zU8cCXQ6zZl_eBEDJXW6I*%m;BPMBCqzH`8C_v?qu{Y$zPky`)Bd?
zd2~u`yeqigVo~RfM;&F4Yzo(C2t5|~RA+FxENq$J-kF-`|2OAdt!%t-chz)efhad_
z@rDH{*8Am~>}3+JHC)hVxv}Y$`hSMJf0OrK%ntqhe){gCo{!4h*BmH$Ryq60(V|((
ze&Xw1==+JTXT80jCG^DRzyHg<m-MMtFG<~`62kl}ReR>n3mz3J=XC>~>Bcs*7O&WG
zP_X{d-G=QaW&374>aZ+gn{%pnL%_N@OC|mtXS=n)^^aLb#Qy(9FE;jgY~u)B#>u?!
zBGZCK7d{8Q^^3)mb$3kI-ubwK)uL7W5>wtR*BO6OZ<t4Qn{V*S;o$3FT5UMzfYySJ
zWlMc$sc}#6ydtmXu++TDnC&Tx`}RLx?lF%$?)Fu%thSw=Jo9jB)t!g2b6MAwA5uNJ
zI9lmgeB57Qn{y@^L3ed$)@9y!v6C@xYTvy}`4_c<WeXMLKg=sUlI30^wAe*dsw+I_
z-3<9BT7RwI?ONr~EHl}^`A`0{ESKn)EX<c9)H#&0tIcHI&s-X&E|F0@SAj!!a%5j=
zQ}5eNRtIgS=jiHOf5&$+*{OEQ*LK#gN=uJ9m@ze#-8okO?>+ab&v(Kl5^C}vm=?^i
zh^u+nac+VAb&mPd*gq5<cy75bPKWE<&NlV5>XfIZSxRm$N~b$5jdx46xoyZ|{=sls
zR$sj)X|MmhE`9OUGKEttxQ{=#HaOjN#5v+ypEy5zcI}pxkN?m2S3CGW$xe3mlv6Y2
z*y~&`cxR%bknW!Q<@x_@bF8k`wXFOiv`x+KU|iqDtFC{1|3pq$@$CPv?$t7Pto5I%
zS{p3jb4z}*GwAg;o}4YMYGDgILuZ^9INjq8^5+_1mWjuaI1lA!+1$uG^?;xKcC3s_
z(eZ5yANcnrTwOYUk$3#@?t@<=c>bwWb#lqL?#Q?9GM9aKWm$y%Ibl=5?aDW8`kadH
zl~`9TE?2m0KJl<syZLYDqvf9(>||#D)BoXbdH?*6dFPLRE4|2F^lqX^dgi4=50fho
zo0?rP{x(Va>?*H(m!<cMkDPbqoVR{nQN^u28vIY|pIawZ@0(e2Fztx>QTgVn=VG`v
zU)!44TIAodhpqCCX^`;D)_~((#hPd4y#LDcV5y!~8}G{bYZzBC&pY0C;`YA`cN^V!
zk@eHlKOR0hw<6}x^cBy0C%k9cx=1q0{55w_;yUpqOIH_ufAl+S;SY)Y;NwNpuO~aj
zDwv%VUVCbm`*-FD{r|5S*QF}8HH!6_9|+Yq;pt7-d27#Rv#()is->>Jh=0+0&u)8e
zaQ-#rn6*o`e>vRy`6J&mgP+&5)MP!^{hH<3H~qzf5AV}Fm&OOySAR=cxy{M$L4j>v
zft7{q+;gnU)v5}!d*^M=4?g7ockg!NmG--IC;w8K!0BnnbZP37q7z~spJFGpPO4!~
z3HIE_yJ@D%eU_J=Mfc8f3oY8IQojFeO3+nSCf8+5swWqSb#z~JJCPox_b-0OmrkFT
z&)dBI-#UGM_9cBcy}r8&Myfv<x)KGl+F2J)dG_}Fmcum@E^3Ol1?{q*a*4@)>F?s@
zS4^f{Iuzi={dbDoiMc_W1HAZKf~u18)s|{+d+u|Rd+C<~jit}0>l$d*er?GrRC~V2
z_-e&Zp%qg4i~oMy#JECA|M8!XMN94D)_pjBT4F-ho*Xw5)3|*Mkt!#96}nY-%B6T7
z(U_EP8MC~2r(fPOziy|}sQ2d^r!RXd<EpywTBMBEOGTG(P1`xvOQ$WIGLK{FmTUT#
zmn(!?*T32R`EjuE+dUFXvYxv;tSXCR2-^C&ZMoQtvUzMFTR(?PST%QfL&#ej$t79O
zJrzQ&{oRkLYDt|Co3uxaNmq5JU?hj<J+>`VRq|P9PI{uN5bgabZ9=X}rSOSuljg8)
znyZq}a5HYw>WR{xMZ%jb9438MC|IEKp1E>@%JU|hh)Lg@Y+NQ4JIHCBtagx7J9$&V
zTeXt?#9`H)l94isCx1HhPkB<cz}=PC=#KbKXZ;6!x@SsWOkT*Bn<CjSA+^@oIoVTV
zY5B%4tEWtB?(tihtFd&sv!?A!eeU@SDlTen<zD*5M`P*Kx|zrBeOobEdFi)>Q{p}Z
zXPx_8^L&4)Q}+FnkxQDLId!>Jw|J@^xstYQ(Pc}ODd$cvEcupl>E>+Xxt9X0*0)^x
zX&1cl>rU;m3XO%^opb-*%)5DwQ!W0#!?Gu4U;7@VE_6`6VRv&!T5OtvX~~>}_pNu#
zaTK0=aQ8x<xbN1*XN5vTdITn9z5U6tB&s^^hROXkw#JUDwx(NoZ2jiLy7Jn*@QLf9
zs+Tc@W}h!rxO(fe6w9MIoO&+>Y=m|Ar~b37Z;x8GoPDzXi_b!n&o_rGoxj^E_?K_L
zuEEm#CYha@e?NUUUV6W6t=rUpb}qq7>-joYUh1E};mDdf;msl1&+AScySdP<rEJA_
zr_x=&x_>S^9e<|e=fujg%GedB@AIc_ICekJ?&J2^>KoWrxmEKl$=c$o5W3iwC&=_x
z%$xF67uPYblFC)`xSDbKPi`%j$JGq`#*p4J<&@CHwJ-9`zboDq6MU=wR(3~~c>Aq<
z*9w<><G1EjtVI*wmY2+XTOPUMZ&;h!_nz3ai8J<j>k0YzCVQFQ6TjgQ!x?uxp>c_t
zVW`H+P9M{$S6G&X9Ioxun8&i*;6_Z)<e5Qdx3M0aXw0g=J?6#2g<+ARSyMe{RIa+b
z-;sHx-+YdcslTHpth&7Z+w-4gCsz5zceXl|{^FXj>W%*I&o%PPCcXM%Zm1vna=Rky
z)tBoXwppxF3UDuSb!%Pr=T*S#`|G0SPp%G_ziUPf!;)3UohGdMn;-o4`~#bYRZ`&z
zj$v0Nn1e!-O*}$-Sto>EoxvXznk>T-V!DoH<*|1W6SCf%`_k`a?67T$>yD}23M!@C
z!E04Yje|8k?;e?ArFOS}&Ml7ut>#>1DbH1Bc24{!X4Oz5@#<~6!G}`qm6i(8C+9!n
z{;T=V(rfjsOe2r0K9e0*rOo09GQG@F-{sG~GQ70DE1o~Z^s-NV*LjAOvtE`>ST*w<
z+e*JF<&7cgr>q@U2|soBFc-ZlvVrB{vLD*!u8zwz?YK;jy3dp?d3(q|CHO;80gJhF
zV$V~DeZLCX>R(OZ&|#NvH;PzwO<LqfWPO?~bI{hWmlZ;z^%+;*`lVT)X3H70^{cQ#
zXmtJha8@;W_Eooj8BSQWw*JIUy%(}25gAssQd)oQR2F&d7hj_FS8l?pFT1~19ea|r
z|I6-%RhRa^@1OGa-`(Wd@xMX^0+z<V?4Kg4u-HpKzJ13R@iwphY9YVYPwde88?F%g
za(hF_(*HtBwEk|Lk+kYd@}H*<wCc5`*@LG3^O~^gOY+~R54h?rcd#vydj8mPRbid!
zi4}k2S8dzrci36`>#cyfWeJj@rMK9EuD-OMu*&a#Q%Gr4b68mEFR2x)E{Fe{na1t0
z_jE%@X;gQ{D!=;-p{2L@g05z2dxS1OuOJ<&UcAiGK4kfMr_x(}?Hsk2zWdLd|7-b~
z{=dP`+7&|QuKVsk)A&#DGn4;QcHXsLzShkobot4DnxCcrPMWawOIqI?ajDe#?Oy*A
z(>NvnW<7IhoAkVR(GS-bE*tfx9K3cw<;(6rr8$dMRmL%|ob^0(!m7$R#+9?4r-B$v
zD`!1-tuL+<T#+>|_208UL3f;goCx^5hJR|^)_V6!%gZ)?^Ui+$9Pl}ZC-uX|`~Ut4
z#Z7oF^l$YAp?|I#&8aiCYc+p2c0YE=CcD6E1>0;V$vqF(2MZfmgtEs!p1<$d@8#?H
z|9srfwdB=<?SJ_GNhL?E57tjR_FuY=egC`lo1%;R>Q~Iz@#X76zI!rSf1lT}@1OSa
zL0YBR`IQTw{WO|#aCsg3@9i=A=XrLu8Ql;%l`uD+xt`7Zym52hLY{qGsT=OCZ@lkv
z(#CiNU+RZajb`3D-nf$~0aMc1?mA26@TP9KcK*PAGtuWW9s2{=ZXYgYSk6B6;3*$A
z-A`v8Yzbhy-(qxwyS4chvwicl%CrmGQx5h8u>CfY)QSB(`6ctjbhf?Dk~Pw&686tO
zsD0X(ZSAKs56l<x?E7r|VE572>tk13t~Z=qr*-~|KmXGG##8=D&-}7I?Na{S^k213
zFW>W-UidV1W@cG^ntI0U=YALTw+O%CO#N_q`b@`NHo?thmDd)ig*5M7$g?fUGu-rp
zamM^~w)7UG8{(%DX3sxZJ(EY{JWrkUsf2os=C?C>rtO;=*~xdpxcT2go_+khi%#ah
zax}RW|B~;F@n6P;U+OtRA2@$~(#f@F%E<={Y>Xu&PCYmtz*f9HW!C|F0ogg}Y|T!R
ze?(3ttoiTq;`>L%%Cv$sjpn7sX6!~1(@!RRk)FxJch<Q1eydT1-jsu(b-aIg_)Z%)
zZ+4Qjkw2BtXLaczPYJ(A>7!Y^Mv5oj{yY02`hVPR<|S5zZ$G@>8vJ+mLzVw&JI?RF
z{_d-PO!b$&W}Lh~YKpsm@x5FnKH>GmrYgpnoI!gV&M>Tub6D0KqOWjYnRRLKZT=uz
zvAZ0MOM`bard-LMxMkVHZM!tSM165uvG&;Sy?@h|8S|arY+NV*s%N^hq{$+!)K8Ij
zCAEYXO9j^JZf?^|+}u{~w9<UhrPLzj3l%H<xt8zKno_0wszmMin~u4^b`-qYoX!@U
zf25(|q`YC&qM-cAo`3BZ9(mp(lz(Jd!^w*)Ttgn!T|C~>U6-%%)oqQ&j2Ipxt<wE3
z{tJ86#A$qV>#?0tV^a6%KaXnN|F_o6o#u-|Qi~3SzG_v?epI*n4A=J4%nPqb2lg9T
z8rHqq-X2`9GNnp6D@N`6!y7{SUuPd)Y5#Khy*-AlI+I^J9P|G3uHe!7R-ya_OHyCW
zo*R@er2NwI;gaw-u`hplnqRnGw9)*S{<o%rTk`Ff@>ZNq{gAcvedok)%@4QipSfe(
zf%j)^-=~TlyQ>g-vb@p9qe$Ijt9LDX(8|eSZ%tk;nQGl@_44rCpt(n`xcg}4UOH~Q
z)T~o^{Y}&RIRW>TWw$!MWnXEwqE^8>WsSdO7`JEbp(&S=*WAqfB9UD#WqT~$x>qjw
zsNdq_KSYv)a($&Yp3^Aq=T*4vd9hUIR$S10W7kPh=dUnM`qi+KS#|5ImCZ`uOf!?t
zo~_Pof3W(o-~Lj|-jlm87F#6mnRD}6pWx5j?CHO6OFj18yL+1M&DbYZ=Znm|#Xp{6
z>9%{|ZT~=2`>>4^&&<=S!`coWn)ab0EaSD=&UY&nbV?$d?!}3EJUW<A^_^|z?<SsW
z=~L%ys+)XzJJ+GAxvCt}lemJW<rVD@a=fu%6HDUqK*v+7eDhqiIIR7rDdeox@`&7U
za&9<l;_9<PUv73U%jMp?w0uux;<7`JQ)JHi#LFe@tJAt5yWWS};69u4H+IQ6S|OZM
z7-!m)tWcO^w28%+L(R_c!~>osN}9$-l`cV!7Q(5VRVFizOj6ival%F{C63qV`aa<d
z_8Y1zdlJ?!>G2QcI5S0xzw-HO2PW+%o^64m?l*N##)h#bMxPaG;q_tF{H?Nc|4N0N
zjL4=9D_1F`cB_1k5pD4`^S<!T)4Qawa>bp!ukX)X^q!L~=jKaxomer6n9aUC%gm(|
zm%ZnD5YOPg?$jpFcgwXj-z?~56+JaKpjAU#ZKwaL31=gnx3O^<Xa_XhWvp>zxSt#p
zB<5FiI7G2}b6ZWm(-hOmcQ`^*H+(e}J2J73OC+Ii0Yfs=7hA?EzlM3z3*Pfy;AeT!
zTDSk?YtKW>t<pVOQfop)*<OdX9*ntqEMa?AvO&UD!-!3{Bz&TBdA6-JV^a>7Zr-}O
z_u!kW#}fW$B^$JCHH<iNOTs5Pm&b~|)lqz*frfkzPZWoAv(=$v3#M-{)X>P`nZ+gD
zeCp7#1-myGY8dA5+~SdLjyim7L2`&hNk3Qfri;fGlx{IxA)m`r<ssedbm`cFnOh83
zXyo$j@{(@8bm`cFy;}@d80PX+CAB)%uQAZ*$>o`qEZxj?^VkCKZH5|Cb9rv1N;l8C
zd2B)cHbaehxja%C(#=}8jxCtH%}`^xbHpL*n2-N{F}%)dJ@_gr(O~m7!yD1JC3x27
z@`&9qV++oaZuZ^Qd(h(cv4o|$$p+WA8Q#dfEy1%RmuK1?Gq%Zj(#^Tsdk>zteJtT^
zZnDAeZH71MZ%gnT%;gb#XvTKAK)ShiNAJOiJI4}C^O6nZw;SG=cw2(!R4&i7r)F%z
z#nR1dclI89apzb<ZC<j0^LE1<i*HNtT+ZbYd!@K0V3vv&$Fwf4S@#5Hr8)Xe-MUD(
zEcWn>$x=?j(oVus%lI$5Mdx@Oo-tYaSj*MLy$2gT6AN;JBy48p@aXxPvE5gbZk{~3
z_u%c5#~yr3N&Zm3$<V^-ri9I+9G-Q7W^D4B(#?g_4kc_^Y7mimQ{v3l9G<dhGd5;D
z>1NgGy$6?^K9+DhHQC_PCc}v8n-XXC<?wuqH)9L7YCU+wFLA@l&4v=!Z%V9rlf(0@
z%#3ZfwRAJ%yxxOC=Z_^!N>AQ!cC(?x%bOBwzUJ_x&EjhQx$IEF`pjg5J6jAR3T{dG
zSmyGW%{60t>?hqkb$Rc>JC~0oe9ufaVAyIH(R53~$0?U*+d?xo<v{7?tt)yD=3F_J
zV4sz2pt99)2A>v>S}RvG@50`LGcFuU2+c?~(BEQsL-Ljc4__|Nw01K#VHfFU-9^0z
zH7*`Yn46Jo5WL0khW0H9k#w$RC*Q;i3vNn;WSX(foz?5OGcEbTCes5_o!on8_c}7B
zCto;nQzE3ujP356UPsUL<O|nsN?a*3WBWU|*KuNc@`V>SB|@sr*ktGTI^IlAzVPd&
z#2zaVwtW89gL@Ajd+<Clx!}hJ!-~2a5-|=rJo^;P*p>@OH<x$x9`rqO?16q#a)Hc7
z!-@$vBw{>sc<fXa*980$(8wrt<2lD-#<reGy1CksLwiac+cpUqz8s!;>}G7@EYi*T
zO}z&-4<36kHzBzoc!S{&?Hdv@;yFBWJZ5a+Y`b@DkGiTm<s7eBNwM>bWo>U+_OnOl
zWH}rDsMO^77thsvczW-_&!>+)U`k8=z`EJcqSf?(QMsRd^XwVD2jkBidti~4{6S%}
z;f_f+C2CIP@SICEV>_JKdT>ER;s%9nhBJh2OXPIr@*F#A#-^Pt-Mn*S@4<IBjwLkQ
zVaRsjQ#)zKb~{D7S$y)L2X~hk>@dD5@n=>J51*eIo4>kr^XbXG2fv>@_JAcd`9s?#
z!yT?SCH^eV;pq!9W9!$HZa%*3(1VjJ4R+++lBluB<vBOUjBUN2baVCc-h<~aAA9g2
zGx@`xErvT9Zb{TQ=JNP0G-H!pd1!%ksKk_|W^8L$_Bz&PC0|(Fw!vv-z}AIRc^C3a
zJIR~2*lklNIj<M2E8i@2?bw3;t%e$DZ*}Z)XS`PDU1-X?a4YY^^HNUQQr8%&Vx^m#
zt{+?Qa_i*i>jv%TrJY_&JIR~0gqiC|-QgEu+s@K@@Qq%JVe;t%tDO@+e48+%<UL0s
z=lN+9`BJ%>7tcJhBt4SDyJB5nl*e~gQI73wQzyzhCUdSoFlk~OCs*_KrY`pHEdtX%
zaOq0EG+t-$W0E#c+E+!Lho)ym1it;%5-9u6)ht@g;azbyM{>qnZJuX;RyEYQNqJYy
zQ&nF3QIt))w)Lm(`i(8u?@Vi)xc5*(&H7KDPp{nAGJQ+RlDB&fB?PZGm@%zwLrZwd
z=>sZpi3U@{C2}4+M;y}LminM^jX{Ol>Y`sCO{RVDGLmJuTp`Laxw7?Oi*1YQ9<gma
zI`>4`yep<ol=n~OT)$Lxvq;+;uG<q2CR~&|Bs6bBYQp?=1~=Af^R&HE)Oom->tpMs
zH!+U)Qx_flXVap(C!|Av%C#q4O%r!^v2Fe&FzrKB`wyqgZwnWmkz)MaFEH)Ht&}Kv
zx2IYH$DRpIzV;Mk#MYE0Yj+$<a9(%$RLYW#E!WRYYvkN<=v&&S&yO>89&X*9vLto;
zp>L^=4*oH3QMK8WvgF{lLkTC=8bsu^Z)hoZ=y10_w2evN%;wOte`_vA9J0PJY2vf1
zT+KJP9!f};JtTC`aU0K?Wuk1^SySil@5|PCD4TQABRQk>phr|<-R2CPhq6hh4;+h3
z+)x|#^Prezi|QU{UCGQ{YYb+XXrJj{zOkizhHmqFD-E9e)d3QA9|R5w#bu_&9MTDA
z%}NbBWbO2ErtdQk?N5PS=hu96*S6dIL}qbCebOC$eJQKM?M=^|H*5{Lv`_L<vLj<e
zl@{ausu$-LT;*L8F|{FI<IChDTNrc#r#9pZo2X?R`FwC|<E69r1UYtw@rj+iBh1s7
zy3Xs0x#TW$jSXhW_D9a#NjPyM;a>LB(g5RdHs>&Q@2#%){+JYRHgRP<eEGRw@~k6Y
zVi}E>mVWYDJCoOuP3`om7yBG9hC2S9yZmXVgK;>!^E}SB0~?~2K9&~J<>j&wN}0!P
z)ZKLEv*WXm3dVLbz6T$iAl`iDv?E*m#>YXdx!rb?mV3$Wv%7K3;8<wr2i^8>r@n@9
zA9xhX`NPfWcQe!blW$Cnlam&lzQ^BohNta-N4V;%+XB9mW{B<3J6y0l??7Y-dyQO<
zfW(<qDK(Sl=Q2J#Z*)jz!(A2;uj~lX^X9*A3Ud52a;TSHu%GL~ebyK2nZKN4*sJXj
z-*{XsRxjerD^}&?yTwa6)2reY?(JaMXWtMndSJa7zvaG-7k)UDNjIG>@KtzF{qxd7
z<~ozk$Myboju*2pUAg_E?N8Gq!*$!YI3)GitlIraCRW3L``ITKH}A9&cr<(Brz2OL
z<l0+omMnSlaAEJATena0PJd!nvEa^JzG>CR78a@MPc370Kf(H4W9J&VV3mH;kbqlD
znuAr&YyEhzbV=poBj4Yt*0NtdJHt=pq-dkfvb#z<l>&;Fad$nb?VjW#E_O0oUDWXI
z7LR*gD`&h+bN!^}qAK;=TVU%2llz`4moEEh<mGg^hI?t)tY^Cwmztanch6dT`3bku
z){7SRc~-7n_S4Jbt;tz))2#KG`%VbH+))#|nAzl<a3f#JE$vBVMI`|%S3MT@k&jvR
zYG3C|XOq1%Upmjsi&HsnBD?HyUgEBh(;sFmJ8XLH@r=F;U&5C4Un#8gyBN5O$ENp1
zhVN&SMad>-KezW?H90T&YC+~a^)JT0pYJSqY;v}^xlcc|cH``q!d{`V-wbLO>D`W7
z=6@;mfu*DR>rEeR7WB>fH}9p9?s?}Km!kfuFFS2&mv(*sN~gy*{3|zw^s>(@4q1Bo
z@aG<@%vsOHO0Sum*L}4pb6&`oV&Bg;i{dk?m@e<v2`!y@;>-FOeqx@vc5@fKd_Cje
z)@d`(d|4?q|4>P|uYc;U=#{U&XZnO^|9t6Fe*Fv2vg(W~vCFpJp|bf&zf9(yJL~iP
z(idHy@7KPp_4)61dAnilu4Vb@yZZe;>n-~|ZPmUVvtQQDns>IO_uOl_W#=z^ky%zB
zz3SGtxMjbyYxFKNo9t_N*=Y5*)rbF*5x+t0vT2cLzP#VU6R-0^XW87O)Kaf>=M@oe
ze}Ab?<LbJ)Tj4KbVAs{dihl)Db;GU|g{+pGarxr>3CSBbF)y|{d*DOQzcrG3Zd^<_
zd%&mn+(n*y-!nSW60W#C&thBO7_we+#(|5KvkqQuK6gFzKy8!W1s*+4lMrKr#wGg=
zF0YBWsdcG!R->UZ@8y*TZ%zES>NRt*htxVrjma;S(k31Cy6q#L&9*A(*X*<fvzH0Z
zYJAl0Hf>c|bD>c0C7vrTC7o#rr(C9IvAyE_wOdd6i+IUQzQ(QUvFo_Ggq?o1pJOkZ
z_{CqAshjuLbUy|)i7)oE8f)4AMt2`%X!*yy%q6npLWX<s2E~PjZOyG*v56Kp0xv`q
zob{S=lsPuj;)crwi-NP>Q?9bardrG}xp?&fm-m#g?qki~(==kuVv~a!FG|I7pVJNe
zWj8C~(ZLhFYO@SpuxAQctap(-da+cEr;OR;=8{fkEnmZx2l(bKlj3_GI*V=H3K!Xk
z%v}!`h%T3O6RIknm1&W2?Pb+r$M1gTGd4M7`<TzVvygpRq#Dny$XzE3F5JI#wyn8s
zdY++7$$^s94;OeYz3nsW!q!V~A6(dVLG6;P8qY1WU6-fu{Ib|}y5Pc%i+9_a&-J89
z^F^8NI$m&L_eGh*4=?zAZ*uN`xWKRY;KH?qCCh#vSa>#Jp8sc`lL<zCpLyGpm2?eE
z4{=U@#~vQAsBgv=ftbresdIh#XH-~(Uu;&B<176#VPZ=(i!Be|W8v$jRW5CuUsWb&
zS*`hZ$G&8hZfQ%EX`q8R>w~rKjG;VR!oEKZ`RsjO{?7c3eL|DVAM%7Pdy=qy?!i-%
z*^B4d1bH6Y#k+e+9M`tM_v&^XBDYt#^U3=d-w2ES80^Y3&ur1Yx*a|tE50j+9y-S}
zMI%>M*QmFDxm=Y<OkrnH)q<nT3?C#r_j|6}x@%^-*P6{owA~cEMRu;OTFkLvLhj1A
z1;MR*-Qw(OI;I>t?diZ}n!PkmG5Bo2olRbn{`(x3bDa<Q7`3uIP`6QQTaf-dsg(gM
zSp=r6oLbd-mE+W9FI$Fem#wR6m_9{|c23tgs$tvbcHm9}*W`HyG9nYcEO}Vqv3$AD
z;X|8SrZpV?aeQyzJhR7wd9q)69xm`)klw2zm!F|&@uW{(vg%wxMaIJCyzS40s}2@a
zNH0vCxxx3$-V7_DW3RtV(v&=N`(^53M^2CR5`51-d|9Q*J*Dl-EY9Xt6XVP?og!WH
zCHT~CeOdN!!JS3hdDy2)?9H{1Xu53O*38v=&s2uzc;?QB3yKzh=V5m)uDV|kaPcD7
z;fHcQ+6NQe9xM5*=4sb9s7<ig6L2Z3AVYP}%nR)gF7Q|6E$;V`5V`ziBWLreId+CJ
zQ(k>pc~Um3Jc^lbEeosuTGn<$#;LlsX%-sJFN+U5PW61v!>+2h)?DUF-Ijv|0R@-b
zCd>cnf5CnD;PVc%M(6&6XFJShZ+dXdKfdYkuJ&lVT*JhIm__S-dLQ_@#LqUc@wpII
zkYQ{Sf61&MLp-AQQrTqdH(oEL4<GzArA&fv+te>>A0{w*+@D!=$ZbNI6yLPgEvKLG
z-FCi}YZ0M-N$&8$x{2SU_{93QoG;kWxa4nJ^Hi1Ee2W`_m-yS7t(xjgOq<p<))~o6
zIcH)OxT;KR#lB1)iz|#>rMnIlY`D2-<AV#kHoRJ-&C6b`DaFqBx4qnc7uOt_<_GJ{
zWaj<J*z@qi4fpF3e0KFEx)xKU`U_1CK51aLn3Zvg$=`k!3*X+(cI92?mN4<E__DQc
zYwMe|OoC5O$>i#iCU)0ZX^$QXPFyC<x4y&Va6yIrl9_FXXDi0?^I02~>@I0O$#}|R
zm!M3PxR%?jR0|Ehmudw!Y8Hqtna#@{Ds?wWWnsmlXkPYOxw~l=8n0iJ9d?}RRxZKE
zWwh&ZK|uAz*tX`)s&{iwaq8R5;^ot2R1ey9yyam0)MqmceoVQvSB=MSsmb+%56Vk_
z^RQnJoRw}-(S51r@Il8ZViJ6I3rqGtd~n{2o44IPql!W1mel$SUlu(4@Wh8-l5hRR
zFB_h;T#8>gSKd0a^Hb^qcb`oLsY_iS7+gr~aqFLDP~meS>F~jdUO8hK8=V)01rk#}
z99*Ez!>-R;b*bRP;|0rk*o`BjnD~O3+}3^Ba#A*J!<U|i2^!w#rTFCdwp@9_r)*wz
zuHZw*g6F*K^Es;y7JOK>AXTmWN9={}w&rxUy(tzy@-CciYhJ0lH|Z4TewM1MOE`n0
zs?JW~IkxS~u7?SI-uV)Ic6?u!b+YSoRGlvPFnPgtUUq-3s^d@i;<>A?7koIj;Ava)
zezv{o78OnxeyiF4xORbSvYOAr%%z;o8%@?4$(&jFlKJq#2eZPY`JVNBS@`h5VQ2Z7
zCW-$%<fZxS?7wtAOwjY3FU4o)wB=ZV!TKdzCkcDSu4hx_i*;JrDB4gwGvHSW>w_0+
zQ~rf9THNJa$`RIJxA@?y8FtQMFN|*dzx2GVxz%8;acd*5;aYQ<H9eWl4-?vbq<PuB
z6Mh|9!W5l&D@BU!+L0|cJr13lxz9xA&)iIIixMH3c`vmO9}Jql&n)xMwCUTL4p;GY
zN8DoL)3(S-%iPV`9I9KJY;oi6CBDN4KTX|dnt8}<+CJlz2b5-(NqOGTxvY2i;HsHr
z(tKvCw;W%>#2t7m+ae<GlHK8h$0mJ~<_nwg#n$4A?6&SN&794N8h<kmC0KdcOY$9C
zYI5tUjLpGJ9*Z^W3;r$BKCqDQ!kG&Z1sdvG3`>?yY|*#qHJ<e0|FYFQ?Cb@*ZWUa(
zdZA*n{1@YrUQbrPCnakqwzzewH5|?riBg%xv2KaW%84119xiZL^qPl#si3c!jEJkr
z(Irf~MQ3sGEf(ccoRy~X(8~X^Z{z~`MUrZAGM_V-aW;!v$L62n)R)@DDB~t(x5vSJ
z)~|=j+$N_BesnS2O|i)M_#&;~#_GgJp4%IoUmwbxmdD!uuen?QZrY&-Dc;*>-+9>P
zT|UFW;?<?OYCL*JN~S+tz_(;~ugaYXncFl?=Onz8n=Bs_k=ge!!NKc$lk?q&T3*Gz
z5_9Zd+8sXVJNcO;AKz4y`vnE<OIX{Q7falozfyijYg==$ylsL-MdQWhw&u?=wkZ}n
zmR>yE)@(0sn`H4L<D$~xhuYj<G%U8T_ylKeTq$VN`od6{EncbWPQed0W?M$SwJh~2
zRhL>0c6ZKWYX8<UUs%^r#%AG*Ol8h^&8lNh+WjprY;J45&!=npv_+lIHr=A)&*jf*
zq7qV<xgQu*J&5u<&BLx7T6J-XaN3$LlO87g@zR&(d$#dQ*TVz{@AXoAYTLfd(v<8e
zcv&jUW*l2}xS*hInYr&f1IeY^dDzvnt8RN7nmX&Ap^V6#FY8V+&Og22>9V>HHjBUa
zsJv-^QCl!$<p!=rqHWD<`PZ7r^r&S{Jt><u^-JT!gbL5qyzG~KeqAUqxVNOAhdtWu
z*NuV=WlQ{d*sDE$g%n@<r}mUjvMh1sWv9r~OS#TTn$`&13tF-@c2&pCSKazA<Lx)q
zO}Qhs&}f;d2SdB_ilR(i3!fT|!k5OvY`S{2d502G9A5hvF1WM&s~S()jV+8aQvB0y
zZ4s1dllM`7!F|~Au+MBCz6)BH(+(f(nzN6k-K{;|B$u)MtmwSUU#32M5bYZ;&3Etm
zma7FH>X+rVH7_^MHJACb6jWa<o>eBnSNG`4+J_H*`reo1+xKwG<$@nEY;T#`8=Lb}
zF613PxN6R~8CD0D&HN_CcYn*m+6Nb!ehBcrogTAj@#QPgX8&H^b^TFrZqZ!T{a(}N
znwH$S@NoLnFn+lr$G@CQuZqXk{OnlxJl<fH$z8*{8@IgPkhpY`Y@}6_b=i@nU!Tvr
zVs>$f=Va#}@spR`lin<Mn{)HdW%q)2i@(<?+-sY+d&z^%fw8{Z9c}+#Sh+3l#fOQD
zT^LI_56v}=@tDi+waqlYKx@rnzHBe`xl`U4<b3G({5t!bq-EBJ35j3T<7+OS*wuaR
zr}y6pfu+;0_BvhAm>CuuWwQ8mQfGN%#mo;cCQVN6xZb<Me*WT)XC{{|wJJHLZ~6Wq
z@T>AUX`3bgo+ygPGVOY26WZc6aY;JYCa#lHtgdw_%?py9)b7r8l1ub6gRNC%MoWUE
zdiWt{oA!{%wHXJU?RTZ<T$%JdYfHecFphbLE;>Ekx=8f=n~a5BQ4=3=%HI_bU9~#u
z^PL{QwVT4WJY-dlTCpl@%hOVos1?C$GmfA3-V*RU>f*fcxi1c#HVjnjm_F&nm5LJC
z1FOT#7II&y&=6+7BpT^{o%K$A&<h1AVZ|w7PVARoa4hsvE#dep5V%ZBwM3&<Ua{rm
zd8hV|w<bGl=z6{U*J1SXn9ZE)Ti!TTrO6*Woxrzni^U|dl=J6!9xr~e>`;cH+%fmd
zYh;{ktoj#97jt}kVKXi7gyNCKo0((hJ9oH0KcA7trzlr){9?}<r|GjC%Wdw?*g99w
zwD}#&E=4B~{$0YEVJ!hWg{(UBx&$u0K6tVuwq=6cKG{q!5S40T>g3VC+@$xc>J+i3
zCd$(TT30NXd9yWT`-i4D&+lpP#f*(sXO!_N%FUen;+>3>jgj@`Sj(1*l(L1^#T*|`
z{IR?{(YeF@nf{A!hmt3?x3;uhyUHtRlXT>1X{qeR2c>tO?O&2<dF0X`_di|N#9g|l
zI=>OQHNkle)69Rf)@j=qRThYCG_sWNTdK~zDD~1JZCg>rV7=5`A7>h^?#XM(niUc;
z%SK_gPgKcr^-bw2ujACJE?hY@dD8K=6sG5MPQPa3mn=Q`An_T;<;l;)Le%_E>8<N?
z4P9oIc~fioMfS?$UfppexrzHWo^s9KrS?8T^pUyAK7+Y7c6mA>uS%j~CxlP&y4b!<
zeBJ~bE0L!`OV9Dn%}sUvb?Hyjj6<S}<YR@ycLZIzaJaB_^%2RE>MaIhm8vmnb*HaS
z^GMYB=C;HBeuYx&#uw5L8EYcDG-FiRF3Ga0tMzYeWuD%Vu#o*@uWpo8#4F>UdSx}A
zG@^Iy-?{6@(-%s0?(@Z2)|*B=FD$j}w)%KN^5^w~hud6Z^}g^mtG>#qy4YJQ|FHDS
z_maJr4S#M9&}@$}d9z4R#_^~gzn+@xQTdGOX0_8|in{+i?u#7pjazqQ>zCX&97VqW
zgx~6G_|Fp%TzKfrgEK|(?vJ?^8yglr>ADyAXXZMMo^@N~7Y6Yx(=WQBsL=c5{6hJn
zEi2_0dhskgyK(Y*r%%r>`EQ*3UgcG$_nRlyia$SR_&jVpX*S)===#y0UyQ9i&I=YY
zmTqYNGDX1oWdt8rf8x~TKe%~qRc`Lvs37vx=@<9?#LX?U1Z{ebSxyWp>iIKuUC*>h
zi}NjdkCxUQQ9ay#y?@2T;4^k@Q#!j}+;rhvxMjzTX>J@Rot=07khYaoR0-A+SAMaR
zWq#12mVhr4za%u7|K-@YMZ)#^GY_ra%?DM#1oSOAb#$qTV&F6zJr&`N+(oPEmsj%T
zU-+23AnlRSt!WlYl>v+YF5CUBNUGOVe@emQ?4B&$kNj2YX)Ly?|2(;ckE?l0cP?14
zMM(VrqVzeBC%HeH<UHxW^LeX1bDxN~R{r<>Ec7wu@*{f_`$8w3n?;7V7P1<)Y9Enk
ze|AjdozA*~7x!G+JH#&Y>KwD$(sEm|dh*X$^>rmLGM_(rve7Ulb#rg=i+3(LKey~S
zwa|LU?9IOXmU4l8t7WC8N3ZkgDbL{Yf1mT2gZ+ZuBdPYdqD@>cd7nnLUsK`pmz&_b
z!po=N#j!V)FME?0InQ@fz3k~z^y2S|ODAp?%ypjcqI%iYr|d;5o2{tT))%*1>wITC
zx^Run_Uep1`{w(XzIbi%>DfD*vkPb6+3~4n{oHpK&gNBq`jPV~ZLJo!&oW6qU*9L9
z%bm`YWVqH9Y90N0$MxsYl|tqgTWnU@`^aqWyZhpTvtQ`Dt+(ROr<iS)iJBg6;xpB&
zQ22Y=y^E`koaM_sX&t3r*zG*~bKkYdJFhN?`&oT&o8CE1Y`f(ajrDV`Ed5#5zRfar
za%_>iwAG(IzXX+kObWbixWm5NTBgd#@7T<>VQcHlt-i!6-OqUXNUy!@ne1ApJ8v(n
z+p}n;`;?n}x#z8;cJ4U7F#l6unBp^wEy`I74KJQ?DqHXT{^+FZ`b&x{la5U^&(HjB
zS+X@d|Il={yoCpUzqM(Pdv2WnSU+EU#`3E_`Tjmhn|>*OHMh(!!`)LN)8CiBxOOOG
zWy#uz6RWesR(kiAJz#8KCYmz+lKy{wD-+w@Q%-(VY=5WOQ`{S7{=Vqr2IqQv%}O14
zu{K^=%P)%_-hJ)9Q9{_lUSmbxiGr)TqFWycw!iB0krUZ>qh0;FQv0-B?kfCyDqf_1
zJ-n(tw!&!F%O{G5^<=E(wBNFvKBs(N#g&DvHT`cV{H}EfuQPN%@Y-Qr(xKFaeA;i5
z`M%m)++4P?sO$L7-aCgUy_M!ZvglKbbMdD3>7D97PdxuLQU8kYrPWS-KL1a?(v&;=
zan(xot3vH^1*a$3pO#(dbG+i#!qmJqI$u829a~r#*}nbS<FJl&*{W?15~B5U^LBd6
zTKzka^7=uJiPr1qGFHd9jLcs>m$kYDqQzybPH`Dk>K4B^F<EIo!yjp%){2SYFPb);
zFmGtEu==gqUM7Cz?1G>@XHKWQ;d#>eO|<>nk#h^Y_Pn~#azpaO=6Bh9W*$AaU~|RY
zg?lZHIQCjk?KJ;!CMrPi|5C3w*?T1~P93t@<k`LbhslJ+a{qq&&aAk-u-EYQ!tO79
z&i9X>Q_#Ivni2el=gHR^%Y505#v0=9Ex)9`;d!$7>~Fqay`I^Qtq~6|gtHckv2U(k
zQ}UuYVs+=mLu-pNLjQ2=sjqo(!Rx!i>#t{Y<W|dC^&O9Vx7T}pUB)DSS*iCX5i8Fe
z`ZUp5J>~AYE0r&k*{79xW`(dZzZGU@tBqv6^eA}WO?Qqd-KKoDPd{C?*AaMUUaS~-
zI=piFZi_aZRsUx?Z!T8NjZ?5Ie{n9xlU+{nnq^7&x+PyTgkQ_<vJJoVuS8s0W*77J
zD;AF;Hk(;(v6x@G(W&O)g~c1$mRZivD|&ITLU4;&{buLl$F3KC{m_@4C9mJK?{|J-
zhNGO|rAONBa-HIi^KJH*z4#X)cxGeyYG?UfylJy<KHP3u@`!WVBahNmR!4uoPn(*w
z+gV=pi10e0`xajceIEKHwL8yu;bQ0KxnI=E<+p9iVaLR5{Xc!;%|AY6Zk&9*?ZhFL
z?OS5+)El;5PBOp0Gns38x2;WM5?6S~UOro~;2lA4ieK!TaVRv&{`{#Khvpvn&SxtZ
zykql^M*nlHZ<)J1HZ%WuaY57Z&K&Lfq8H(NuD7h4{*YDU&#|u5Y?D3t6;rzfIeYEc
z?6wykweHk@P$%~Ikz7^RE0tr1cAMVMn0s_;!Hl!dr!M#DX^yYEvpXqu?G7!+`Y!Fb
zQ0;Yo0l6_B{kA66H2sqZ-&4GHhni!(hkF0{+HC=+t#|#t#lqVy>Z<#p!${Fl{Akyr
zX%#LrXDsMWb`8unTjb+);r^pHZJXX1Ox(*i?T4jaZKk26<N4Iu#0x=Blf0UyeOmc-
zM?vG^!&U~yf%jH&{&GJqkuNmUJ*P&kSzAJ%Ny|c3{%6Nr*T}Uq8s8Tk={w;5c;=5a
z?r%71O!mpev!1*+>yuTSW}e4|&#Z4J>8^dwT$tSCmljc1tjKWQE5Q9;9djOA>0aYT
zbJZ6gww)9!@Z?xvuKt3<YuhQEhSapwJ*tkP2d7?q^Ju%;i|Y$Ho>kOEH?4AFR6F*T
za}^Kk4A*acEK}Q=0<u}|%v?~S!4S;BSgyK4=UPs{akHLvyM;}(&Pg7#VLsRPIH+gp
z;W<3-Jp-=)@b`b3<k!S@#4~Qb@_XNa?G}%AeJK_Wk#&!;|9)rsf_H^SzDkzHHB}uE
zysm!bC+90k_ZWF|ag`TaEFS&3`2HWu*DmFCYeU4<R+RG;Za!f%VS%FIu25YGoB8rS
z7iJbtPXE|0^yT@Dlb?_Nc*w$gYE|Er_Vex^5}Ot;UaMi%<@(|AxpiBnuHwmg-hU|2
z*6K9ZMu~Wf4nH5e#kOqQzr8r3b@XuobD!8MA^!u#7EvoER|uUucF}3>(cprrKCxFy
z(;iILy?Hxi)eh^f<qw1BJFoJwVUGVT6O{Q;r{=?E^OYh07I;p7)GTWG^wg2!A8iib
zvQ~xnJ^#43J7Xc&M<%;wLER9|X$RG<qgHtB;V^%fvC!|4YDJwpcd3~E;YgdP72OrA
z@wZOuE!on${*kfL&1!e9Qjzr!t+_3ioI3LQ$3G{&m0K#9@27U?g+=Y@d_U8LdusRg
z4>Ko&OgGzCC!`yqd9N|MW=p`-3h{eiE;`LUQe^P}WcvGq>Grz?d=8#I^7{uvV9QL`
znGuctt7RtI7_4@g8PRz@z@>A^QLUQUN?uE1Y8v>%TslKKuKwt9y{5$*+CGW@qv<pb
zMa#qXht!i6wVpb1{0Eay%Y+(<e=PH-cV-;q`lxBgAw01s=IHeY$})l{L%O#9u=3?G
zUAOI|!2E~FX@=`&9&2mn{%E<cLhP~AYxjxHDYABpCgyM1649?;_(XbbOwNJlHlKRK
z6wMyZR`_Y+KJy3DeY?}@>O0SN`2NWA*mv<#lChoLr0HjUXZ{e1SKV|!Xwl;mk?0Q#
zSL`u*rL^zR@?}X|;vUrg$*zesa5HX+bJsc=R`W}HenwW|-M0L)r_yV^a*ns}_|$vN
zv!*$}`l<BV%{Lm)w?4hx_4HA6%>sk@bFS?ACu^rEwz$99f3Nk&iQD%)DiPZK;o^=x
zk4nULe-zvmJSlYNW0SOMm2VHETmHAc44QePTVM28gy+_|eK*?QmmYgzcK?URo8#`b
z$tBSz;#T_9^sm49WO8uSp9cSyV=ox*hid579XX!=sVi8o?vQ%Xr><Z{x7}OJ&rM6!
zdy*Ver8;fTzKQV<FRkAA<m=R$HQwuwPP$(HNjtX6cG{kOQ~HZv_Wt;kSvb}E{jur#
z;^Di$_~}&EzLtM~Y4*k^Uzs19>g^Sc-{%zb`qJ&fCufh=H+f%ooq3||$?2@4F4NVH
zPV>Ls<*Db}qZ=Qmy{<UZDd%Tbl;O>#*~zEFuXSna#Xe?}|8=JNUdVL6-r0W7qYTe3
zU47^AB=?plqQT~W76q0bX5+V?zS&{AfBDN)_3aw!I%zvhPTLw*mUw)*<W`fN5`VrV
zvyhqh%+lUk8|P_{tLB}GNZ!0^g46A~s5=iX&1#ZZZzlP9wRG$+U!BUo(=1Ley_|gV
z_0~sf(|;YUD|xnW-^}RCC$l~*IJaQ?jxRmc8D`;2j0#tKi^~Z=wO3iswS8xaYni!n
zC0px_w|alIvy)~n@3W5Cb*ock^7c8_l`M<Odb?ioyf4b^5q`}Yxz|YP++Dri6YnaO
zH_v-}slLx!PIBoNgEX=4J4*g_o=i^aP<m*o7b|cxG4)a0^m)a_DS14G&vu2(SN_}}
zd#qM(?)S}49xl9jWpmVPjZ;>0jZ3EZmCf`1Zn4cYFL{zj=|1n`B(CY5ta^7}-}r1_
zkfFuDPpsp2Y}JN?hc2zy67k|v(+<vZ5&j(|^&y9*)*a&CwZ$awQ0gPa>0-yG`gOO*
zRw;Ph(r4rP%fa8X<5FtUMDO$FD;8~i^FsOfVm;ei2{U>77d?oqGMv8aAfHT3n)9kJ
zMIUE)mmi(JUZ8(>N&2C6>B*m*3pdv^wZ|{{9TwpJe~GvGQIT~b{yR&eeI9CUJhF6l
zGFQ2f|L0w@!8<lr99#N3iK|@j{HHU$U;K@=j|WYE*Z0MrdyVw@ohG+8>MqcYO_epf
z@;tU`#e)qgzb7OtD-nIfI(?s>g;Hh0w1OvF&n(?&$>Zs~yJBX=#id&<-)OC^{jWOR
z?rh?Ym;K+3J{tAkzws#ZBbR>R#-pc?uCBS)qZ_9fSDYE1^U>?$F7Mw--Qk_)6-G}p
zPG3mz?KZERtk<(mjPJOE`u?No&t%%xHd^Z4d&a6bKWJ)qc}!G$e&iE7u@%v>Twm9D
z*Y}FL%3c#l-RXTedA|ASBh`AfVw<9jetrBN`zuwz{MAQMy}wEwkG<GxmU`Qt&&yWe
zU;Q*mG(JT6jrEqNPuOeH&Z&lKhJEI=pB^&x$AzWSi#$t(<ae5=uAbhrZHh;Ce{7YZ
zlCEZ`o?T&Pq~1!dk1M_PkC^v7vwk2oJ?yyols6&T*LHo0`@>PQ``4MJPd_S`tQ4L9
zdF$O?Q=8i4m!*2WJ+*UJtP*`4yUXx*sgtcqe!<H^^B^@{v!d$bMmsNDU!@<js`IW`
z&D)z#E_MCrJuZ6t&86Qrxz|qX(T}YX>uhTadVD;>boTZgU-;ZY&v0aZ6fIAb6FhbF
zx{b%DjsV4NpA32=9lt&^n|@En;^HqZ-^GFf^WN&MJ)`_{%a3DAw}0vg&@0>VrR>h9
zkdFM=DADj;J~hXi71otRy?J67mN36ks;YMBQ9Zkl#|3o6cgM~WuhxF2^6i7%%)`9u
zdtN3UdtaK#^oOzdoK5EDqrIEXFWtBC$=B?cb<=-|sPAQTcE8HM=g*_6z=#PsN-=I#
z-&b0$EidigqLDLC=S}S8wR>VzB-R-gI2zq7agbO0&9yvrQ^t)8#j9So^G?p3QV>vj
z{omj7>jcj3NpU>??y9Xzu;-kM&LSR*>iGjzIvH(UIE6Qq3m^6QB5AV0M@95trS_tj
z9bGE~I9)@!o^`Ddk`sO*@$ip4>Zua!-z7KbF)}brV?w`RrMRRtNv|v;C1>iyc>hBN
zB1i9k*L=5FWs^b3qBoq+n^YvuxIDUjDewBl3tPOayWiZteYVBk&8K4b+xK(kRp<Y%
z^(eV35xACfQTy+}dJ74@ht3`g%dQ&)yK)F?DrU2a8Ewhf7SYxya>wBE0Uh7V76oFv
zwQ8d6o_;=BGVMvA$u3^?&y_MPD?9?2y<_*kxa7%_$lMT~%dzf2I79250}?Gwd+p!7
zvs}5PELUsp<M;JVxkrt3Y%lfk>BKKRSv2wI6={vH)0KFcU%Zv=zx1$m+DgZe=@s*G
z7kAxnliy<1|D=AiOS!vd+`ZRfadO|@o<Ad!?HDn^m}8m@``^l6iajSd-ko^t_B3Ww
z(&Xa3pEt&2clsQ4pJaP3JM7ukjPL~8kgFSCeNmLZ!P@_DiDY79&u81BH7!}v{muCS
z-i%Bl%!u2Tg8ZgV6JcUtFk)w5U}t~;Mg|6kEsY>{N^*f-MQ#qdCi#yCoL}%VFeH01
zFz|xZLGhMGOD3cXoAioO64AA;erv5D70k%6tcwkNObApb!<I%L0c5QysbzV^=$gw<
z%7g~%F*3LdF{9Z#Ihhf0QIuX$N)o!(V}Vzb4EY%tJR~8v%Yf{K_)~Ba6Oz{Qlr(g$
z7M3CkKN%PpmN7Cg@PYI(Ffc&0<}O6mnwghegs#_d3j2!$(A8Fq3=EP`y&!5!<D^AM
zdgC(#GAfJx3rh5gQVVhtE76Ua7dS0On}LDBiUD%C3djf$-qNVK7|9sDl(Y&=uS<k3
zR2GD41f9$R(g?y^8XHkGC+B2iYF^iK=>;nX14Eh`Bm;spLOj18S#x}9Vo^?|UPVeW
zhSQrCTl{TfV3^&82zZboTN=NvMs|8}2}W8myK>jU<}?F?#%Try9*`a=-qI**%#0Lg
z6?y2Ep4y~5^SvDdgYXhYc$9%OZ)t4)hoUnH)4{4L$q7f@7#KbrLl5hDwk$}t=H=yJ
zrqETICvK`UGB5}*F)#>#Y=ozk2`xyP;~}LNy0f3|(%3R<69dCiJ2tfR{j?QHw_X8e
zJoT|NeGiz-z%X?RdX`*e!HVSSRLt~^e&HI(*^nq!J%gkbe*GG{tI;pPLg>GE4p~3^
zN-T5(6wq#dLKq->0m%StsSEwoA&^!O-qLvIBeK@wjKqRebbHY&7m!AX$Bj(b5Mc(5
ztc;YL0B=?{kRmY#F$P;!28M~IYz%q~t|o?Fq4~vG`j(|W`P%*=IYuGLmKlyt6~T_-
Wt|9)Gekm@VK7OugiT;Th2DSin%+7!S

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_timing_summary_routed.pb b/game.runs/impl_1/TopModule_timing_summary_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..a850bdbc240adb6ac06bf7f87dfdca71928dee2d
GIT binary patch
literal 109
zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l
zT%vcBC)n+{<5XS-1_p))LJS*(e=xR6ax*vDUX=t1Es$sUApe8$s44@4gTquckkA8d
Jh7CHci~wTZAr1fl

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_timing_summary_routed.rpt b/game.runs/impl_1/TopModule_timing_summary_routed.rpt
new file mode 100644
index 0000000..a09212b
--- /dev/null
+++ b/game.runs/impl_1/TopModule_timing_summary_routed.rpt
@@ -0,0 +1,10517 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:42 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_timing_summary -max_paths 10 -report_unconstrained -file TopModule_timing_summary_routed.rpt -pb TopModule_timing_summary_routed.pb -rpx TopModule_timing_summary_routed.rpx -warn_on_violation
+| Design       : TopModule
+| Device       : 7a100t-csg324
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Timing Summary Report
+
+------------------------------------------------------------------------------------------------
+| Timer Settings
+| --------------
+------------------------------------------------------------------------------------------------
+
+  Enable Multi Corner Analysis               :  Yes
+  Enable Pessimism Removal                   :  Yes
+  Pessimism Removal Resolution               :  Nearest Common Node
+  Enable Input Delay Default Clock           :  No
+  Enable Preset / Clear Arcs                 :  No
+  Disable Flight Delays                      :  No
+  Ignore I/O Paths                           :  No
+  Timing Early Launch at Borrowing Latches   :  No
+  Borrow Time for Max Delay Exceptions       :  Yes
+  Merge Timing Exceptions                    :  Yes
+
+  Corner  Analyze    Analyze    
+  Name    Max Paths  Min Paths  
+  ------  ---------  ---------  
+  Slow    Yes        Yes        
+  Fast    Yes        Yes        
+
+
+------------------------------------------------------------------------------------------------
+| Report Methodology
+| ------------------
+------------------------------------------------------------------------------------------------
+
+Rule       Severity          Description                                                       Violations  
+---------  ----------------  ----------------------------------------------------------------  ----------  
+TIMING-6   Critical Warning  No common primary clock between related clocks                    2           
+TIMING-56  Warning           Missing logically or physically excluded clock groups constraint  2           
+
+Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report.
+
+
+
+check_timing report
+
+Table of Contents
+-----------------
+1. checking no_clock (0)
+2. checking constant_clock (0)
+3. checking pulse_width_clock (0)
+4. checking unconstrained_internal_endpoints (0)
+5. checking no_input_delay (2)
+6. checking no_output_delay (30)
+7. checking multiple_clock (127)
+8. checking generated_clocks (0)
+9. checking loops (0)
+10. checking partial_input_delay (0)
+11. checking partial_output_delay (0)
+12. checking latch_loops (0)
+
+1. checking no_clock (0)
+------------------------
+ There are 0 register/latch pins with no clock.
+
+
+2. checking constant_clock (0)
+------------------------------
+ There are 0 register/latch pins with constant_clock.
+
+
+3. checking pulse_width_clock (0)
+---------------------------------
+ There are 0 register/latch pins which need pulse_width check
+
+
+4. checking unconstrained_internal_endpoints (0)
+------------------------------------------------
+ There are 0 pins that are not constrained for maximum delay.
+
+ There are 0 pins that are not constrained for maximum delay due to constant clock.
+
+
+5. checking no_input_delay (2)
+------------------------------
+ There are 2 input ports with no input delay specified. (HIGH)
+
+ There are 0 input ports with no input delay but user has a false path constraint.
+
+
+6. checking no_output_delay (30)
+--------------------------------
+ There are 30 ports with no output delay specified. (HIGH)
+
+ There are 0 ports with no output delay but user has a false path constraint
+
+ There are 0 ports with no output delay but with a timing clock defined on it or propagating through it
+
+
+7. checking multiple_clock (127)
+--------------------------------
+ There are 127 register/latch pins with multiple clocks. (HIGH)
+
+
+8. checking generated_clocks (0)
+--------------------------------
+ There are 0 generated clocks that are not connected to a clock source.
+
+
+9. checking loops (0)
+---------------------
+ There are 0 combinational loops in the design.
+
+
+10. checking partial_input_delay (0)
+------------------------------------
+ There are 0 input ports with partial input delay specified.
+
+
+11. checking partial_output_delay (0)
+-------------------------------------
+ There are 0 ports with partial output delay specified.
+
+
+12. checking latch_loops (0)
+----------------------------
+ There are 0 combinational latch loops in the design through latch input
+
+
+
+------------------------------------------------------------------------------------------------
+| Design Timing Summary
+| ---------------------
+------------------------------------------------------------------------------------------------
+
+    WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
+    -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
+     24.909        0.000                      0                  248        0.063        0.000                      0                  248        3.000        0.000                       0                   133  
+
+
+All user specified timing constraints are met.
+
+
+------------------------------------------------------------------------------------------------
+| Clock Summary
+| -------------
+------------------------------------------------------------------------------------------------
+
+Clock                   Waveform(ns)       Period(ns)      Frequency(MHz)
+-----                   ------------       ----------      --------------
+clk                     {0.000 5.000}      10.000          100.000         
+  clk_out1_clk_wiz_0    {0.000 20.000}     40.000          25.000          
+  clkfbout_clk_wiz_0    {0.000 5.000}      10.000          100.000         
+sys_clk_pin             {0.000 5.000}      10.000          100.000         
+  clk_out1_clk_wiz_0_1  {0.000 20.000}     40.000          25.000          
+  clkfbout_clk_wiz_0_1  {0.000 5.000}      10.000          100.000         
+
+
+------------------------------------------------------------------------------------------------
+| Intra Clock Table
+| -----------------
+------------------------------------------------------------------------------------------------
+
+Clock                       WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
+-----                       -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
+clk                                                                                                                                                                       3.000        0.000                       0                     1  
+  clk_out1_clk_wiz_0         24.909        0.000                      0                  248        0.161        0.000                      0                  248       19.500        0.000                       0                   129  
+  clkfbout_clk_wiz_0                                                                                                                                                      7.845        0.000                       0                     3  
+sys_clk_pin                                                                                                                                                               3.000        0.000                       0                     1  
+  clk_out1_clk_wiz_0_1       24.912        0.000                      0                  248        0.161        0.000                      0                  248       19.500        0.000                       0                   129  
+  clkfbout_clk_wiz_0_1                                                                                                                                                    7.845        0.000                       0                     3  
+
+
+------------------------------------------------------------------------------------------------
+| Inter Clock Table
+| -----------------
+------------------------------------------------------------------------------------------------
+
+From Clock            To Clock                  WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
+----------            --------                  -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  
+clk_out1_clk_wiz_0_1  clk_out1_clk_wiz_0         24.909        0.000                      0                  248        0.063        0.000                      0                  248  
+clk_out1_clk_wiz_0    clk_out1_clk_wiz_0_1       24.909        0.000                      0                  248        0.063        0.000                      0                  248  
+
+
+------------------------------------------------------------------------------------------------
+| Other Path Groups Table
+| -----------------------
+------------------------------------------------------------------------------------------------
+
+Path Group    From Clock    To Clock          WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
+----------    ----------    --------          -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  
+
+
+------------------------------------------------------------------------------------------------
+| User Ignored Path Table
+| -----------------------
+------------------------------------------------------------------------------------------------
+
+Path Group    From Clock    To Clock    
+----------    ----------    --------    
+
+
+------------------------------------------------------------------------------------------------
+| Unconstrained Path Table
+| ------------------------
+------------------------------------------------------------------------------------------------
+
+Path Group            From Clock            To Clock            
+----------            ----------            --------            
+(none)                clk_out1_clk_wiz_0                          
+(none)                clk_out1_clk_wiz_0_1                        
+(none)                clkfbout_clk_wiz_0                          
+(none)                clkfbout_clk_wiz_0_1                        
+(none)                                      clk_out1_clk_wiz_0    
+(none)                                      clk_out1_clk_wiz_0_1  
+
+
+------------------------------------------------------------------------------------------------
+| Timing Details
+| --------------
+------------------------------------------------------------------------------------------------
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk
+  To Clock:  clk
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        3.000ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { clk }
+
+Check Type        Corner  Lib Pin            Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     MMCME2_ADV/CLKIN1  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Max Period        n/a     MMCME2_ADV/CLKIN1  n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out1_clk_wiz_0
+  To Clock:  clk_out1_clk_wiz_0
+
+Setup :            0  Failing Endpoints,  Worst Slack       24.909ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.161ns,  Total Violation        0.000ns
+PW    :            0  Failing Endpoints,  Worst Slack       19.500ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             24.909ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        15.033ns  (logic 5.901ns (39.253%)  route 9.132ns (60.747%))
+  Logic Levels:           18  (CARRY4=9 LUT1=2 LUT2=2 LUT4=2 LUT5=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 r  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          1.258    13.075    runnerObject/pos_object_y_target1
+    SLICE_X88Y80         LUT5 (Prop_lut5_I1_O)        0.332    13.407 r  runnerObject/pos_object_y_target[8]_i_4/O
+                         net (fo=2, routed)           0.682    14.088    runnerObject/pos_object_y_target[8]_i_4_n_0
+    SLICE_X88Y80         LUT4 (Prop_lut4_I3_O)        0.124    14.212 r  runnerObject/pos_object_y_target[8]_i_3/O
+                         net (fo=1, routed)           0.000    14.212    runnerObject/pos_object_y_target[8]_i_3_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_D)        0.079    39.122    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         39.122    
+                         arrival time                         -14.212    
+  -------------------------------------------------------------------
+                         slack                                 24.909    
+
+Slack (MET) :             25.045ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[5]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y79         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[5]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.045    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[6]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[6]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[7]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[7]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[0]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDRE (Setup_fdre_C_R)       -0.429    38.614    runnerObject/pos_object_y_target_reg[0]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[1]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[1]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[2]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[2]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[3]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[3]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[4]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[4]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.161ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[3]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[3]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.962%)  route 0.116ns (45.038%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[3]/Q
+                         net (fo=5, routed)           0.116    -0.310    runnerObject/counter_f_reg[3]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+                         clock pessimism              0.252    -0.553    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.083    -0.470    runnerObject/fcount_edge_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.470    
+                         arrival time                          -0.310    
+  -------------------------------------------------------------------
+                         slack                                  0.161    
+
+Slack (MET) :             0.161ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.948%)  route 0.116ns (45.052%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[7]/Q
+                         net (fo=5, routed)           0.116    -0.311    runnerObject/counter_f_reg[7]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.083    -0.471    runnerObject/fcount_edge_reg[7]
+  -------------------------------------------------------------------
+                         required time                          0.471    
+                         arrival time                          -0.311    
+  -------------------------------------------------------------------
+                         slack                                  0.161    
+
+Slack (MET) :             0.166ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[4]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.264ns  (logic 0.141ns (53.502%)  route 0.123ns (46.498%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[4]/Q
+                         net (fo=5, routed)           0.123    -0.304    runnerObject/counter_f_reg[4]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.085    -0.469    runnerObject/fcount_edge_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.469    
+                         arrival time                          -0.304    
+  -------------------------------------------------------------------
+                         slack                                  0.166    
+
+Slack (MET) :             0.179ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[4]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.263ns  (logic 0.141ns (53.569%)  route 0.122ns (46.431%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[4]/Q
+                         net (fo=4, routed)           0.122    -0.303    runnerObject/pos_object_y_target[4]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.303    
+  -------------------------------------------------------------------
+                         slack                                  0.179    
+
+Slack (MET) :             0.189ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.272ns  (logic 0.141ns (51.925%)  route 0.131ns (48.075%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.565ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    largeObstacle/CLK
+    SLICE_X82Y81         FDCE                                         r  largeObstacle/pos_object_x_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X82Y81         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  largeObstacle/pos_object_x_target_reg[0]/Q
+                         net (fo=2, routed)           0.131    -0.294    largeObstacle/pos_object_x_target_reg[0]
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    largeObstacle/CLK
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X82Y80         FDRE (Hold_fdre_C_D)         0.070    -0.482    largeObstacle/pos_object_x_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.294    
+  -------------------------------------------------------------------
+                         slack                                  0.189    
+
+Slack (MET) :             0.198ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[2]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.282ns  (logic 0.141ns (49.964%)  route 0.141ns (50.036%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[2]/Q
+                         net (fo=6, routed)           0.141    -0.284    runnerObject/pos_object_y_target[2]
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X86Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[2]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.198    
+
+Slack (MET) :             0.201ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.285ns  (logic 0.141ns (49.436%)  route 0.144ns (50.564%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDRE (Prop_fdre_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[0]/Q
+                         net (fo=8, routed)           0.144    -0.281    runnerObject/pos_object_y_target[0]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.281    
+  -------------------------------------------------------------------
+                         slack                                  0.201    
+
+Slack (MET) :             0.204ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[1]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.482%)  route 0.162ns (53.518%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[1]/Q
+                         net (fo=5, routed)           0.162    -0.263    runnerObject/counter_f_reg[1]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+                         clock pessimism              0.252    -0.553    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.086    -0.467    runnerObject/fcount_edge_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.467    
+                         arrival time                          -0.263    
+  -------------------------------------------------------------------
+                         slack                                  0.204    
+
+Slack (MET) :             0.204ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[5]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.472%)  route 0.162ns (53.528%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[5]/Q
+                         net (fo=5, routed)           0.162    -0.264    runnerObject/counter_f_reg[5]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.086    -0.468    runnerObject/fcount_edge_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.468    
+                         arrival time                          -0.264    
+  -------------------------------------------------------------------
+                         slack                                  0.204    
+
+Slack (MET) :             0.214ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.288ns  (logic 0.164ns (56.904%)  route 0.124ns (43.096%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    -0.572ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.592    -0.572    largeObstacle/CLK
+    SLICE_X80Y78         FDCE                                         r  largeObstacle/pos_object_x_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X80Y78         FDCE (Prop_fdce_C_Q)         0.164    -0.408 r  largeObstacle/pos_object_x_target_reg[4]/Q
+                         net (fo=5, routed)           0.124    -0.284    largeObstacle/pos_object_x_target_reg[4]
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    largeObstacle/CLK
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/C
+                         clock pessimism              0.253    -0.557    
+    SLICE_X80Y79         FDRE (Hold_fdre_C_D)         0.059    -0.498    largeObstacle/pos_object_x_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.498    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.214    
+
+
+
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk_out1_clk_wiz_0
+Waveform(ns):       { 0.000 20.000 }
+Period(ns):         40.000
+Sources:            { pixelClk/inst/mmcm_adv_inst/CLKOUT0 }
+
+Check Type        Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     BUFG/I              n/a            2.155         40.000      37.845     BUFGCTRL_X0Y16   pixelClk/inst/clkout1_buf/I
+Min Period        n/a     MMCME2_ADV/CLKOUT0  n/a            1.249         40.000      38.751     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[13]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[14]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y69     collisionDetection/collision_cnt_reg[15]/C
+Max Period        n/a     MMCME2_ADV/CLKOUT0  n/a            213.360       40.000      173.360    MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clkfbout_clk_wiz_0
+  To Clock:  clkfbout_clk_wiz_0
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        7.845ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clkfbout_clk_wiz_0
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { pixelClk/inst/mmcm_adv_inst/CLKFBOUT }
+
+Check Type  Corner  Lib Pin              Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period  n/a     BUFG/I               n/a            2.155         10.000      7.845      BUFGCTRL_X0Y17   pixelClk/inst/clkf_buf/I
+Min Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+Min Period  n/a     MMCME2_ADV/CLKFBIN   n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBIN   n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            213.360       10.000      203.360    MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  sys_clk_pin
+  To Clock:  sys_clk_pin
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        3.000ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         sys_clk_pin
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { clk }
+
+Check Type        Corner  Lib Pin            Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     MMCME2_ADV/CLKIN1  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Max Period        n/a     MMCME2_ADV/CLKIN1  n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKIN1
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out1_clk_wiz_0_1
+  To Clock:  clk_out1_clk_wiz_0_1
+
+Setup :            0  Failing Endpoints,  Worst Slack       24.912ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.161ns,  Total Violation        0.000ns
+PW    :            0  Failing Endpoints,  Worst Slack       19.500ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             24.912ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        15.033ns  (logic 5.901ns (39.253%)  route 9.132ns (60.747%))
+  Logic Levels:           18  (CARRY4=9 LUT1=2 LUT2=2 LUT4=2 LUT5=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 r  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          1.258    13.075    runnerObject/pos_object_y_target1
+    SLICE_X88Y80         LUT5 (Prop_lut5_I1_O)        0.332    13.407 r  runnerObject/pos_object_y_target[8]_i_4/O
+                         net (fo=2, routed)           0.682    14.088    runnerObject/pos_object_y_target[8]_i_4_n_0
+    SLICE_X88Y80         LUT4 (Prop_lut4_I3_O)        0.124    14.212 r  runnerObject/pos_object_y_target[8]_i_3/O
+                         net (fo=1, routed)           0.000    14.212    runnerObject/pos_object_y_target[8]_i_3_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_D)        0.079    39.125    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         39.125    
+                         arrival time                         -14.212    
+  -------------------------------------------------------------------
+                         slack                                 24.912    
+
+Slack (MET) :             25.048ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[5]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X88Y79         FDRE (Setup_fdre_C_R)       -0.524    38.522    runnerObject/pos_object_y_target_reg[5]
+  -------------------------------------------------------------------
+                         required time                         38.522    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.048    
+
+Slack (MET) :             25.106ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[6]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.522    runnerObject/pos_object_y_target_reg[6]
+  -------------------------------------------------------------------
+                         required time                         38.522    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.106    
+
+Slack (MET) :             25.106ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[7]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X88Y80         FDRE (Setup_fdre_C_R)       -0.524    38.522    runnerObject/pos_object_y_target_reg[7]
+  -------------------------------------------------------------------
+                         required time                         38.522    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.106    
+
+Slack (MET) :             25.106ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.522    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         38.522    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.106    
+
+Slack (MET) :             25.143ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[0]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X89Y79         FDRE (Setup_fdre_C_R)       -0.429    38.617    runnerObject/pos_object_y_target_reg[0]
+  -------------------------------------------------------------------
+                         required time                         38.617    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.143    
+
+Slack (MET) :             25.143ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[1]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.617    runnerObject/pos_object_y_target_reg[1]
+  -------------------------------------------------------------------
+                         required time                         38.617    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.143    
+
+Slack (MET) :             25.143ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[2]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.617    runnerObject/pos_object_y_target_reg[2]
+  -------------------------------------------------------------------
+                         required time                         38.617    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.143    
+
+Slack (MET) :             25.143ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[3]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.617    runnerObject/pos_object_y_target_reg[3]
+  -------------------------------------------------------------------
+                         required time                         38.617    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.143    
+
+Slack (MET) :             25.143ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[4]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.094    39.046    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.617    runnerObject/pos_object_y_target_reg[4]
+  -------------------------------------------------------------------
+                         required time                         38.617    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.143    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.161ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[3]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[3]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.962%)  route 0.116ns (45.038%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[3]/Q
+                         net (fo=5, routed)           0.116    -0.310    runnerObject/counter_f_reg[3]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+                         clock pessimism              0.252    -0.553    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.083    -0.470    runnerObject/fcount_edge_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.470    
+                         arrival time                          -0.310    
+  -------------------------------------------------------------------
+                         slack                                  0.161    
+
+Slack (MET) :             0.161ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.948%)  route 0.116ns (45.052%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[7]/Q
+                         net (fo=5, routed)           0.116    -0.311    runnerObject/counter_f_reg[7]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.083    -0.471    runnerObject/fcount_edge_reg[7]
+  -------------------------------------------------------------------
+                         required time                          0.471    
+                         arrival time                          -0.311    
+  -------------------------------------------------------------------
+                         slack                                  0.161    
+
+Slack (MET) :             0.166ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[4]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.264ns  (logic 0.141ns (53.502%)  route 0.123ns (46.498%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[4]/Q
+                         net (fo=5, routed)           0.123    -0.304    runnerObject/counter_f_reg[4]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.085    -0.469    runnerObject/fcount_edge_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.469    
+                         arrival time                          -0.304    
+  -------------------------------------------------------------------
+                         slack                                  0.166    
+
+Slack (MET) :             0.179ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[4]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.263ns  (logic 0.141ns (53.569%)  route 0.122ns (46.431%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[4]/Q
+                         net (fo=4, routed)           0.122    -0.303    runnerObject/pos_object_y_target[4]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.303    
+  -------------------------------------------------------------------
+                         slack                                  0.179    
+
+Slack (MET) :             0.189ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.272ns  (logic 0.141ns (51.925%)  route 0.131ns (48.075%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.565ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    largeObstacle/CLK
+    SLICE_X82Y81         FDCE                                         r  largeObstacle/pos_object_x_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X82Y81         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  largeObstacle/pos_object_x_target_reg[0]/Q
+                         net (fo=2, routed)           0.131    -0.294    largeObstacle/pos_object_x_target_reg[0]
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    largeObstacle/CLK
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X82Y80         FDRE (Hold_fdre_C_D)         0.070    -0.482    largeObstacle/pos_object_x_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.294    
+  -------------------------------------------------------------------
+                         slack                                  0.189    
+
+Slack (MET) :             0.198ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[2]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.282ns  (logic 0.141ns (49.964%)  route 0.141ns (50.036%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[2]/Q
+                         net (fo=6, routed)           0.141    -0.284    runnerObject/pos_object_y_target[2]
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X86Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[2]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.198    
+
+Slack (MET) :             0.201ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.285ns  (logic 0.141ns (49.436%)  route 0.144ns (50.564%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDRE (Prop_fdre_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[0]/Q
+                         net (fo=8, routed)           0.144    -0.281    runnerObject/pos_object_y_target[0]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.482    runnerObject/pos_object_y_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.482    
+                         arrival time                          -0.281    
+  -------------------------------------------------------------------
+                         slack                                  0.201    
+
+Slack (MET) :             0.204ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[1]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.482%)  route 0.162ns (53.518%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[1]/Q
+                         net (fo=5, routed)           0.162    -0.263    runnerObject/counter_f_reg[1]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+                         clock pessimism              0.252    -0.553    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.086    -0.467    runnerObject/fcount_edge_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.467    
+                         arrival time                          -0.263    
+  -------------------------------------------------------------------
+                         slack                                  0.204    
+
+Slack (MET) :             0.204ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[5]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.472%)  route 0.162ns (53.528%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[5]/Q
+                         net (fo=5, routed)           0.162    -0.264    runnerObject/counter_f_reg[5]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+                         clock pessimism              0.252    -0.554    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.086    -0.468    runnerObject/fcount_edge_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.468    
+                         arrival time                          -0.264    
+  -------------------------------------------------------------------
+                         slack                                  0.204    
+
+Slack (MET) :             0.214ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.288ns  (logic 0.164ns (56.904%)  route 0.124ns (43.096%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    -0.572ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.592    -0.572    largeObstacle/CLK
+    SLICE_X80Y78         FDCE                                         r  largeObstacle/pos_object_x_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X80Y78         FDCE (Prop_fdce_C_Q)         0.164    -0.408 r  largeObstacle/pos_object_x_target_reg[4]/Q
+                         net (fo=5, routed)           0.124    -0.284    largeObstacle/pos_object_x_target_reg[4]
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    largeObstacle/CLK
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/C
+                         clock pessimism              0.253    -0.557    
+    SLICE_X80Y79         FDRE (Hold_fdre_C_D)         0.059    -0.498    largeObstacle/pos_object_x_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.498    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.214    
+
+
+
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk_out1_clk_wiz_0_1
+Waveform(ns):       { 0.000 20.000 }
+Period(ns):         40.000
+Sources:            { pixelClk/inst/mmcm_adv_inst/CLKOUT0 }
+
+Check Type        Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     BUFG/I              n/a            2.155         40.000      37.845     BUFGCTRL_X0Y16   pixelClk/inst/clkout1_buf/I
+Min Period        n/a     MMCME2_ADV/CLKOUT0  n/a            1.249         40.000      38.751     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[13]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X89Y69     collisionDetection/collision_cnt_reg[14]/C
+Min Period        n/a     FDCE/C              n/a            1.000         40.000      39.000     SLICE_X88Y69     collisionDetection/collision_cnt_reg[15]/C
+Max Period        n/a     MMCME2_ADV/CLKOUT0  n/a            213.360       40.000      173.360    MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+Low Pulse Width   Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+Low Pulse Width   Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y67     collisionDetection/collision_cnt_reg[0]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y69     collisionDetection/collision_cnt_reg[10]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[10]_lopt_replica/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X88Y67     collisionDetection/collision_cnt_reg[11]/C
+High Pulse Width  Slow    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+High Pulse Width  Fast    FDCE/C              n/a            0.500         20.000      19.500     SLICE_X89Y69     collisionDetection/collision_cnt_reg[12]/C
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clkfbout_clk_wiz_0_1
+  To Clock:  clkfbout_clk_wiz_0_1
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        7.845ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clkfbout_clk_wiz_0_1
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { pixelClk/inst/mmcm_adv_inst/CLKFBOUT }
+
+Check Type  Corner  Lib Pin              Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period  n/a     BUFG/I               n/a            2.155         10.000      7.845      BUFGCTRL_X0Y17   pixelClk/inst/clkf_buf/I
+Min Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+Min Period  n/a     MMCME2_ADV/CLKFBIN   n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBIN   n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            213.360       10.000      203.360    MMCME2_ADV_X1Y2  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out1_clk_wiz_0_1
+  To Clock:  clk_out1_clk_wiz_0
+
+Setup :            0  Failing Endpoints,  Worst Slack       24.909ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.063ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             24.909ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        15.033ns  (logic 5.901ns (39.253%)  route 9.132ns (60.747%))
+  Logic Levels:           18  (CARRY4=9 LUT1=2 LUT2=2 LUT4=2 LUT5=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 r  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          1.258    13.075    runnerObject/pos_object_y_target1
+    SLICE_X88Y80         LUT5 (Prop_lut5_I1_O)        0.332    13.407 r  runnerObject/pos_object_y_target[8]_i_4/O
+                         net (fo=2, routed)           0.682    14.088    runnerObject/pos_object_y_target[8]_i_4_n_0
+    SLICE_X88Y80         LUT4 (Prop_lut4_I3_O)        0.124    14.212 r  runnerObject/pos_object_y_target[8]_i_3/O
+                         net (fo=1, routed)           0.000    14.212    runnerObject/pos_object_y_target[8]_i_3_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_D)        0.079    39.122    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         39.122    
+                         arrival time                         -14.212    
+  -------------------------------------------------------------------
+                         slack                                 24.909    
+
+Slack (MET) :             25.045ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[5]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y79         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[5]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.045    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[6]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[6]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[7]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[7]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[0]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDRE (Setup_fdre_C_R)       -0.429    38.614    runnerObject/pos_object_y_target_reg[0]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[1]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[1]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[2]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[2]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[3]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[3]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[4]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0 rise@40.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[4]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.063ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[3]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[3]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.962%)  route 0.116ns (45.038%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[3]/Q
+                         net (fo=5, routed)           0.116    -0.310    runnerObject/counter_f_reg[3]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+                         clock pessimism              0.252    -0.553    
+                         clock uncertainty            0.098    -0.456    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.083    -0.373    runnerObject/fcount_edge_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.373    
+                         arrival time                          -0.310    
+  -------------------------------------------------------------------
+                         slack                                  0.063    
+
+Slack (MET) :             0.063ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.948%)  route 0.116ns (45.052%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[7]/Q
+                         net (fo=5, routed)           0.116    -0.311    runnerObject/counter_f_reg[7]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.083    -0.374    runnerObject/fcount_edge_reg[7]
+  -------------------------------------------------------------------
+                         required time                          0.374    
+                         arrival time                          -0.311    
+  -------------------------------------------------------------------
+                         slack                                  0.063    
+
+Slack (MET) :             0.068ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[4]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.264ns  (logic 0.141ns (53.502%)  route 0.123ns (46.498%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[4]/Q
+                         net (fo=5, routed)           0.123    -0.304    runnerObject/counter_f_reg[4]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.085    -0.372    runnerObject/fcount_edge_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.372    
+                         arrival time                          -0.304    
+  -------------------------------------------------------------------
+                         slack                                  0.068    
+
+Slack (MET) :             0.082ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[4]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.263ns  (logic 0.141ns (53.569%)  route 0.122ns (46.431%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[4]/Q
+                         net (fo=4, routed)           0.122    -0.303    runnerObject/pos_object_y_target[4]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.303    
+  -------------------------------------------------------------------
+                         slack                                  0.082    
+
+Slack (MET) :             0.091ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.272ns  (logic 0.141ns (51.925%)  route 0.131ns (48.075%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.565ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    largeObstacle/CLK
+    SLICE_X82Y81         FDCE                                         r  largeObstacle/pos_object_x_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X82Y81         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  largeObstacle/pos_object_x_target_reg[0]/Q
+                         net (fo=2, routed)           0.131    -0.294    largeObstacle/pos_object_x_target_reg[0]
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    largeObstacle/CLK
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X82Y80         FDRE (Hold_fdre_C_D)         0.070    -0.385    largeObstacle/pos_object_x_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.294    
+  -------------------------------------------------------------------
+                         slack                                  0.091    
+
+Slack (MET) :             0.101ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[2]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.282ns  (logic 0.141ns (49.964%)  route 0.141ns (50.036%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[2]/Q
+                         net (fo=6, routed)           0.141    -0.284    runnerObject/pos_object_y_target[2]
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X86Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[2]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.101    
+
+Slack (MET) :             0.104ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.285ns  (logic 0.141ns (49.436%)  route 0.144ns (50.564%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDRE (Prop_fdre_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[0]/Q
+                         net (fo=8, routed)           0.144    -0.281    runnerObject/pos_object_y_target[0]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.281    
+  -------------------------------------------------------------------
+                         slack                                  0.104    
+
+Slack (MET) :             0.107ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[1]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.482%)  route 0.162ns (53.518%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[1]/Q
+                         net (fo=5, routed)           0.162    -0.263    runnerObject/counter_f_reg[1]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+                         clock pessimism              0.252    -0.553    
+                         clock uncertainty            0.098    -0.456    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.086    -0.370    runnerObject/fcount_edge_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.370    
+                         arrival time                          -0.263    
+  -------------------------------------------------------------------
+                         slack                                  0.107    
+
+Slack (MET) :             0.107ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[5]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.472%)  route 0.162ns (53.528%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[5]/Q
+                         net (fo=5, routed)           0.162    -0.264    runnerObject/counter_f_reg[5]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.086    -0.371    runnerObject/fcount_edge_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.371    
+                         arrival time                          -0.264    
+  -------------------------------------------------------------------
+                         slack                                  0.107    
+
+Slack (MET) :             0.117ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0_1 rise@0.000ns)
+  Data Path Delay:        0.288ns  (logic 0.164ns (56.904%)  route 0.124ns (43.096%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    -0.572ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.592    -0.572    largeObstacle/CLK
+    SLICE_X80Y78         FDCE                                         r  largeObstacle/pos_object_x_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X80Y78         FDCE (Prop_fdce_C_Q)         0.164    -0.408 r  largeObstacle/pos_object_x_target_reg[4]/Q
+                         net (fo=5, routed)           0.124    -0.284    largeObstacle/pos_object_x_target_reg[4]
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    largeObstacle/CLK
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/C
+                         clock pessimism              0.253    -0.557    
+                         clock uncertainty            0.098    -0.460    
+    SLICE_X80Y79         FDRE (Hold_fdre_C_D)         0.059    -0.401    largeObstacle/pos_object_x_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.401    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.117    
+
+
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out1_clk_wiz_0
+  To Clock:  clk_out1_clk_wiz_0_1
+
+Setup :            0  Failing Endpoints,  Worst Slack       24.909ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.063ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             24.909ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        15.033ns  (logic 5.901ns (39.253%)  route 9.132ns (60.747%))
+  Logic Levels:           18  (CARRY4=9 LUT1=2 LUT2=2 LUT4=2 LUT5=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 r  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          1.258    13.075    runnerObject/pos_object_y_target1
+    SLICE_X88Y80         LUT5 (Prop_lut5_I1_O)        0.332    13.407 r  runnerObject/pos_object_y_target[8]_i_4/O
+                         net (fo=2, routed)           0.682    14.088    runnerObject/pos_object_y_target[8]_i_4_n_0
+    SLICE_X88Y80         LUT4 (Prop_lut4_I3_O)        0.124    14.212 r  runnerObject/pos_object_y_target[8]_i_3/O
+                         net (fo=1, routed)           0.000    14.212    runnerObject/pos_object_y_target[8]_i_3_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_D)        0.079    39.122    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         39.122    
+                         arrival time                         -14.212    
+  -------------------------------------------------------------------
+                         slack                                 24.909    
+
+Slack (MET) :             25.045ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[5]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[5]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y79         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[5]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.045    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[6]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[6]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[6]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[7]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDRE                                         r  runnerObject/pos_object_y_target_reg[7]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDRE (Setup_fdre_C_R)       -0.524    38.519    runnerObject/pos_object_y_target_reg[7]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.102ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[8]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.237ns  (logic 5.777ns (40.577%)  route 8.460ns (59.423%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.639    13.416    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X88Y80         FDSE                                         r  runnerObject/pos_object_y_target_reg[8]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X88Y80         FDSE (Setup_fdse_C_S)       -0.524    38.519    runnerObject/pos_object_y_target_reg[8]
+  -------------------------------------------------------------------
+                         required time                         38.519    
+                         arrival time                         -13.416    
+  -------------------------------------------------------------------
+                         slack                                 25.102    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[0]/R
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/R
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDRE (Setup_fdre_C_R)       -0.429    38.614    runnerObject/pos_object_y_target_reg[0]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[1]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[1]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[1]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[2]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[2]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[3]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[3]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[3]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+Slack (MET) :             25.140ns  (required time - arrival time)
+  Source:                 vgaInterface/counter_f_reg[2]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_target_reg[4]/S
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            40.000ns  (clk_out1_clk_wiz_0_1 rise@40.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        14.294ns  (logic 5.777ns (40.414%)  route 8.517ns (59.586%))
+  Logic Levels:           17  (CARRY4=9 LUT1=2 LUT2=2 LUT3=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.039ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns = ( 38.581 - 40.000 ) 
+    Source Clock Delay      (SCD):    -0.821ns
+    Clock Pessimism Removal (CPR):    0.559ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.456    -0.365 f  vgaInterface/counter_f_reg[2]/Q
+                         net (fo=5, routed)           1.006     0.641    vgaInterface/counter_f_reg[2]
+    SLICE_X88Y67         LUT1 (Prop_lut1_I0_O)        0.124     0.765 r  vgaInterface/FCounter_17bit_carry_i_3/O
+                         net (fo=1, routed)           0.000     0.765    runnerObject/pos_object_y_target6_carry_0[1]
+    SLICE_X88Y67         CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.533     1.298 r  runnerObject/FCounter_17bit_carry/CO[3]
+                         net (fo=1, routed)           0.000     1.298    runnerObject/FCounter_17bit_carry_n_0
+    SLICE_X88Y68         CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     1.537 r  runnerObject/FCounter_17bit_carry__0/O[2]
+                         net (fo=2, routed)           0.987     2.523    runnerObject/FCounter_17bit[7]
+    SLICE_X88Y71         LUT2 (Prop_lut2_I0_O)        0.301     2.824 r  runnerObject/pos_object_y_target6_carry__0_i_1/O
+                         net (fo=1, routed)           0.000     2.824    runnerObject/pos_object_y_target6_carry__0_i_1_n_0
+    SLICE_X88Y71         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.376     3.200 r  runnerObject/pos_object_y_target6_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     3.200    runnerObject/pos_object_y_target6_carry__0_n_0
+    SLICE_X88Y72         CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     3.523 f  runnerObject/pos_object_y_target6_carry__1/O[1]
+                         net (fo=5, routed)           0.953     4.477    runnerObject/pos_object_y_target6[9]
+    SLICE_X82Y72         LUT1 (Prop_lut1_I0_O)        0.306     4.783 r  runnerObject/i__carry__1_i_13/O
+                         net (fo=1, routed)           0.000     4.783    runnerObject/p_0_in[9]
+    SLICE_X82Y72         CARRY4 (Prop_carry4_S[0]_O[2])
+                                                      0.547     5.330 f  runnerObject/i__carry__1_i_9/O[2]
+                         net (fo=2, routed)           0.821     6.151    runnerObject/pos_object_y_target9[11]
+    SLICE_X84Y71         LUT4 (Prop_lut4_I3_O)        0.302     6.453 r  runnerObject/pos_object_y_target2_carry_i_13/O
+                         net (fo=2, routed)           0.420     6.872    runnerObject/pos_object_y_target2_carry_i_13_n_0
+    SLICE_X87Y72         LUT6 (Prop_lut6_I0_O)        0.124     6.996 r  runnerObject/pos_object_y_target2_carry_i_10/O
+                         net (fo=34, routed)          1.081     8.077    runnerObject/pos_object_y_target2_carry_i_10_n_0
+    SLICE_X84Y70         LUT6 (Prop_lut6_I3_O)        0.124     8.201 r  runnerObject/pos_object_y_target2_carry_i_9/O
+                         net (fo=1, routed)           0.701     8.903    runnerObject/pos_object_y_target2_carry_i_9_n_0
+    SLICE_X85Y70         CARRY4 (Prop_carry4_S[0]_O[3])
+                                                      0.730     9.633 f  runnerObject/pos_object_y_target2_carry/O[3]
+                         net (fo=2, routed)           1.215    10.847    runnerObject/pos_object_y_target2[4]
+    SLICE_X84Y73         LUT2 (Prop_lut2_I1_O)        0.306    11.153 r  runnerObject/pos_object_y_target1_carry_i_4/O
+                         net (fo=1, routed)           0.000    11.153    runnerObject/pos_object_y_target1_carry_i_4_n_0
+    SLICE_X84Y73         CARRY4 (Prop_carry4_S[2]_CO[3])
+                                                      0.380    11.533 r  runnerObject/pos_object_y_target1_carry/CO[3]
+                         net (fo=1, routed)           0.000    11.533    runnerObject/pos_object_y_target1_carry_n_0
+    SLICE_X84Y74         CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117    11.650 r  runnerObject/pos_object_y_target1_carry__0/CO[3]
+                         net (fo=1, routed)           0.009    11.659    runnerObject/pos_object_y_target1_carry__0_n_0
+    SLICE_X84Y75         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157    11.816 f  runnerObject/pos_object_y_target1_carry__1/CO[1]
+                         net (fo=11, routed)          0.629    12.445    runnerObject/pos_object_y_target1
+    SLICE_X86Y77         LUT3 (Prop_lut3_I0_O)        0.332    12.777 r  runnerObject/pos_object_y_target[8]_i_1/O
+                         net (fo=9, routed)           0.697    13.474    runnerObject/pos_object_y_target[8]_i_1_n_0
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/S
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                     40.000    40.000 r  
+    E3                                                0.000    40.000 r  clk (IN)
+                         net (fo=0)                   0.000    40.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411    41.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    42.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    35.249 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    36.888    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    36.979 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    38.581    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+                         clock pessimism              0.559    39.140    
+                         clock uncertainty           -0.098    39.043    
+    SLICE_X89Y79         FDSE (Setup_fdse_C_S)       -0.429    38.614    runnerObject/pos_object_y_target_reg[4]
+  -------------------------------------------------------------------
+                         required time                         38.614    
+                         arrival time                         -13.474    
+  -------------------------------------------------------------------
+                         slack                                 25.140    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.063ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[3]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[3]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.962%)  route 0.116ns (45.038%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[3]/Q
+                         net (fo=5, routed)           0.116    -0.310    runnerObject/counter_f_reg[3]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+                         clock pessimism              0.252    -0.553    
+                         clock uncertainty            0.098    -0.456    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.083    -0.373    runnerObject/fcount_edge_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.373    
+                         arrival time                          -0.310    
+  -------------------------------------------------------------------
+                         slack                                  0.063    
+
+Slack (MET) :             0.063ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.257ns  (logic 0.141ns (54.948%)  route 0.116ns (45.052%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[7]/Q
+                         net (fo=5, routed)           0.116    -0.311    runnerObject/counter_f_reg[7]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.083    -0.374    runnerObject/fcount_edge_reg[7]
+  -------------------------------------------------------------------
+                         required time                          0.374    
+                         arrival time                          -0.311    
+  -------------------------------------------------------------------
+                         slack                                  0.063    
+
+Slack (MET) :             0.068ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[4]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.264ns  (logic 0.141ns (53.502%)  route 0.123ns (46.498%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[4]/Q
+                         net (fo=5, routed)           0.123    -0.304    runnerObject/counter_f_reg[4]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.085    -0.372    runnerObject/fcount_edge_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.372    
+                         arrival time                          -0.304    
+  -------------------------------------------------------------------
+                         slack                                  0.068    
+
+Slack (MET) :             0.082ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[4]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.263ns  (logic 0.141ns (53.569%)  route 0.122ns (46.431%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[4]/Q
+                         net (fo=4, routed)           0.122    -0.303    runnerObject/pos_object_y_target[4]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[4]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.303    
+  -------------------------------------------------------------------
+                         slack                                  0.082    
+
+Slack (MET) :             0.091ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.272ns  (logic 0.141ns (51.925%)  route 0.131ns (48.075%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.565ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    largeObstacle/CLK
+    SLICE_X82Y81         FDCE                                         r  largeObstacle/pos_object_x_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X82Y81         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  largeObstacle/pos_object_x_target_reg[0]/Q
+                         net (fo=2, routed)           0.131    -0.294    largeObstacle/pos_object_x_target_reg[0]
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    largeObstacle/CLK
+    SLICE_X82Y80         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X82Y80         FDRE (Hold_fdre_C_D)         0.070    -0.385    largeObstacle/pos_object_x_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.294    
+  -------------------------------------------------------------------
+                         slack                                  0.091    
+
+Slack (MET) :             0.101ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[2]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.282ns  (logic 0.141ns (49.964%)  route 0.141ns (50.036%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDSE                                         r  runnerObject/pos_object_y_target_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDSE (Prop_fdse_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[2]/Q
+                         net (fo=6, routed)           0.141    -0.284    runnerObject/pos_object_y_target[2]
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X86Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[2]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X86Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[2]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.101    
+
+Slack (MET) :             0.104ns  (arrival time - required time)
+  Source:                 runnerObject/pos_object_y_target_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/pos_object_y_actual_reg[0]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.285ns  (logic 0.141ns (49.436%)  route 0.144ns (50.564%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.014ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    runnerObject/clk_out1
+    SLICE_X89Y79         FDRE                                         r  runnerObject/pos_object_y_target_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y79         FDRE (Prop_fdre_C_Q)         0.141    -0.425 r  runnerObject/pos_object_y_target_reg[0]/Q
+                         net (fo=8, routed)           0.144    -0.281    runnerObject/pos_object_y_target[0]
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[0]/C
+                         clock pessimism              0.253    -0.552    
+                         clock uncertainty            0.098    -0.455    
+    SLICE_X87Y79         FDRE (Hold_fdre_C_D)         0.070    -0.385    runnerObject/pos_object_y_actual_reg[0]
+  -------------------------------------------------------------------
+                         required time                          0.385    
+                         arrival time                          -0.281    
+  -------------------------------------------------------------------
+                         slack                                  0.104    
+
+Slack (MET) :             0.107ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[1]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.482%)  route 0.162ns (53.518%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    -0.566ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.598    -0.566    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y70         FDCE (Prop_fdce_C_Q)         0.141    -0.425 r  vgaInterface/counter_f_reg[1]/Q
+                         net (fo=5, routed)           0.162    -0.263    runnerObject/counter_f_reg[1]
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+                         clock pessimism              0.252    -0.553    
+                         clock uncertainty            0.098    -0.456    
+    SLICE_X88Y70         FDCE (Hold_fdce_C_D)         0.086    -0.370    runnerObject/fcount_edge_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.370    
+                         arrival time                          -0.263    
+  -------------------------------------------------------------------
+                         slack                                  0.107    
+
+Slack (MET) :             0.107ns  (arrival time - required time)
+  Source:                 vgaInterface/counter_f_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            runnerObject/fcount_edge_reg[5]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.303ns  (logic 0.141ns (46.472%)  route 0.162ns (53.528%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    -0.567ns
+    Clock Pessimism Removal (CPR):    -0.252ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.597    -0.567    vgaInterface/clk_out1
+    SLICE_X89Y71         FDCE                                         r  vgaInterface/counter_f_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y71         FDCE (Prop_fdce_C_Q)         0.141    -0.426 r  vgaInterface/counter_f_reg[5]/Q
+                         net (fo=5, routed)           0.162    -0.264    runnerObject/counter_f_reg[5]
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+                         clock pessimism              0.252    -0.554    
+                         clock uncertainty            0.098    -0.457    
+    SLICE_X88Y71         FDCE (Hold_fdce_C_D)         0.086    -0.371    runnerObject/fcount_edge_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.371    
+                         arrival time                          -0.264    
+  -------------------------------------------------------------------
+                         slack                                  0.107    
+
+Slack (MET) :             0.117ns  (arrival time - required time)
+  Source:                 largeObstacle/pos_object_x_target_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            largeObstacle/pos_object_x_actual_reg[4]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             clk_out1_clk_wiz_0_1
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0_1 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.288ns  (logic 0.164ns (56.904%)  route 0.124ns (43.096%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    -0.572ns
+    Clock Pessimism Removal (CPR):    -0.253ns
+  Clock Uncertainty:      0.098ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.000ns
+  Clock Domain Crossing:  Inter clock paths are considered valid unless explicitly excluded by timing constraints such as set_clock_groups or set_false_path.
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.592    -0.572    largeObstacle/CLK
+    SLICE_X80Y78         FDCE                                         r  largeObstacle/pos_object_x_target_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X80Y78         FDCE (Prop_fdce_C_Q)         0.164    -0.408 r  largeObstacle/pos_object_x_target_reg[4]/Q
+                         net (fo=5, routed)           0.124    -0.284    largeObstacle/pos_object_x_target_reg[4]
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    largeObstacle/CLK
+    SLICE_X80Y79         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[4]/C
+                         clock pessimism              0.253    -0.557    
+                         clock uncertainty            0.098    -0.460    
+    SLICE_X80Y79         FDRE (Hold_fdre_C_D)         0.059    -0.401    largeObstacle/pos_object_x_actual_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.401    
+                         arrival time                          -0.284    
+  -------------------------------------------------------------------
+                         slack                                  0.117    
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  clk_out1_clk_wiz_0
+  To Clock:  
+
+Max Delay            30 Endpoints
+Min Delay            30 Endpoints
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.564ns  (logic 5.677ns (41.849%)  route 7.888ns (58.151%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.616     4.965    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I4_O)        0.320     5.285 r  vgaInterface/vgaBlue_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.705     8.990    vgaBlue_OBUF[3]
+    D8                   OBUF (Prop_obuf_I_O)         3.754    12.744 r  vgaBlue_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    12.744    vgaBlue[3]
+    D8                                                                r  vgaBlue[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.545ns  (logic 5.474ns (40.411%)  route 8.071ns (59.589%))
+  Logic Levels:           7  (CARRY4=2 LUT4=3 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.810     5.159    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT4 (Prop_lut4_I1_O)        0.326     5.485 r  vgaInterface/vgaGreen_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.695     9.180    vgaGreen_OBUF[1]
+    A5                   OBUF (Prop_obuf_I_O)         3.545    12.724 r  vgaGreen_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    12.724    vgaGreen[1]
+    A5                                                                r  vgaGreen[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[2]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.522ns  (logic 5.678ns (41.995%)  route 7.843ns (58.005%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 f  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 f  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.620     4.969    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I2_O)        0.321     5.290 r  vgaInterface/vgaGreen_OBUF[2]_inst_i_1/O
+                         net (fo=1, routed)           3.657     8.947    vgaGreen_OBUF[2]
+    B6                   OBUF (Prop_obuf_I_O)         3.754    12.701 r  vgaGreen_OBUF[2]_inst/O
+                         net (fo=0)                   0.000    12.701    vgaGreen[2]
+    B6                                                                r  vgaGreen[2] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.363ns  (logic 5.480ns (41.011%)  route 7.883ns (58.989%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.616     4.965    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I2_O)        0.326     5.291 r  vgaInterface/vgaBlue_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.700     8.991    vgaBlue_OBUF[1]
+    C7                   OBUF (Prop_obuf_I_O)         3.551    12.542 r  vgaBlue_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    12.542    vgaBlue[1]
+    C7                                                                r  vgaBlue[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[2]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.104ns  (logic 5.452ns (41.609%)  route 7.651ns (58.391%))
+  Logic Levels:           7  (CARRY4=2 LUT4=3 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.620     4.969    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT4 (Prop_lut4_I3_O)        0.326     5.295 r  vgaInterface/vgaBlue_OBUF[2]_inst_i_1/O
+                         net (fo=1, routed)           3.465     8.760    vgaBlue_OBUF[2]
+    D7                   OBUF (Prop_obuf_I_O)         3.523    12.283 r  vgaBlue_OBUF[2]_inst/O
+                         net (fo=0)                   0.000    12.283    vgaBlue[2]
+    D7                                                                r  vgaBlue[2] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 largeObstacle/pos_object_x_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.861ns  (logic 5.316ns (41.338%)  route 7.544ns (58.662%))
+  Logic Levels:           7  (CARRY4=2 LUT4=1 LUT5=1 LUT6=2 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.710    -0.830    largeObstacle/CLK
+    SLICE_X81Y78         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X81Y78         FDRE (Prop_fdre_C_Q)         0.456    -0.374 f  largeObstacle/pos_object_x_actual_reg[1]/Q
+                         net (fo=8, routed)           1.456     1.082    largeObstacle/pos_object_x_actual_reg[9]_0[1]
+    SLICE_X80Y79         LUT6 (Prop_lut6_I3_O)        0.124     1.206 r  largeObstacle/_carry__0_i_5__0/O
+                         net (fo=5, routed)           0.897     2.103    largeObstacle/_carry__0_i_5__0_n_0
+    SLICE_X81Y79         LUT4 (Prop_lut4_I1_O)        0.124     2.227 r  largeObstacle/_carry__0_i_1__0/O
+                         net (fo=1, routed)           0.000     2.227    largeObstacle/_carry__0_i_1__0_n_0
+    SLICE_X81Y79         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.401     2.628 r  largeObstacle/_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     2.628    largeObstacle/_carry__0_n_0
+    SLICE_X81Y80         CARRY4 (Prop_carry4_CI_CO[2])
+                                                      0.228     2.856 r  largeObstacle/_carry__1/CO[2]
+                         net (fo=1, routed)           0.501     3.357    largeObstacle/_carry__1_n_1
+    SLICE_X83Y80         LUT5 (Prop_lut5_I1_O)        0.313     3.670 f  largeObstacle/vgaRed_OBUF[3]_inst_i_2/O
+                         net (fo=9, routed)           0.996     4.666    runnerObject/collision_cnt_reg[15]_4
+    SLICE_X87Y84         LUT6 (Prop_lut6_I4_O)        0.124     4.790 r  runnerObject/vgaGreen_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.695     8.485    vgaGreen_OBUF[3]
+    A6                   OBUF (Prop_obuf_I_O)         3.546    12.031 r  vgaGreen_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    12.031    vgaGreen[3]
+    A6                                                                r  vgaGreen[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 largeObstacle/pos_object_x_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.647ns  (logic 5.322ns (42.078%)  route 7.325ns (57.922%))
+  Logic Levels:           7  (CARRY4=2 LUT4=1 LUT5=1 LUT6=2 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.710    -0.830    largeObstacle/CLK
+    SLICE_X81Y78         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X81Y78         FDRE (Prop_fdre_C_Q)         0.456    -0.374 f  largeObstacle/pos_object_x_actual_reg[1]/Q
+                         net (fo=8, routed)           1.456     1.082    largeObstacle/pos_object_x_actual_reg[9]_0[1]
+    SLICE_X80Y79         LUT6 (Prop_lut6_I3_O)        0.124     1.206 r  largeObstacle/_carry__0_i_5__0/O
+                         net (fo=5, routed)           0.897     2.103    largeObstacle/_carry__0_i_5__0_n_0
+    SLICE_X81Y79         LUT4 (Prop_lut4_I1_O)        0.124     2.227 r  largeObstacle/_carry__0_i_1__0/O
+                         net (fo=1, routed)           0.000     2.227    largeObstacle/_carry__0_i_1__0_n_0
+    SLICE_X81Y79         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.401     2.628 r  largeObstacle/_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     2.628    largeObstacle/_carry__0_n_0
+    SLICE_X81Y80         CARRY4 (Prop_carry4_CI_CO[2])
+                                                      0.228     2.856 f  largeObstacle/_carry__1/CO[2]
+                         net (fo=1, routed)           0.501     3.357    largeObstacle/_carry__1_n_1
+    SLICE_X83Y80         LUT5 (Prop_lut5_I1_O)        0.313     3.670 r  largeObstacle/vgaRed_OBUF[3]_inst_i_2/O
+                         net (fo=9, routed)           1.010     4.680    runnerObject/collision_cnt_reg[15]_4
+    SLICE_X86Y84         LUT6 (Prop_lut6_I4_O)        0.124     4.804 r  runnerObject/vgaRed_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.462     8.266    vgaRed_OBUF[3]
+    A4                   OBUF (Prop_obuf_I_O)         3.552    11.817 r  vgaRed_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    11.817    vgaRed[3]
+    A4                                                                r  vgaRed[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.551ns  (logic 5.059ns (40.306%)  route 7.492ns (59.694%))
+  Logic Levels:           6  (LUT4=1 LUT6=4 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           1.156     4.615    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT6 (Prop_lut6_I5_O)        0.124     4.739 r  runnerObject/vgaRed_OBUF[0]_inst_i_1/O
+                         net (fo=1, routed)           3.433     8.172    vgaRed_OBUF[0]
+    A3                   OBUF (Prop_obuf_I_O)         3.554    11.726 r  vgaRed_OBUF[0]_inst/O
+                         net (fo=0)                   0.000    11.726    vgaRed[0]
+    A3                                                                r  vgaRed[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.423ns  (logic 5.256ns (42.310%)  route 7.167ns (57.690%))
+  Logic Levels:           6  (LUT4=1 LUT5=1 LUT6=3 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           0.750     4.209    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT5 (Prop_lut5_I4_O)        0.119     4.328 r  runnerObject/vgaRed_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.514     7.842    vgaRed_OBUF[1]
+    B4                   OBUF (Prop_obuf_I_O)         3.756    11.599 r  vgaRed_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    11.599    vgaRed[1]
+    B4                                                                r  vgaRed[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.404ns  (logic 5.043ns (40.659%)  route 7.360ns (59.341%))
+  Logic Levels:           6  (LUT4=1 LUT5=1 LUT6=3 OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           0.750     4.209    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT5 (Prop_lut5_I0_O)        0.124     4.333 r  runnerObject/vgaGreen_OBUF[0]_inst_i_1/O
+                         net (fo=1, routed)           3.708     8.041    vgaGreen_OBUF[0]
+    C6                   OBUF (Prop_obuf_I_O)         3.538    11.579 r  vgaGreen_OBUF[0]_inst/O
+                         net (fo=0)                   0.000    11.579    vgaGreen[0]
+    C6                                                                r  vgaGreen[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[12]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[12]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.741ns  (logic 1.374ns (78.953%)  route 0.366ns (21.047%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X89Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[12]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y69         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  collisionDetection/collision_cnt_reg[12]/Q
+                         net (fo=3, routed)           0.366    -0.058    led_OBUF[12]
+    P5                   OBUF (Prop_obuf_I_O)         1.233     1.175 r  led_OBUF[12]_inst/O
+                         net (fo=0)                   0.000     1.175    led[12]
+    P5                                                                r  led[12] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[11]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[11]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.753ns  (logic 1.395ns (79.584%)  route 0.358ns (20.416%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X88Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[11]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y67         FDCE (Prop_fdce_C_Q)         0.164    -0.399 r  collisionDetection/collision_cnt_reg[11]/Q
+                         net (fo=3, routed)           0.358    -0.041    led_OBUF[11]
+    R1                   OBUF (Prop_obuf_I_O)         1.231     1.190 r  led_OBUF[11]_inst/O
+                         net (fo=0)                   0.000     1.190    led[11]
+    R1                                                                r  led[11] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[15]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[15]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.757ns  (logic 1.398ns (79.568%)  route 0.359ns (20.432%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X88Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[15]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y69         FDCE (Prop_fdce_C_Q)         0.164    -0.401 r  collisionDetection/collision_cnt_reg[15]/Q
+                         net (fo=3, routed)           0.359    -0.042    led_OBUF[15]
+    P2                   OBUF (Prop_obuf_I_O)         1.234     1.192 r  led_OBUF[15]_inst/O
+                         net (fo=0)                   0.000     1.192    led[15]
+    P2                                                                r  led[15] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[14]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[14]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.770ns  (logic 1.412ns (79.757%)  route 0.358ns (20.243%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X89Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[14]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y69         FDCE (Prop_fdce_C_Q)         0.128    -0.437 r  collisionDetection/collision_cnt_reg[14]/Q
+                         net (fo=4, routed)           0.358    -0.079    led_OBUF[14]
+    R2                   OBUF (Prop_obuf_I_O)         1.284     1.205 r  led_OBUF[14]_inst/O
+                         net (fo=0)                   0.000     1.205    led[14]
+    R2                                                                r  led[14] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.861ns  (logic 1.409ns (75.700%)  route 0.452ns (24.300%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y67         FDCE (Prop_fdce_C_Q)         0.141    -0.422 r  collisionDetection/collision_cnt_reg[1]/Q
+                         net (fo=3, routed)           0.452     0.030    led_OBUF[1]
+    V9                   OBUF (Prop_obuf_I_O)         1.268     1.298 r  led_OBUF[1]_inst/O
+                         net (fo=0)                   0.000     1.298    led[1]
+    V9                                                                r  led[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[5]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.867ns  (logic 1.393ns (74.599%)  route 0.474ns (25.401%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.141    -0.423 r  collisionDetection/collision_cnt_reg[5]/Q
+                         net (fo=3, routed)           0.474     0.051    led_OBUF[5]
+    T4                   OBUF (Prop_obuf_I_O)         1.252     1.303 r  led_OBUF[5]_inst/O
+                         net (fo=0)                   0.000     1.303    led[5]
+    T4                                                                r  led[5] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[9]_lopt_replica/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[9]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.891ns  (logic 1.412ns (74.707%)  route 0.478ns (25.293%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.594    -0.570    collisionDetection/CLK
+    SLICE_X89Y74         FDCE                                         r  collisionDetection/collision_cnt_reg[9]_lopt_replica/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y74         FDCE (Prop_fdce_C_Q)         0.141    -0.429 r  collisionDetection/collision_cnt_reg[9]_lopt_replica/Q
+                         net (fo=1, routed)           0.478     0.049    lopt_2
+    U3                   OBUF (Prop_obuf_I_O)         1.271     1.320 r  led_OBUF[9]_inst/O
+                         net (fo=0)                   0.000     1.320    led[9]
+    U3                                                                r  led[9] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[7]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.920ns  (logic 1.404ns (73.103%)  route 0.516ns (26.897%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.141    -0.423 r  collisionDetection/collision_cnt_reg[7]/Q
+                         net (fo=3, routed)           0.516     0.093    led_OBUF[7]
+    U6                   OBUF (Prop_obuf_I_O)         1.263     1.356 r  led_OBUF[7]_inst/O
+                         net (fo=0)                   0.000     1.356    led[7]
+    U6                                                                r  led[7] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.934ns  (logic 1.394ns (72.063%)  route 0.540ns (27.937%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y67         FDCE (Prop_fdce_C_Q)         0.141    -0.422 r  collisionDetection/collision_cnt_reg[0]/Q
+                         net (fo=2, routed)           0.540     0.118    led_OBUF[0]
+    T8                   OBUF (Prop_obuf_I_O)         1.253     1.371 r  led_OBUF[0]_inst/O
+                         net (fo=0)                   0.000     1.371    led[0]
+    T8                                                                r  led[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[4]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.940ns  (logic 1.439ns (74.195%)  route 0.501ns (25.805%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.128    -0.436 r  collisionDetection/collision_cnt_reg[4]/Q
+                         net (fo=4, routed)           0.501     0.064    led_OBUF[4]
+    T5                   OBUF (Prop_obuf_I_O)         1.311     1.375 r  led_OBUF[4]_inst/O
+                         net (fo=0)                   0.000     1.375    led[4]
+    T5                                                                r  led[4] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  clk_out1_clk_wiz_0_1
+  To Clock:  
+
+Max Delay            30 Endpoints
+Min Delay            30 Endpoints
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.564ns  (logic 5.677ns (41.849%)  route 7.888ns (58.151%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.616     4.965    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I4_O)        0.320     5.285 r  vgaInterface/vgaBlue_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.705     8.990    vgaBlue_OBUF[3]
+    D8                   OBUF (Prop_obuf_I_O)         3.754    12.744 r  vgaBlue_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    12.744    vgaBlue[3]
+    D8                                                                r  vgaBlue[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.545ns  (logic 5.474ns (40.411%)  route 8.071ns (59.589%))
+  Logic Levels:           7  (CARRY4=2 LUT4=3 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.810     5.159    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT4 (Prop_lut4_I1_O)        0.326     5.485 r  vgaInterface/vgaGreen_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.695     9.180    vgaGreen_OBUF[1]
+    A5                   OBUF (Prop_obuf_I_O)         3.545    12.724 r  vgaGreen_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    12.724    vgaGreen[1]
+    A5                                                                r  vgaGreen[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[2]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.522ns  (logic 5.678ns (41.995%)  route 7.843ns (58.005%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 f  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 f  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.620     4.969    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I2_O)        0.321     5.290 r  vgaInterface/vgaGreen_OBUF[2]_inst_i_1/O
+                         net (fo=1, routed)           3.657     8.947    vgaGreen_OBUF[2]
+    B6                   OBUF (Prop_obuf_I_O)         3.754    12.701 r  vgaGreen_OBUF[2]_inst/O
+                         net (fo=0)                   0.000    12.701    vgaGreen[2]
+    B6                                                                r  vgaGreen[2] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.363ns  (logic 5.480ns (41.011%)  route 7.883ns (58.989%))
+  Logic Levels:           7  (CARRY4=2 LUT4=2 LUT5=1 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.616     4.965    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT5 (Prop_lut5_I2_O)        0.326     5.291 r  vgaInterface/vgaBlue_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.700     8.991    vgaBlue_OBUF[1]
+    C7                   OBUF (Prop_obuf_I_O)         3.551    12.542 r  vgaBlue_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    12.542    vgaBlue[1]
+    C7                                                                r  vgaBlue[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 runnerObject/pos_object_y_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaBlue[2]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        13.104ns  (logic 5.452ns (41.609%)  route 7.651ns (58.391%))
+  Logic Levels:           7  (CARRY4=2 LUT4=3 LUT6=1 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.719    -0.821    runnerObject/clk_out1
+    SLICE_X87Y79         FDRE                                         r  runnerObject/pos_object_y_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X87Y79         FDRE (Prop_fdre_C_Q)         0.456    -0.365 r  runnerObject/pos_object_y_actual_reg[1]/Q
+                         net (fo=11, routed)          1.064     0.699    runnerObject/pos_object_y_actual_reg[8]_0[1]
+    SLICE_X86Y78         LUT4 (Prop_lut4_I1_O)        0.124     0.823 r  runnerObject/color_runner3_carry_i_9/O
+                         net (fo=4, routed)           0.844     1.667    runnerObject/color_runner3_carry_i_9_n_0
+    SLICE_X88Y79         LUT6 (Prop_lut6_I4_O)        0.124     1.791 r  runnerObject/color_runner3_carry_i_1/O
+                         net (fo=1, routed)           0.663     2.454    runnerObject/color_runner3_carry_i_1_n_0
+    SLICE_X86Y79         CARRY4 (Prop_carry4_DI[3]_CO[3])
+                                                      0.385     2.839 r  runnerObject/color_runner3_carry/CO[3]
+                         net (fo=1, routed)           0.000     2.839    runnerObject/color_runner3_carry_n_0
+    SLICE_X86Y80         CARRY4 (Prop_carry4_CI_CO[1])
+                                                      0.157     2.996 r  runnerObject/color_runner3_carry__0/CO[1]
+                         net (fo=7, routed)           0.997     3.992    runnerObject/color_runner3
+    SLICE_X87Y84         LUT4 (Prop_lut4_I3_O)        0.357     4.349 r  runnerObject/vgaBlue_OBUF[3]_inst_i_4/O
+                         net (fo=5, routed)           0.620     4.969    vgaInterface/vgaGreen[1]_0
+    SLICE_X87Y83         LUT4 (Prop_lut4_I3_O)        0.326     5.295 r  vgaInterface/vgaBlue_OBUF[2]_inst_i_1/O
+                         net (fo=1, routed)           3.465     8.760    vgaBlue_OBUF[2]
+    D7                   OBUF (Prop_obuf_I_O)         3.523    12.283 r  vgaBlue_OBUF[2]_inst/O
+                         net (fo=0)                   0.000    12.283    vgaBlue[2]
+    D7                                                                r  vgaBlue[2] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 largeObstacle/pos_object_x_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.861ns  (logic 5.316ns (41.338%)  route 7.544ns (58.662%))
+  Logic Levels:           7  (CARRY4=2 LUT4=1 LUT5=1 LUT6=2 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.710    -0.830    largeObstacle/CLK
+    SLICE_X81Y78         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X81Y78         FDRE (Prop_fdre_C_Q)         0.456    -0.374 f  largeObstacle/pos_object_x_actual_reg[1]/Q
+                         net (fo=8, routed)           1.456     1.082    largeObstacle/pos_object_x_actual_reg[9]_0[1]
+    SLICE_X80Y79         LUT6 (Prop_lut6_I3_O)        0.124     1.206 r  largeObstacle/_carry__0_i_5__0/O
+                         net (fo=5, routed)           0.897     2.103    largeObstacle/_carry__0_i_5__0_n_0
+    SLICE_X81Y79         LUT4 (Prop_lut4_I1_O)        0.124     2.227 r  largeObstacle/_carry__0_i_1__0/O
+                         net (fo=1, routed)           0.000     2.227    largeObstacle/_carry__0_i_1__0_n_0
+    SLICE_X81Y79         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.401     2.628 r  largeObstacle/_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     2.628    largeObstacle/_carry__0_n_0
+    SLICE_X81Y80         CARRY4 (Prop_carry4_CI_CO[2])
+                                                      0.228     2.856 r  largeObstacle/_carry__1/CO[2]
+                         net (fo=1, routed)           0.501     3.357    largeObstacle/_carry__1_n_1
+    SLICE_X83Y80         LUT5 (Prop_lut5_I1_O)        0.313     3.670 f  largeObstacle/vgaRed_OBUF[3]_inst_i_2/O
+                         net (fo=9, routed)           0.996     4.666    runnerObject/collision_cnt_reg[15]_4
+    SLICE_X87Y84         LUT6 (Prop_lut6_I4_O)        0.124     4.790 r  runnerObject/vgaGreen_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.695     8.485    vgaGreen_OBUF[3]
+    A6                   OBUF (Prop_obuf_I_O)         3.546    12.031 r  vgaGreen_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    12.031    vgaGreen[3]
+    A6                                                                r  vgaGreen[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 largeObstacle/pos_object_x_actual_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[3]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.647ns  (logic 5.322ns (42.078%)  route 7.325ns (57.922%))
+  Logic Levels:           7  (CARRY4=2 LUT4=1 LUT5=1 LUT6=2 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.710    -0.830    largeObstacle/CLK
+    SLICE_X81Y78         FDRE                                         r  largeObstacle/pos_object_x_actual_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X81Y78         FDRE (Prop_fdre_C_Q)         0.456    -0.374 f  largeObstacle/pos_object_x_actual_reg[1]/Q
+                         net (fo=8, routed)           1.456     1.082    largeObstacle/pos_object_x_actual_reg[9]_0[1]
+    SLICE_X80Y79         LUT6 (Prop_lut6_I3_O)        0.124     1.206 r  largeObstacle/_carry__0_i_5__0/O
+                         net (fo=5, routed)           0.897     2.103    largeObstacle/_carry__0_i_5__0_n_0
+    SLICE_X81Y79         LUT4 (Prop_lut4_I1_O)        0.124     2.227 r  largeObstacle/_carry__0_i_1__0/O
+                         net (fo=1, routed)           0.000     2.227    largeObstacle/_carry__0_i_1__0_n_0
+    SLICE_X81Y79         CARRY4 (Prop_carry4_S[3]_CO[3])
+                                                      0.401     2.628 r  largeObstacle/_carry__0/CO[3]
+                         net (fo=1, routed)           0.000     2.628    largeObstacle/_carry__0_n_0
+    SLICE_X81Y80         CARRY4 (Prop_carry4_CI_CO[2])
+                                                      0.228     2.856 f  largeObstacle/_carry__1/CO[2]
+                         net (fo=1, routed)           0.501     3.357    largeObstacle/_carry__1_n_1
+    SLICE_X83Y80         LUT5 (Prop_lut5_I1_O)        0.313     3.670 r  largeObstacle/vgaRed_OBUF[3]_inst_i_2/O
+                         net (fo=9, routed)           1.010     4.680    runnerObject/collision_cnt_reg[15]_4
+    SLICE_X86Y84         LUT6 (Prop_lut6_I4_O)        0.124     4.804 r  runnerObject/vgaRed_OBUF[3]_inst_i_1/O
+                         net (fo=1, routed)           3.462     8.266    vgaRed_OBUF[3]
+    A4                   OBUF (Prop_obuf_I_O)         3.552    11.817 r  vgaRed_OBUF[3]_inst/O
+                         net (fo=0)                   0.000    11.817    vgaRed[3]
+    A4                                                                r  vgaRed[3] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.551ns  (logic 5.059ns (40.306%)  route 7.492ns (59.694%))
+  Logic Levels:           6  (LUT4=1 LUT6=4 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           1.156     4.615    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT6 (Prop_lut6_I5_O)        0.124     4.739 r  runnerObject/vgaRed_OBUF[0]_inst_i_1/O
+                         net (fo=1, routed)           3.433     8.172    vgaRed_OBUF[0]
+    A3                   OBUF (Prop_obuf_I_O)         3.554    11.726 r  vgaRed_OBUF[0]_inst/O
+                         net (fo=0)                   0.000    11.726    vgaRed[0]
+    A3                                                                r  vgaRed[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaRed[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.423ns  (logic 5.256ns (42.310%)  route 7.167ns (57.690%))
+  Logic Levels:           6  (LUT4=1 LUT5=1 LUT6=3 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           0.750     4.209    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT5 (Prop_lut5_I4_O)        0.119     4.328 r  runnerObject/vgaRed_OBUF[1]_inst_i_1/O
+                         net (fo=1, routed)           3.514     7.842    vgaRed_OBUF[1]
+    B4                   OBUF (Prop_obuf_I_O)         3.756    11.599 r  vgaRed_OBUF[1]_inst/O
+                         net (fo=0)                   0.000    11.599    vgaRed[1]
+    B4                                                                r  vgaRed[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 vgaInterface/counter_v_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            vgaGreen[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Max at Slow Process Corner
+  Data Path Delay:        12.404ns  (logic 5.043ns (40.659%)  route 7.360ns (59.341%))
+  Logic Levels:           6  (LUT4=1 LUT5=1 LUT6=3 OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.482     1.482 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.715    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.070    -4.355 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.719    -2.636    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.096    -2.540 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.715    -0.825    vgaInterface/clk_out1
+    SLICE_X88Y77         FDCE                                         r  vgaInterface/counter_v_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y77         FDCE (Prop_fdce_C_Q)         0.478    -0.347 f  vgaInterface/counter_v_reg[7]/Q
+                         net (fo=17, routed)          1.176     0.829    vgaInterface/counter_v_reg[9]_0[7]
+    SLICE_X83Y79         LUT4 (Prop_lut4_I1_O)        0.329     1.158 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_5/O
+                         net (fo=1, routed)           0.433     1.592    vgaInterface/vgaBlue_OBUF[0]_inst_i_5_n_0
+    SLICE_X83Y79         LUT6 (Prop_lut6_I3_O)        0.326     1.918 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_2/O
+                         net (fo=1, routed)           0.573     2.491    vgaInterface/vgaBlue_OBUF[0]_inst_i_2_n_0
+    SLICE_X83Y80         LUT6 (Prop_lut6_I0_O)        0.124     2.615 r  vgaInterface/vgaBlue_OBUF[0]_inst_i_1/O
+                         net (fo=6, routed)           0.721     3.335    vgaInterface/vgaBlue_OBUF[0]
+    SLICE_X83Y81         LUT6 (Prop_lut6_I0_O)        0.124     3.459 r  vgaInterface/vgaRed_OBUF[3]_inst_i_3/O
+                         net (fo=7, routed)           0.750     4.209    runnerObject/vgaGreen[0]
+    SLICE_X87Y84         LUT5 (Prop_lut5_I0_O)        0.124     4.333 r  runnerObject/vgaGreen_OBUF[0]_inst_i_1/O
+                         net (fo=1, routed)           3.708     8.041    vgaGreen_OBUF[0]
+    C6                   OBUF (Prop_obuf_I_O)         3.538    11.579 r  vgaGreen_OBUF[0]_inst/O
+                         net (fo=0)                   0.000    11.579    vgaGreen[0]
+    C6                                                                r  vgaGreen[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[12]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[12]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.741ns  (logic 1.374ns (78.953%)  route 0.366ns (21.047%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X89Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[12]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y69         FDCE (Prop_fdce_C_Q)         0.141    -0.424 r  collisionDetection/collision_cnt_reg[12]/Q
+                         net (fo=3, routed)           0.366    -0.058    led_OBUF[12]
+    P5                   OBUF (Prop_obuf_I_O)         1.233     1.175 r  led_OBUF[12]_inst/O
+                         net (fo=0)                   0.000     1.175    led[12]
+    P5                                                                r  led[12] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[11]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[11]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.753ns  (logic 1.395ns (79.584%)  route 0.358ns (20.416%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X88Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[11]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y67         FDCE (Prop_fdce_C_Q)         0.164    -0.399 r  collisionDetection/collision_cnt_reg[11]/Q
+                         net (fo=3, routed)           0.358    -0.041    led_OBUF[11]
+    R1                   OBUF (Prop_obuf_I_O)         1.231     1.190 r  led_OBUF[11]_inst/O
+                         net (fo=0)                   0.000     1.190    led[11]
+    R1                                                                r  led[11] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[15]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[15]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.757ns  (logic 1.398ns (79.568%)  route 0.359ns (20.432%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X88Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[15]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X88Y69         FDCE (Prop_fdce_C_Q)         0.164    -0.401 r  collisionDetection/collision_cnt_reg[15]/Q
+                         net (fo=3, routed)           0.359    -0.042    led_OBUF[15]
+    P2                   OBUF (Prop_obuf_I_O)         1.234     1.192 r  led_OBUF[15]_inst/O
+                         net (fo=0)                   0.000     1.192    led[15]
+    P2                                                                r  led[15] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[14]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[14]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.770ns  (logic 1.412ns (79.757%)  route 0.358ns (20.243%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.599    -0.565    collisionDetection/CLK
+    SLICE_X89Y69         FDCE                                         r  collisionDetection/collision_cnt_reg[14]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y69         FDCE (Prop_fdce_C_Q)         0.128    -0.437 r  collisionDetection/collision_cnt_reg[14]/Q
+                         net (fo=4, routed)           0.358    -0.079    led_OBUF[14]
+    R2                   OBUF (Prop_obuf_I_O)         1.284     1.205 r  led_OBUF[14]_inst/O
+                         net (fo=0)                   0.000     1.205    led[14]
+    R2                                                                r  led[14] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[1]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.861ns  (logic 1.409ns (75.700%)  route 0.452ns (24.300%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y67         FDCE (Prop_fdce_C_Q)         0.141    -0.422 r  collisionDetection/collision_cnt_reg[1]/Q
+                         net (fo=3, routed)           0.452     0.030    led_OBUF[1]
+    V9                   OBUF (Prop_obuf_I_O)         1.268     1.298 r  led_OBUF[1]_inst/O
+                         net (fo=0)                   0.000     1.298    led[1]
+    V9                                                                r  led[1] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[5]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[5]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.867ns  (logic 1.393ns (74.599%)  route 0.474ns (25.401%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[5]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.141    -0.423 r  collisionDetection/collision_cnt_reg[5]/Q
+                         net (fo=3, routed)           0.474     0.051    led_OBUF[5]
+    T4                   OBUF (Prop_obuf_I_O)         1.252     1.303 r  led_OBUF[5]_inst/O
+                         net (fo=0)                   0.000     1.303    led[5]
+    T4                                                                r  led[5] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[9]_lopt_replica/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[9]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.891ns  (logic 1.412ns (74.707%)  route 0.478ns (25.293%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.594    -0.570    collisionDetection/CLK
+    SLICE_X89Y74         FDCE                                         r  collisionDetection/collision_cnt_reg[9]_lopt_replica/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y74         FDCE (Prop_fdce_C_Q)         0.141    -0.429 r  collisionDetection/collision_cnt_reg[9]_lopt_replica/Q
+                         net (fo=1, routed)           0.478     0.049    lopt_2
+    U3                   OBUF (Prop_obuf_I_O)         1.271     1.320 r  led_OBUF[9]_inst/O
+                         net (fo=0)                   0.000     1.320    led[9]
+    U3                                                                r  led[9] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[7]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.920ns  (logic 1.404ns (73.103%)  route 0.516ns (26.897%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.141    -0.423 r  collisionDetection/collision_cnt_reg[7]/Q
+                         net (fo=3, routed)           0.516     0.093    led_OBUF[7]
+    U6                   OBUF (Prop_obuf_I_O)         1.263     1.356 r  led_OBUF[7]_inst/O
+                         net (fo=0)                   0.000     1.356    led[7]
+    U6                                                                r  led[7] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[0]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[0]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.934ns  (logic 1.394ns (72.063%)  route 0.540ns (27.937%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.601    -0.563    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y67         FDCE (Prop_fdce_C_Q)         0.141    -0.422 r  collisionDetection/collision_cnt_reg[0]/Q
+                         net (fo=2, routed)           0.540     0.118    led_OBUF[0]
+    T8                   OBUF (Prop_obuf_I_O)         1.253     1.371 r  led_OBUF[0]_inst/O
+                         net (fo=0)                   0.000     1.371    led[0]
+    T8                                                                r  led[0] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+Slack:                    inf
+  Source:                 collisionDetection/collision_cnt_reg[4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Destination:            led[4]
+                            (output port)
+  Path Group:             (none)
+  Path Type:              Min at Fast Process Corner
+  Data Path Delay:        1.940ns  (logic 1.439ns (74.195%)  route 0.501ns (25.805%))
+  Logic Levels:           1  (OBUF=1)
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.250     0.250 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.690    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.379    -1.689 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.499    -1.190    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.026    -1.164 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.600    -0.564    collisionDetection/CLK
+    SLICE_X89Y68         FDCE                                         r  collisionDetection/collision_cnt_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X89Y68         FDCE (Prop_fdce_C_Q)         0.128    -0.436 r  collisionDetection/collision_cnt_reg[4]/Q
+                         net (fo=4, routed)           0.501     0.064    led_OBUF[4]
+    T5                   OBUF (Prop_obuf_I_O)         1.311     1.375 r  led_OBUF[4]_inst/O
+                         net (fo=0)                   0.000     1.375    led[4]
+    T5                                                                r  led[4] (OUT)
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  clkfbout_clk_wiz_0
+  To Clock:  
+
+Max Delay             1 Endpoint
+Min Delay             1 Endpoint
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                            (clock source 'clkfbout_clk_wiz_0'  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  Path Group:             (none)
+  Path Type:              Max at Fast Process Corner
+  Data Path Delay:        1.396ns  (logic 0.029ns (2.077%)  route 1.367ns (97.923%))
+  Logic Levels:           1  (BUFG=1)
+  Clock Uncertainty:      0.156ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.090ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clkfbout_clk_wiz_0 fall edge)
+                                                      5.000     5.000 f  
+    E3                                                0.000     5.000 f  clk (IN)
+                         net (fo=0)                   0.000     5.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     5.438 f  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     5.918    pixelClk/inst/clk_in1_clk_wiz_0
+  -------------------------------------------------------------------    -------------------
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKFBOUT)
+                                                     -3.163     2.755 f  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                         net (fo=1, routed)           0.544     3.298    pixelClk/inst/clkfbout_clk_wiz_0
+    BUFGCTRL_X0Y17       BUFG (Prop_bufg_I_O)         0.029     3.327 f  pixelClk/inst/clkf_buf/O
+                         net (fo=1, routed)           0.824     4.151    pixelClk/inst/clkfbout_buf_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV                                   f  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                            (clock source 'clkfbout_clk_wiz_0'  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  Path Group:             (none)
+  Path Type:              Min at Slow Process Corner
+  Data Path Delay:        3.236ns  (logic 0.091ns (2.812%)  route 3.145ns (97.188%))
+  Logic Levels:           1  (BUFG=1)
+  Clock Uncertainty:      0.156ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.090ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clkfbout_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+  -------------------------------------------------------------------    -------------------
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKFBOUT)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clkfbout_clk_wiz_0
+    BUFGCTRL_X0Y17       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkf_buf/O
+                         net (fo=1, routed)           1.506    -1.515    pixelClk/inst/clkfbout_buf_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV                                   r  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  clkfbout_clk_wiz_0_1
+  To Clock:  
+
+Max Delay             1 Endpoint
+Min Delay             1 Endpoint
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                            (clock source 'clkfbout_clk_wiz_0_1'  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  Path Group:             (none)
+  Path Type:              Max at Fast Process Corner
+  Data Path Delay:        1.396ns  (logic 0.029ns (2.077%)  route 1.367ns (97.923%))
+  Logic Levels:           1  (BUFG=1)
+  Clock Uncertainty:      0.155ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.088ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clkfbout_clk_wiz_0_1 fall edge)
+                                                      5.000     5.000 f  
+    E3                                                0.000     5.000 f  clk (IN)
+                         net (fo=0)                   0.000     5.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     5.438 f  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     5.918    pixelClk/inst/clk_in1_clk_wiz_0
+  -------------------------------------------------------------------    -------------------
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKFBOUT)
+                                                     -3.163     2.755 f  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                         net (fo=1, routed)           0.544     3.298    pixelClk/inst/clkfbout_clk_wiz_0
+    BUFGCTRL_X0Y17       BUFG (Prop_bufg_I_O)         0.029     3.327 f  pixelClk/inst/clkf_buf/O
+                         net (fo=1, routed)           0.824     4.151    pixelClk/inst/clkfbout_buf_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV                                   f  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                            (clock source 'clkfbout_clk_wiz_0_1'  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  Path Group:             (none)
+  Path Type:              Min at Slow Process Corner
+  Data Path Delay:        3.236ns  (logic 0.091ns (2.812%)  route 3.145ns (97.188%))
+  Logic Levels:           1  (BUFG=1)
+  Clock Uncertainty:      0.155ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.088ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clkfbout_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+  -------------------------------------------------------------------    -------------------
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKFBOUT)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKFBOUT
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clkfbout_clk_wiz_0
+    BUFGCTRL_X0Y17       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkf_buf/O
+                         net (fo=1, routed)           1.506    -1.515    pixelClk/inst/clkfbout_buf_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV                                   r  pixelClk/inst/mmcm_adv_inst/CLKFBIN
+  -------------------------------------------------------------------    -------------------
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  
+  To Clock:  clk_out1_clk_wiz_0
+
+Max Delay            99 Endpoints
+Min Delay            99 Endpoints
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[1]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[1]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[2]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[2]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[2]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[3]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[3]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[1]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[1]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[2]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[2]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[3]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[3]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            collisionDetection/collision_cnt_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.273ns  (logic 1.631ns (17.589%)  route 7.642ns (82.410%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.416ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.416ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.170     9.273    collisionDetection/collision_cnt_reg[15]_0
+    SLICE_X89Y67         FDCE                                         f  collisionDetection/collision_cnt_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.604    -1.416    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            collisionDetection/collision_cnt_reg[11]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.273ns  (logic 1.631ns (17.589%)  route 7.642ns (82.410%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.416ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.416ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.199ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.182ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.170     9.273    collisionDetection/collision_cnt_reg[15]_0
+    SLICE_X88Y67         FDCE                                         f  collisionDetection/collision_cnt_reg[11]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.604    -1.416    collisionDetection/CLK
+    SLICE_X88Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[11]/C
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/button_up_shift_reg_reg[0]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.346ns  (logic 0.241ns (10.267%)  route 2.106ns (89.733%))
+  Logic Levels:           1  (IBUF=1)
+  Clock Path Skew:        -0.810ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.106     2.346    runnerObject/btnU_IBUF
+    SLICE_X86Y75         FDCE                                         r  runnerObject/button_up_shift_reg_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    runnerObject/clk_out1
+    SLICE_X86Y75         FDCE                                         r  runnerObject/button_up_shift_reg_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[10]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[10]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[10]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[11]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[11]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[11]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[8]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[8]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[8]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[9]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[9]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[9]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[4]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[5]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[6]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[6]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[6]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[7]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[0]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.696ns  (logic 0.286ns (10.603%)  route 2.411ns (89.397%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.805ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.269     2.696    runnerObject/fcount_edge0__0
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/C
+
+
+
+
+
+--------------------------------------------------------------------------------------
+Path Group:  (none)
+From Clock:  
+  To Clock:  clk_out1_clk_wiz_0_1
+
+Max Delay            99 Endpoints
+Min Delay            99 Endpoints
+--------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[1]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[1]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[1]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[2]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[2]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[2]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[3]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    runnerObject/fcount_edge_reg[0]_1
+    SLICE_X88Y70         FDCE                                         f  runnerObject/fcount_edge_reg[3]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[3]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[1]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[1]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[1]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[2]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[2]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[2]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            vgaInterface/counter_f_reg[3]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.447ns  (logic 1.631ns (17.265%)  route 7.816ns (82.735%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.419ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.419ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.344     9.447    vgaInterface/btnCpuReset
+    SLICE_X89Y70         FDCE                                         f  vgaInterface/counter_f_reg[3]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.601    -1.419    vgaInterface/clk_out1
+    SLICE_X89Y70         FDCE                                         r  vgaInterface/counter_f_reg[3]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            collisionDetection/collision_cnt_reg[0]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.273ns  (logic 1.631ns (17.589%)  route 7.642ns (82.410%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.416ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.416ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.170     9.273    collisionDetection/collision_cnt_reg[15]_0
+    SLICE_X89Y67         FDCE                                         f  collisionDetection/collision_cnt_reg[0]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.604    -1.416    collisionDetection/CLK
+    SLICE_X89Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnCpuReset
+                            (input port)
+  Destination:            collisionDetection/collision_cnt_reg[11]/CLR
+                            (recovery check against rising-edge clock clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Recovery (Max at Slow Process Corner)
+  Data Path Delay:        9.273ns  (logic 1.631ns (17.589%)  route 7.642ns (82.410%))
+  Logic Levels:           2  (IBUF=1 LUT1=1)
+  Clock Path Skew:        -1.416ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.416ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    0.000ns
+  Clock Uncertainty:      0.195ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.050ns
+    Discrete Jitter          (DJ):    0.175ns
+    Phase Error              (PE):    0.104ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    C12                                               0.000     0.000 r  btnCpuReset (IN)
+                         net (fo=0)                   0.000     0.000    btnCpuReset
+    C12                  IBUF (Prop_ibuf_I_O)         1.507     1.507 r  btnCpuReset_IBUF_inst/O
+                         net (fo=2, routed)           5.471     6.978    vgaInterface/resetn
+    SLICE_X86Y85         LUT1 (Prop_lut1_I0_O)        0.124     7.102 f  vgaInterface/button_up_shift_reg[0]_i_1/O
+                         net (fo=86, routed)          2.170     9.273    collisionDetection/collision_cnt_reg[15]_0
+    SLICE_X88Y67         FDCE                                         f  collisionDetection/collision_cnt_reg[11]/CLR
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         1.411     1.411 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162     2.573    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.324    -4.751 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.639    -3.112    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.091    -3.021 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         1.604    -1.416    collisionDetection/CLK
+    SLICE_X88Y67         FDCE                                         r  collisionDetection/collision_cnt_reg[11]/C
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/button_up_shift_reg_reg[0]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.346ns  (logic 0.241ns (10.267%)  route 2.106ns (89.733%))
+  Logic Levels:           1  (IBUF=1)
+  Clock Path Skew:        -0.810ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.810ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.106     2.346    runnerObject/btnU_IBUF
+    SLICE_X86Y75         FDCE                                         r  runnerObject/button_up_shift_reg_reg[0]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.863    -0.810    runnerObject/clk_out1
+    SLICE_X86Y75         FDCE                                         r  runnerObject/button_up_shift_reg_reg[0]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[10]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[10]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[10]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[11]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[11]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[11]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[8]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[8]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[8]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[9]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.637ns  (logic 0.286ns (10.841%)  route 2.352ns (89.159%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.807ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.807ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.210     2.637    runnerObject/fcount_edge0__0
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[9]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.866    -0.807    runnerObject/clk_out1
+    SLICE_X88Y72         FDCE                                         r  runnerObject/fcount_edge_reg[9]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[4]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[4]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[5]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[5]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[6]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[6]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[6]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[7]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.681ns  (logic 0.286ns (10.663%)  route 2.395ns (89.337%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.806ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.806ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.254     2.681    runnerObject/fcount_edge0__0
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.867    -0.806    runnerObject/clk_out1
+    SLICE_X88Y71         FDCE                                         r  runnerObject/fcount_edge_reg[7]/C
+
+Slack:                    inf
+  Source:                 btnU
+                            (input port)
+  Destination:            runnerObject/fcount_edge_reg[0]/CE
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0_1  {rise@0.000ns fall@20.000ns period=40.000ns})
+  Path Group:             (none)
+  Path Type:              Hold (Min at Fast Process Corner)
+  Data Path Delay:        2.696ns  (logic 0.286ns (10.603%)  route 2.411ns (89.397%))
+  Logic Levels:           2  (IBUF=1 LUT3=1)
+  Clock Path Skew:        -0.805ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.805ns
+    Source Clock Delay      (SCD):    0.000ns
+    Clock Pessimism Removal (CPR):    -0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+    F15                                               0.000     0.000 r  btnU (IN)
+                         net (fo=0)                   0.000     0.000    btnU
+    F15                  IBUF (Prop_ibuf_I_O)         0.241     0.241 r  btnU_IBUF_inst/O
+                         net (fo=2, routed)           2.141     2.382    runnerObject/btnU_IBUF
+    SLICE_X86Y75         LUT3 (Prop_lut3_I0_O)        0.045     2.427 r  runnerObject/fcount_edge0/O
+                         net (fo=12, routed)          0.269     2.696    runnerObject/fcount_edge0__0
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0_1 rise edge)
+                                                      0.000     0.000 r  
+    E3                                                0.000     0.000 r  clk (IN)
+                         net (fo=0)                   0.000     0.000    pixelClk/inst/clk_in1
+    E3                   IBUF (Prop_ibuf_I_O)         0.438     0.438 r  pixelClk/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.918    pixelClk/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.163    -2.245 r  pixelClk/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.544    -1.702    pixelClk/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y16       BUFG (Prop_bufg_I_O)         0.029    -1.673 r  pixelClk/inst/clkout1_buf/O
+                         net (fo=127, routed)         0.868    -0.805    runnerObject/clk_out1
+    SLICE_X88Y70         FDCE                                         r  runnerObject/fcount_edge_reg[0]/C
+
+
+
+
+
diff --git a/game.runs/impl_1/TopModule_timing_summary_routed.rpx b/game.runs/impl_1/TopModule_timing_summary_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..f64138df26bdccba2618879064579df3893070c2
GIT binary patch
literal 851902
zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jWnf_70;x9AGnvG!
z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2f$>~`F7DlY>A1H%I$
zh7H0$7+WQ|nHz1dN`izI$TNJ9|G{`vm4U&*VX7KP=z%uF2Ax*M7p_nXP4tX~b}>b<
z=Vs=`=O$Jpu@>hfCTC}G6)@JG`sDesmGRUk&%zEy<_U~!ix`hF7Nr*C7nQ`9fc+C+
z4DpbHZf;^ld_iJKMzMmSfr2hnQE6UseqM1&QDSCZYKnqxT4qkFLP&mrZ+=Q?PAZxa
z@kRNiC8;TTMFk}ax&=uD)fFTu=oS@J5L5?Jqg$R>loy|$7hjf{pOaXUnV+|Uk#Pef
z!wyD<1B?tO7#S`wGTdNfe89-?fst_r6XOCV#uZEq8<-e&FfkoqVmQIXaDj>81{320
zCdL;`3?G;ne=spLFf(*8GfrS;lnUcw(lr!fkb;Gv!haZG)L=DWuwZw1!S2Bj!I;31
z!BD_Z!O+0S(80(sfstVbBf|nl2AESAVNPKLJB3l{A~Tmf7h4cGyoE%eDFT{;6d=M}
z!dz@Fsl}P;c|x4<faUVxVhKnrDiLyVNiEAvPPH{pG&C?MQBVj-OwLYBPqj@hPB%6(
zQBVjjNKH+#)iqSm2nh0b33U$f^!HOR)H5<xFfuT-&^0jAH8j@b3gKc8OD!tS%+C|@
z49hG_OvzU$GcqtT(lb)fFf-9j$}G`TPzVlJa4OBrNl`F1GdHxfFjUCTQ*bNFRPawO
zQ7|-7Ffg$)wX`xdQSfyMQ2=S?Qs827Ni0bfk_ahHRd7p9QZTYmFf_L^FtRc+0;w?O
zy2QxE?wp^So0yj(G+dIM)(mDYGcM-joNPgc&tL!k|F7%-&ZZ0ukq(NW%n8C83<eBV
z0w5L`gVhN;aJg~`CFf+v=a-fk#)BB;nN{%yP-C27##ka5!)U;SZj3R^n6#w)(h>|~
zu)2l`(->g~E;}yn;>u#M2?d#XP-kG(&y3YK?pz`uPoVlH-VkaKR{yYII>gw43t|wO
zf8YjTbrCD3LBbA3f0(%Vk~311vmrSxsGvfKL5YWpBQHNbIVV3k+k-(#h>H(gpe5#k
z!VV%P$|YD(np2z_U!Iv#k^xt$!li&x`o(AFm82HsCFaDZ=A{(mXXcd@doU>JbMfWn
z$7kjhl$OM&q~;`6N=XLf7nKw%lxLP?DCFfUfTa|`QW{Jij7o-F{CWAHG=R_{g{mXJ
zw4|W41WAh>7hi5^PDy4#PAbH8QW`<2>6yhPsYUuei6zMy3I&;YP#a+i6~I0&23sk@
zC6Jz)ms*rql9~cB0OWE`F4mm<`~nbDoJ+VMv8W_7F$dWv9t=tnTp}<Dh^rue^k7ip
z=i<%*Ssf2G!+^)?G9Q;9IQTR3(iLD~&Ls{PLJfE>DYyhi=yPep<p@OqlKH6dz$F1U
z11-Ffw7^0O5-MDha4qQJ1x;8WpMgEhB?DIq3m|YrL&Aqk7DE;}__##i(nw(_<b@^p
zgp9fL6+$vni&7O5i&7N~6pCOG57vnk@p<`>DAyCvmtYVIL-HhQxC>cwnG$Crsy;md
zQwav4M10XE<j7@9*yxPR<P3$p)YKFOq<B{Vhlqf!1cT5?MiQbQ!%A>YD9K1H0f$#!
zeu)Cu8_)(!T7Hp2Zem4dZfPzgf9VO>3Wak8qqv$xBNS3fQx!_`A@(HZmB6AQSb{-l
zJ0ns&pr%hDCoVfAYmJ}<1*mBT&B~~SheB~dYI0^;W@?I_f`*5uyN9NLolqE85Rw@N
z1kFe)Em0^fPAyW%NGw)JR7gwADNa=YHToc~2Zd6Jo<NWUlhAA?+!;v7j>`(kT4MtR
zR98dNIwXLxINM4nfXfF-KVI#`xLCkPD4Q#t6cfRPbD{#I2@Huag_P7Za3eQAPa(5J
zA-_nWpeVl}F+H&al<7+{it<a-GZZpQ1kxqsgc6XVH5a957INmYM{<dwkvZ-x3)2a4
zKruXC?Ir4kvhWl}Lf%~NNOl+~z)LHrNebv0ATKo;)a5A2S4e~?Q7FzYElN(+6L6Pc
z5OPN^-7rd><ow*E%sg<rJ26KATmmX&<|&k9q$;F<8?TU3CkcPCDCEFpgW_&*k%^pm
zpk*mE9fK=Pqy!{jBf%gPN1$*OvgR^Ju>>h?!i>j}Kn2Vt7=+Srl+Hd}9w^451qrAw
z#1=3Lu#^KSufaYR@Q`4TQsZI}Vvu6WD^_Cm^{CQdHehfP1{Z?RUX=o*2j=vUnS)VC
zfQuzKC%;^XIWo0a3PgZJ+!BjR5F%2_Tr#eCiAg!B3cjEeso<Pnl$Tnh;0W!2LR89g
zNkA0^q!t%v=4KY>Dg>qG=9eYrKol5r$zaGR1f>?|=ahnaT|&Zssfk6Y#U%=$hJAjX
zf?s}0s+1a+0@PGbP(w(;1=J8ya7j%|EX^rVa0a!#gqZyDr4+cNpy~pOQj1ed6!aCG
zb5awF6da3^i@_?SxkOzui^0Bd%gId7D1n#-krd++@J!FkFG^ML)c02i0QFVDn)J9d
zAT2%x*TkZnN(G<9(!Ashg~SpCr~IO#{BlqR2Dg(^A?9dvsX!zYLNaqx6+o4OZ(;?+
zCI#1u<kSL?SBoJ5BF81}n_85f3Q`L)2u)teiAx%4xpRJAT4s7_5jdMbyLaeOERqaX
z1S@$UaUArGk?T1lI6W}H`w*Jot^)%DgCUGz31c|I7@jajDrg8HgRv0CuLUu{z0@C!
z6BwHq=Q4r@6c#Wtu4Dwsfbdo@3*3MH!FYnPiSa5UXoTSgBjZD`3`orjP<OhO@h4oM
zkqIOW!W~Qu6PRGV<o}E_kk|{5*ej6OAD9?^Ffmv#I<R>#1u#S~B(Oz&$l!XYj=dit
zG=-6i%QrJmAt1FVGe1SBm67*Mmy)q)`5A3v*>zGPhD!1rj6%`{nH8xy&N<oonR&$}
z`pG%j;QmNbX_~&L7IU6{qLCkV<3$-56r5y1K9OZ$Sm3Cn&cP_8jA~eJZgOsXVoF&&
z*l1@TZ~xE`1ED#n&gy04)y-FfI?H3_Y6GH8qK)$wp*n9eBkz|6b*S^=3px#ybU7G>
zG?Vjlaxy^!0xqc~smY+!t`8TAPtGfeFG@|1Hi*@Cri~*PqdIa5h9mVj7=^UpF2d(X
zL)y7=1F9<*Vz|<pgHgzwSXaj9<QIU#wIC-mIdLcitl^M|exo7bO5-8nN|PbsN>f_7
zQVLwOCsx2}oL)xWt14C|kQ(Q+c&f?37VS!N7`d2z^2>#$F!FwFSZ*X*ab~rVY<vMH
z$z?jYElKT)5!rcPK+Y>C+j*ddE!CYj7aDp~8F{~foL32V9;uZLZGsQUeQ3c)vioQf
zeygD2w+JKrD5?f&6PQSjMGH*I97~gsMT&W}d`&{kgKKNr1SXRE&;pZW_t851$j{l}
zcElhKKZyHi5q?^88M#<IGSf3a70YK((O3pA8cFOd&?Nj&+=rF`Np&Ah!fzfd{H8MU
zqJ<v`83f#qp-liHI}j}ZNp>JjLU1)K1Q%h1AZ6t>XwZz>EeS1T*P;a{Wv-=3*dj+h
z`Kgn3)r%Ig1JQz$gwzRf;2;h`NC8fh1WBC`ggB5EA;`5*oeRs#2cb!*11!yCj_~<l
zGo0-4y;-P^>ZWjfkGhUqfa<u37(-GdR*2vcQtCQ!A*vH6VK|Y5ObxCRY2e0nsBWB(
z;YNzeaT++7nt=)~DF?Y5!DZ1PcOzm<Z}4Uy#E9PDbt7UtkE(8z0vF_n(Y$U7NAsxa
zIHg(8(UeKl8Ox)Y<IoypBvvmh;MN3n9XA^qa+5K}p-8P*Ae}9mxehJpNOm0!!fpjL
z>=s~z9Yqx$EdmnBsb~R7nNw*Hsz@o4D&u#9Fhzpf41+c|gIf!<NQ6i+i<SsU$juPf
zQ9s>j&4!JdOu`s7A+?+acT1_7?oeEZ7I>t(js{^j2NrgdF~W|7s0H^=Xpr!<ke!DX
zcqBWI2BEhS7J3UXLXWc2nFi?)*{x_nNts(|5U$A4PL;7b>Sk$V=b;5938|7+bqPwQ
zAwTpW#Wf8QB6UI!;ymi7J+6gp;9)ehZ8$;`P=`ty8F|kfWitY;i7<k!!js`(6p}z)
zIG3E0ofeO@1kV?n$)Eu`NB9Cf2PF*-Mj;hcb1)X*xjFfVhG1EN=NB#pbrN_59??cY
zR<}{vd9zVNtcQ^o&3VKuHFR_G^rMCAq`<C2^on~JdD+|!g4YKc$xhvW&6ub)E;Mr;
z<_a90=qT_C93xrq3Y-CrTCTNh;Kih9c_iKt+ekLaxx^p4+2rSwd8i4oA0r`>7?@Od
z;9OJ(_F*`Xw46e1_ep`>hsY~^6y_DGyAK?}2>10f@=}&tsO><mk1XJwvO<|CE4ic5
z3q&;zMj<5vMZc$?A*4K%1sjQ;E7&<0gg~2er9f68f+QNfbfcnGO39Grcrm>F8h(bN
z<!4qKf>z@hDiLc3q~n0!Ka^VnZYtonL@Ncl03?QYBFHNhH1P_OE#w9m5q{xX$%?YC
zS*Q>t?Ilx`_Eb@f#+e2|RwB}1GDT^SI4hO1A!#v%vb0Dq%fRtSoMl=$(6pICS=uDo
zHj2_HakdFraDaBuunTa>f==jAU<92TV8P(P;K2aiAH$HrTmU+2ViqIAB1VQ)j12Gt
zFQm3JaR~*0Hmxg!gEp;W-EG8Z^^=iH27dko7WKpU@Chfe+{`@44r2xIzJBO_x(tQ_
zM$iEsj2(<j9gIwq7&$^beLel$b<HL*azdG=X0sRtoQpC`GLsW?6v7jW@-p+%XECxv
zS&JC;{6O1%b93|a6bgzmLFd6hcU2~(mXxQa<|!1V=72WaLqv-gF*^8W78iqdkLBd2
zgAC271aC0Ss4Rx^QY(^kN>fr(AUYJ%i}Fhgijg+u9s(UM1Fhmf^&HYRG3e<MhOpx(
zkhY0Ir95F$84Or<h=I;AS-{AEb!QmpWEEWd!f<a3W0=9jfVv5c1+w>xi;;nWi$Ms>
zS`aBLV(5M}c7XcP*#X^;#tu+FIy>Os3db;)@nnjM9lo7#3|ATd|DWxMxe=}rhmV;i
zFhP!-0cB<|W?aF<xQU6oD6=?KL017p?Ly&wVB-40#KkNCI$%ghnS)VCzAQb_6Lh>p
zT4HjlesX>(h#j8>-i8I5XO>dsU=&g;D$UDFE%HywN=+`&PXnupPfbZrg(-8<Vz6Rx
zV(?;!V#spDJevdT5C;Q20|SG+Vg+3V0xC-VBAe~N8wpz(YmR@g>jm!uoC+rAg2|<f
zpVj^B*D}8R8)CDS(dfIt{=H!FqagA9XTfYx>S18G3uZqBlW)P~S1|b(Otyk|750M3
zsZ5~wnafnb*=V;EEV33%ZUvKjnf#Ij?2a<cUhu~DELh|!n7j)npMuF3plybX4a|(-
z!+jWLFf%M*W>~?@uz{Ij2Q$L~W`+~Y3>TOgZ!j}FU}kv1%<zGk;RiEg0}De33&R8!
zh8Zjj3s@LdurO?3VcfyOaDav36ico~Q6@P1f<jxjq$o2zJ+%mwSyOXz6x>{#T_M>v
zHANw*5?in;RD;q0Jm}LBb8;MvU=dLO-rs0z0_E3gUZS&ow^+b4JC9hf<iS_i1V3RD
zoWv@q;o|I~psNrJCY=L<G-t3fE?{L?!OF0MmEkHYXtU)FR)!a>3}3<QzhJVJ4J6(R
zCZ~eQMQq!cI2eU;xroRafl|ByMfnBsX(`F6@y_vq3XEPXpa_Xz$Y7{qENWn6>}3Qc
znF)-HGZ-1cXEj03i~=7R#qfZU0ldkTK`5O|k%L)C7A22?vsh{oJR>SFcnRgDmMCbX
z<=dL-C_v7;(gfMnz{t?c2uhWNEsEkIE;B1IpnC;;;1oVjEMj}b$-yKPslec+q5(bt
z23u+br9}l$N(Q?e7D}L4AnbTME?7@Xfx(Lb<a5&WhjU4CFbj#IcJ@F8QD&Z@0)rP9
zEOZUPZUcuZaSjXQQs7_|lEE-IGtV$SGpRH!UEdSc#o)k%oZf{aMPv~)(jS`oKo-P%
z#`}X(pQlr(8*;c*&8xQ~9IlyM${fr>@)*vK2e}^<KH#&Wkkg+bX8L=Y_>c&z!nug-
zppqJ19gJMW4A_8nQA^2zb1i6qH`NHd$J*J)+tbf59=gj~fzgZ0*VozC)hOQ4B@8(@
ze_Kf$ARL@oTq+#QLJF9{3Emw}Y<OPG|4&4C25`v}*oaPQKxPs$5ti;i=^B>qoI>5)
zkpt?>-zzqR11b@cA5ohh&@;*u7`#Ma`O(nG95cNI{gJRIY()ey<+w9hrQKsr4(1VA
z+W8SIn&72f1Ij^#G%e~<7#<LjU#L>ltvvsg2&+O!E$WC0EV`6))&*X4E9c@hxrxZf
zzJy98(vloi3c1XQZ;3DDP@8td7IGZYaYO_Tk&Qa?in+_o94tbP3JhNSkTzWb?C2g)
zdEWqPd4hUNgiGQqE@ciTA$gQ=!0bj!@p|Ovq`+F#E}%9ItVIpB>-q1MwuJ36f>nB8
zJ@7Uh-UgSw0)rQCQEFjnCa7~&l9`(d_aLYP3bT}P1?=2c^ftVm0)rP%Vo_0MSz-?8
zh#iD}@u~Cn5!O$Rqs<i<ydVee!W|3h!4kA=2OH}JHii#u3`7nCn8)fn6EO^69;;8~
zVSw3*@L_;{ua8)V0je`W!vL!PK*IogEd=4i0M(g(WDf(Rl&aXxWy-@l3~=$_=rBNX
zHkF1QhU_qad8|G?hXKrEk-K47h5<mS5|;fLaF$iX)EJ=5Ojg5qbQl2bR4`CC8q`Z4
z9R`4nca07Mj1B|92R|tq1~{>cI>P{<77kgZ-RLj?;nI$%o-K7oJV%EC&<~9yf4FmW
z7=W0uPC5(&G~A4((l7wo#T?;b08np<aLbdJVF2^kK{*Tn>VU#LNX{_8UH<v>8wLRN
zU<o>w)L{V7j&34`0Zgbq3~=sU8*DW|mJ9aP0JIneXlT|XI1DiF+pp2p03&`Fz=XcT
z049Wn0YIq|mi;Lk1^{JdvKq#t!vKUE#*p<3qpJasLkcu651y5WoqX=;2U?whwBCbo
zc+q8+Y;-k1X_`JUEo3^Z2B6k30H}pSR%tgn41k=6lXJ4M40?_Z0}!#%2x;nakd$+y
z!vMq-azknufb3$9p2Gkp1cw2tMAp%NH2|ms3Ts-EGYqh*X*T_a0YE)if{uj`1Gqyr
z1%Qu8L0PC#kY6014_^8oUm0JLSd^X$x=kcK8kCNt2q;fXE-6jS0dErk^(CmgP2k*N
z_`pEqn{Qax2SBz7$S0&yahpJEv$@?|rluZz+XUu#4W@yCU^;IT2%@figKvGnInXwN
zaxMaiA2qKaRtgj&Z5Rl41ufozbOnjsFp$fo&cQ6COem8^qYf09U@oHtrBYY{fqS4J
ziI6|hGC8CP9fagDa9ald_JJ>>+Xql@6T{MkhNKd3VB%fPMxDvD(d`3RE@2~oK5cZs
zfEEJ=C}kjMSp{gD1(miB5G?ml%XjDsTjT;AoK^9cd#^_~5)dr+Mu!eYhYpZeK4RH`
zHoB1jvNsg#ZYkn65)drzP@8$s;*P{--fe;#3PAlT!fnzbE^Q7bA$64EAElp);#l~K
zf+A4M8@jOo;&SjN0j-#R`VS?5x~wpdk~5SrU5k!G37|eNLC5Z3V}Wce@P-T}fRAR(
z0Sy@VCl!|@Cg-H;BgIh#@>l}sJX0w`>XG8qfa<FZ*0XoRRvAR&9ZZ01HdwutI%f{#
z2s+x$Wop1Xm>{^8)o$dTIWX)86Rv^=6Dqg}rGBK=rU5k<929Zsa4-vL5SvetD`F$e
zAq7xcg%uRIhZK?t`W7v(lQpLBadb?9VB30hOo6x~0AVw8#D>@CB7_|0oNRsYGLGb&
zY*4oxaxgEc0ZEnJy2LF)h~*OHU=|WYEeV}{ya|pc+_IG<Vu>!{LJzfwhqkbtNgGIg
zF*=|?u+SSFP#7IhKyJs3zySra%R1r)69|`es0}=5SqJHpBh|6^2T$)198CaqtOz$p
zi@9_-nD91%QCvGHhZ8`ZR+vx88BSQ5JdJ)U6F|LQf{xw6#snEoAbDj1=&Aw|Rwfux
zeK5hHb^>fL0W{2m`v61gu1v`K=0$L2g6Rx_K{S{!x-y|E-hi417?Qj)!3cRQfnF;U
zj8L{Pm|+ehfKn-}fWSSBK+2FcD3g;liZHq|f$-v9q#Ap4WdgL<JGzwtOS5|99Bha(
zH8p@M6F_YtNVzw<G68-V7`|?W(C86|qemQmU}O-Y&QY$TD--CtG67NCkvNtx5Gxam
z5Stu^)5-*u!in@7N&xkFVLb($;~qQM*vK480541+u+AH0aERK6ATDR0G!R1x=G=Y+
zhY}dq3J;>81S+o+Ab1x7mF*h_n;cM<C4dVw=&cCIMKQHEIT)Y}C0Jk%C4f>Xtbm}-
zPy%T25u{0t>@gx1`;HDJj1DCbxC~_Ua70jFxjeHf-hjXi-RMvPHSS0tIE0FIO#+GK
z-smO=!sXuRnuO6c2?R>K(V+yo4kduPeq=TC24X0|V0aEC?0eQvzo7(BpBL6sz%!J<
z0U1gl^7aHn;%-kcr1~0!UH-FS0|%~l@9~_DK;26ejqL;N2wkG6W~(-c1`b9qQKa%3
z1R^g{G(=u`K>JG+4N(RPu-u*iN|mtek9(kinA;OTnHf@Zkhpkv^w>ng4dv0b2BSL`
z;ztJv7(i2$6y2UctxFU^EgZ5+yU}A4372-G!vdqj0;9tMpqrb?TTn&Zb_F6Eb<kZ3
zBsS^@ADc+MOB4+e!vNqGHT0|lh>O8z9UM{EK>xE2Kpjw6(;8>bS_t<gimT_f(Qg<4
z)Pp7HSopDtL=FR(5;qKBO7&p?_6YbeK*T}p!vM6nJ>i@`Xcz!=Eyz+P(6YO=U~(&%
z+{@&bBw%-x>7eiEFu>>~il+1(1~4Tw3;;@%u<TFSFaRhslhrUD9R?uWFdiKS7##)}
z9R^^ed>DXQw<myFIAoP}qr(7%OS{owfYD)q(P04chXJT}i6Ys>9N}RAP;ZHF%afQ(
z6io-`FaW3n3iBX2!vKYn?erT40QF!AIu<?*K>Cq~;Oi3z9r}i}wSfBfCj|6QhOH22
zl>Lu&g}}mmfBUtJXAYIxY-P-D5Zb?&5!9JK%D6D!fB#u9`zn~c3nrg}$+uwgE13KX
zCR@SX{$4OSmFZV_G@h*mbKk4m9cAK(`!|9wRvh+Q3rIimkjOh0L8%9pX>oVnNjmZn
z^%eyz>jpq+m9U*8ornX<>yWlIi7RbKk31yYmL45B7#%qn9XWuE9FTnsm{hSiwU0am
zHHaXE9%Q--DWBroufK?G^vFZPh2H1@!sr0P2p>QoyR3`497C0|4z)7}T}(h?1CQ{L
zhs0f=K+=(iNS85!xAj6-6+oO0URCgCWg-1n6@WUeu!c7|!wD_)Jf{HE>m}$|_;A7l
z4F(1dMj>SmMj`pK^hD3RlGLKK#N<@{<or?)J3bA3ry}UI3ql7SB5mNaKwe#-tKsYz
z6clM<YpLK98e(W`1fq-}lnI0~wKW6@n%Np@W;ucOWrB}!1~DM(FN-pZQyokU^b8CP
z@`@F76+klBlt5LKE^RMxtYu(OaB5{-S0m$u?O4Qu<y-C7GWPD1-@BDjQ!)rX;83u9
zD|o;`!Rabv_p#%)cNq^~m|%-K;2>>iWruCRA=vG><6Nfmmi+eE)*}4Bvd7^llbWoM
zBi=g}7#f%vJD3?KFf-0zW?aC`u!5Ok12f|eX2t`|3@4ZwE-*9RU}kv0%<zJl;R7?n
z4`#*&7KRQMh6yYTGgufFurRD(VcfvNu!Du+01Lw@mRyaZ%;L<vbcNKE^i<uFqRjO4
z)S}cBh2+$n90fNQdT&Vxruv1A!8!Tmk645-Q^YH5f}gMncCpH8XoLiN#ThARE4X;Y
z8EM8D>Kkb)=qrE(0$fp#P1II!4hYhm!OFORm0<;|&<<9HtE`~0svE2fFIXAAg4ut;
zWGfp;ycbMP1(S=|o*Qv63gvPUk>3#4ID!{gK~Fe<wOG>{7#VvRuT{LXgXMMHqY&v_
ziX6;BvUsyMa+Qr`=s|dE0}(Y-ESC-kqmTxQMQ+Z}g2d1~DYGO#IkBjyGCnijSl<)0
z@0tN}DJ94^;A0K&9_m-drOm-8q)wdi{!)AaMfnBsIi)3r@ty|p{tAp<ETHlSVq+0F
z{I-AoOGIr_#$~|4ETn_7E)eN{8Q5L5W>C)=M5FEi!E!nsC|wYaw`eXc4n`q06nn7+
zr+zTRMrdGyyhb=M8yF#>N!WB}|7hb_DKT(pf}_tQ9%NxWSX_b8iw#n|BZupTA`T*w
zOFow-2eXhWK^Mg55!|CsT!6+9>E-x%1AS*tQZEpw$8vosw&((x?jLOwD<zB^q|To4
zAVKWmnYE{ch<u*GrNqH3B!?$Fqs>tkC1JT%<<68EB4RF$%ansr$PmRQJUJrXAU-qR
z5GBVGmq_cmOgI>Y3`n#GDeD^{XMH2&B)Uds77;;O!)3<7EM!EQ1E`i>Q@D&d7=`qS
zw_QIt+87kWB$Wi6jHHILJ~->67YW9(_=*JK<^x28cLkRb2eXhK@jj+nSSN8Aaxe<%
z5^b{~86`w3BZ(1esP7+bh^?S7j72XfKvifjqhrESB0@QjOM`=1NQIzZqb)&+NhmKB
zvK<~vyWr@rVj_x*P%ae?Mj-_h`!eI9)n&XPsBj>sJ&*@!M&UOWsW8CZ4&YcbhlpTH
z;F98C77|0TuK-lG<)H->*)@I`mnsLNkRpl=r~zfE9~=!niHf8wUP*+xpxQ@D6uC+Q
z*@U%Hf}}M=4jv-n9$O`krK+%uHbiYTVX2$e8wC+zA(4fq5j6Q_#v2;zdm1AudywY{
zH=k;`Kn;0<1r>@dNJ-Ho-qRS~BsD><m-f#mre3PzGT~q*TrnbBk6uz@3E&rRuMv?A
zNDp8GGOB*k1K2<xDS*w8D}FQN04A=@UrllV8$bhC7*<>wn_!+1cQvYuh$Ng$YT~j)
zNx{Umt!jv?@DNGJ5-ofY37CxVt>iN1U?$wOL9!hhyztrxOCj6UYD`4vCUO~YFcR+0
zL9HgMylr75%?@x63tQQ1jH90ScxXk0Pl>GOjiOPP9$+aM9@Wey!agF?ts%VVHPQDp
zB(>xvGTkCuf>bUT#d{jU3tp5C0dZ{rBGWCB?L?$o;(D({rdz1h`oYoQ%P&Z3C$upV
zS17>jz}gQm!rKps=|4n79aGAs&%rFDjnWXp(rSRZgK9xcWG4V-Em^|^?L>CBkSzh1
z4<g8IGiOhHovqDt-xD#eMPz3S;SeIi`PxEZ8^R-7q=qv&oh_2X*$~vNHH?+Q63&?2
zEl?fX%Q*Xp0uhNhhf9@%8Ld^1Eio%Fc)=SFhFGe%^@0nDD1_r7SKboJI?)!f@tN_c
z1u)1{gqyw<SjM@qcn4+yQsHZgT=<$IH_Bf8UP(ki5?LxhZAMS6SgJMA4n1mzC~9Yd
z1|e#U+zi9rk07p@OHzm$qlYM#G)i1mM#^EJpt(fIY8?{q6&c;!NO-jl($XiqQ!iOu
z3LK0=G6k79jr5n|1=mlRNu_D=o{%P%r&FjKaxUMwdM^>#Et5-`gIP!(!>;(uJi~a<
zJRf9En86EQSHSYxTq3L*+J_)-b9i)sa9vBC+eLgt?+_850bKGNj6%{FQ3sx@Pby8*
zCwWqZDz`E(n|*|c<`6|^91#~j5s(o}lo?FSg%*&~4mQ&ZuR`#zO{C=LV+AL|$;gh2
zor6J$IXNdA(P0BQ4YIa^u>No^Nzkq;jHCg|)d~z=T(D6S15Hqg(ZI++tiglx7A3jG
zTZqUnR4M9|cTOR~st_(U(pF;=wUCo8x6e<Cc}2H!j{V$4A_CKw=yHyzP^3yBXBxMQ
z_(Be)cL1tWK_xW7>*v?}IzvR@kl46`E>9$}akr3#gGI<ufx(MEIVV3kTcIGexHvO6
zvp5%CdVrQ;5iXWMwhKaxD9uYwEh<UO%qyvc8`6F3_+G+>6mw~FFbS!n1S*yu5qKq?
zMXVHGaB4|uL3~;Y_!d;?8b^r#!R6k;QwxcRNh4S}4Auj0cjIlw*()%3@fM{PmS%$1
zfR$wCrow#+S|<ndDLHE#h0>A_64p<Sqs<i<yjY8K5|gvxjs-2WBWT$UHYLab%6;mz
zSmS6Ks~@DrV8!6X;KdMyZ;hidWO1VjgreFSN7ijAur-dR!cufw<7gOj0?!&pgS$H%
zjxy!BbBy3Mj?~{&IlyZi1xZ}vI2AO%GP=fbbd4hetc=FJHg$B3BXlxsbdBTa8pqTk
z|D>$c<Pv=>jYrt(V$gb{cthkd;L$aXgy$?q*EoVs@g{QG4W87<nRXjp<Cq7}#;8m8
zK<ms%-t#cJ#*x@5iqSQW#qs&z>LVVuBL%vI3A(;^bd4iuP<eEXBO}8L$e9eIYaHVt
zdsY$oWOR)q1F_}p=o&|OJ&&ci4qG&ZzQl&O)#amW9P<#ZDBPhty2i02HHFmqQX)G6
zumn81#!;atzZ4~$N6H#UP+3LqHI8>*DiATnNYWZd)QzVgPZ1t3AZd*w%4m!+^2QTm
z(2NzNLhWUw!5T+H^c8uQm|ISi(u{}*QBo#tK{H>FH7byUSs)$+2P*zGDx)j%a4w%C
zd12J(iaalpwl>gZrON1vywWs%*h<i((zJBgN>HazH+ST+aCAi;WDzUqU?cF+Jm6Lw
zq#y+?d>dVn$M66?PC=cbZgfRnVoF(jW?pfLzO#?Fe`tt-lpJ`)c5ZHRZmLl{NY>fM
z+tbf59xAWE=*8vh>+I`l6z}K~hMavzSL6{>$PLLAd5CKVNNn5<*%f)FNGtNdrw#^z
zj*4<~f%qT1y6&zD+kV1p8OT}OhG#_{XjK=?QgT-06;BDL--<lYvM_><rSXb9vsnG$
zfn1Sy=T!1QtjIfhk?@K<*BJH@ydrPdojN$WA`hu~IC|<J=t#xUQwJGGPaT9V9~(V&
z5R@aJE9H>S83k=v1CLYU-6b)4>fq?9g9MgfQfsv?k&|(wEAmh#;6_g!1eI;0rw-!Y
zRxo<%AgBfepKXYA{tE8XLPk#=ge-|0J#~<TBP~Zy9Rv>!j-EQmaDx%4KR$ZuAn1Yv
z6SOTlqo)q8U}V_A$Z&v>;Q}LSf*Cz^kf@`_M_1%Qjv>c#>e%RtJj`50ty2d_SL6|Q
z7AfS^L1I?qHE&j?@`^mr%ok)u9^@Di$U+kENZ06!Jgjw2Ai)FeMpxuPx<sRA<blpd
zf-Kj<f94=@XTXh~k;jOyOcEMBBX9JKJml`==oxvVEAki_ghuWed1kTtpi}Bt++0X(
z+zr_kd1go}^1x^01w&TkLHrLMbQ_W@@;q0D(Qic_Xj#}mugEhW;4|_@SL6-Sdw@sJ
z$QwN)uLv|uPR_l5qxS%p=wn;rHF^&)Bm5X#yj{%Edw@sp0fr2b_A-v%0}MM3X!MM{
z(KGU}A3ce7z3u2dz@zs7Gh{GSF@nz0=w%$e2bl1M<%ko;42itIYji~(Wcq1zMc(L&
zywnmz{;@<q@&?OtkkJ)+s0*w{SLA_)8b(*-5q-Af=!(426?qt^2_x1Y5>W(?uE-l*
zkq0?k7rHJEc{%i;J0p+!EAl`yUyv1fkYhw3!%d?r@^Ek28eNgs%Q$*#Eo?Ay^wwI&
z(KGTOi!Mjc$YTK48+gww7(F8oavUg8_pQ?9EYQ(2@`mjhdFJpHc_cROhU|(wbEFk{
z!|9B?5w{}GV)TqW&>E4oOdxVAnB2<*xwUrmj6Bdy+NmiDNtFtqEia%e!Q(*;NHa{K
z8q^STFwiqFFvu%bNK4GgaWI1M3Q~(Q^HXe1p!{0RTP!T2x7IQ;&cMIdC7nx=gIP$n
zEIrXPuOzi7EipM&KRLe?#Ewq`Ul(o^tAMoW2+Pd7@YV(*HWQAXkq0^tW%P`^(KGU3
zSFB=NKp7uzpzj}T6e}eRJ{UVWv8brhB;MIG9wey1=*8yj7!(v~f_xHo*66LZ_^!c%
zA6#Ytx`YsU40!a`TEd<B(G_{4EAmEH<k`V?G2xy&NZ=Y>kvF;`kK6@gqbu@Ax=Cqt
zMc(L&ywMeT#<A!f0?2A;kB3%7^v6e6<c+S#BdO3GU6D7sB5!m>9@?;svwyT9j$(NB
z5d|Xp4Wnn|73CMi=aiO=fE9V5nJ>tSJjgL3qbu?V%}$K2$QxaehkOgC3J0^00_Ndl
zX!C?f(^Xiu=8T?^Cz6*6J;&C_9P_qr;=*V2j6BR_n3-p&z~IFN3ta>7jk@5oqCivq
zgvSv5h(8gOs6}R@EAmEH<PoZ2eYxa07=@%U5;M3+O)5>(haW;qRFWIHXXIJHSLBh{
zxEr!7@+^>6<c*$@$G`wC;PEbzHdkQqVlB=|OwI;P;5RTb^fC^HGx7`u_>4T(Z7Hx@
zYimlRoItnFGcZgApQJPwOfF?CSiaSMEo1LK`Mp~iH6?@g?*)q=1&QxJ%gDf>;B=L-
z``B^YyNrh~Ot5_lmVFB*zcNZ2TG{;tvs=Nd?RvrFRHg%RCmrW9owww-UkVn%wjwXj
zonr*wT0441-sl;5MWA8w(KGVEg*)CO-J-d)I2eW0ic0hH;OmjFE%6#XBM)2_6AsYP
zGxA2y$b$@#j-HW+7z7(#kvF;`Z*)Z-5i@I}EApVr#38FfM$gC_JtGgc^PnIfV<I1M
zyqTeaKIs?MkFLlYU6D7sB5!m>9>&e8HC!aEn#znHJtJ@Qj65O-2$D!kTk!_^&hXhR
zNXL@!2B>yME)xz$Ap?|Q9W3=H;%GX3Xa8v9SSb<Am13C1Ls0`GV=v?8x$kWW4~JB6
z8F4TZZo?oPGI~ZHe5WL&2|T(Y&p#<EH5qH~aVVUTr<7(y#KQI@E?o{rAx%OV7QD9|
zH1h>nkw@Y&Dz7*>n1mt~7`#+8l0jz{U^}h=bXb8xYD#)4cpV6&z=6zd5zg#(T<jbS
zLd?lI*$NDhMGU0r59gBPU=|X^nAVS{bdAdBioDSkd5FQt(KGT!&&Z319L|EPv{P^z
z!lm8lioBguh^TTyxYRfpg_KZho7~*w-1x+lviQur;u3vlA8$`T(DF#|o+F~xl8v5`
zm*bq1tq%^q<eco$6?u#dLL@fsptshN*ti?AEAkAGR^;J0Bk%Fj+xrL?$VRYMCwPq-
zeDyNk#clQq3|_oNsfDGPMX4zYC7HRY@I`K*Rb4Pk8CNhe>|kU#fO$B%odSawPhwF~
zW?5nms{Z0B;q<$;7PKskpksHiDP3S=_`t@{r_R8@!6>B6!6+n;_Yf_8XDQ<QC58ih
zM&9U(yg_<vZLq5XxW74iMjpZZ7AVCF9)e3tNluM-jt_)f;O*=RDwSXthh3|9NyJR@
z=oxvQ2J!x&@bd``F@%I4WaM)D=f6aZT$XVea4-w$5E_wzozyjYM&9Tdd9aykYzrty
z&&VTshNzyn$qQJ{iyu8BFWMM%+$p$|3K?-FJVi9RB5!m>9@aS(v(Z~?Z!j_-MvF&R
z<c+S#gWaw}`hv01GxA8Pl}Ha@1LVVT&Ek>T{AQ%L`K!51IG719n?PQ62wkrr4BJ0%
zY=U_>!PTfPBF3YWxr{g%2``mESZ=BBX$%|kBDUHma%B^;CH_);;4W!SX^C09r!l-w
zMMn5mav5_l3+WT;Qz6+74PGHw@M1X{WAxVA(OYXP;~|$O!qyRuuE+x)YYQ6hAlwxg
zJtL2#)t;j(@)U~lOG{Eyz$=8nb1kE{)?!W!jh>NLG)T|LqyCCK(99QPMIMRAsEn@2
zt4KvzFOZpM7@wI`nwE|@!iU(VEp-l#+PQi!5pDHME@cj8A$iobBcMB<K<)?8kmW`A
z&vYBTwHDT|9$k?)x*~6MMILyhgs4Sk)G6xZ7H^?fQKvk*A`dj(K%H`q{oF+&;%)Sd
zJVx9X-;lB*&v3+@k!OgsA`izId7~@xP$r46oRK#eR^%CtuE+x|106jhuP8G;Jr%UV
zH90jWN5RcybVc6i8F@vcXXJqj2iU4<+>M3NGxFlWSGp207e`!xj-HV>dPW{(RB!Z*
zJj6ny(G_{1E;5nFW55@G8j^85Mk^ziF$W{zbqGk7fNo5}a=kUKYm^)lmJ+c<pUB(n
zMpxvaE^ruKkvF;`4>of^`hv016?x!sW=Q=@cyS2n0X(`QkE8$|U6F@$^f;C?s-TMj
z^@F1gNZ#bo!pH^c=M&t-1+xQu29_vzwIjI4V-gRtH6A29dTT8sYAsA;x*c7S7i~mx
zx*c7SH@YGZ<FH#;PKq}mVjyO8Mc(L&Jjjyhk$FZQXy$8lMIHlqbuMv(vZH6@jh>N*
z7>uOON)_U6Wep(uHb-JRtyH<taoOx6L>v>52syJ7^%R|)?0C?@R|*VXqqo)$&J#gL
z&&V5Hkq4S?piVhAx*`wH)!b`-ogremA}K5Kj7HpwJfqPSd7$0gsLfft2m1}u6?w*^
zEAl|yk<k@-qbu?ldf7lpVJeth#5NT)QlHC3#F><%XXIT#8;dXF(&k_kQb*~8U_FE^
zGahC7(-8T*jL|dl7#ToK!Ujf2Xri1_fh{zlcczG;&KDWR#)I!tL7y-J?ZxjMJtJ@Q
zjJ%@s)Drm0BFNcwq+eY(dPW{e3%CsQgGbNE!#K79RQ(cO{ye%O4`V8BbVVNOT7c0N
zd7~@xMpxtsr4YBQ7`oahJ~Mvw)>`<e&gdC=FCg8I(KGTu4P<B@(FZNPlNw!-*TBd~
zc&YB_iah))Nudj<^n*uN<RP8iM%7LLG>Acm-H+Z{J9<VQ;|xZ|1$d6(7(FAeXvCb6
z2b%dBU6D7sA}<?sn{WK+8F?Ad`%rorw>dmIKzJCAIxAI1SLBVZ$Qxae2RUKXA9~js
zh!^h(IoICPDbx)#CIMM#+RHe4MjrBIxc;Fb22yh1`A*P9xv56rt8yW?<i<Puc!T5>
z7`?cBeVu(>jp7|$!jQvqQp_v5m2;yj^60uE&v?YG$TJ>Ykq64#Lw`k{$>@qa&=An*
zioDSkd7~@x@=NndQj6jZ&66@qpogA;mdqiq&q*U{EPixFUWq=|HFLqyh9qx?A6=1`
zl$Dx{JwVADYOm+Qyw)9Cbb(Cwk2Z?Ma`cr^EcO+SS$j%|IQlAsONoP7NDj{$%jnTF
z@?f3NH8Qh^2+|rZGY)1UBa|S;a-It6(nBJS5&*Rh2oFyYx%L5J`{;^1EO*%v7uKUI
z@<vzW5tvyUU6D7sB5!m>-sp<F<dS&U-I&lNRp4cZ&}x75*4n>B9Pu!^B5!m>9_T_@
zPea6Q%fyWWjIPKVU6BVXeql@F^5P8?@ZSqa)lLA++R<BUF}6RAo{=|tM&3w1BM&t5
zHM$~ibVc6iiahj{Dx)j%O4IaV%cDTIF~gQeIfc5pgQopqBh#a2<UuZaF3K!URY*-q
zPt`;Y9R>ykC&DA=c3kYEEAl{V@Y3N)4#a~cxzQDQNH?T|R^5Tu+-2q!m*_)R+>Nft
zTfxY%gOT9?W^<M*2Z5T#?IL272zn4G%Jds(l{--ffl~jBJd+W(BF|)WMINZ~q34Rc
z2Mi1hTns`&$vN3+N%^HEh;1EGSi}@T0~;W$$?*B>-~a!G9Sk81Wd}=;FbF$BM1&nY
zAq-`Q42D9G1PIrHhz3T64n~FvjG)v1Rx*M_KzJ*d1t|nhFfv?aoXzpu;RYk)L$EkV
z1$cWk^G`UxkqIOU!W~RZ6PTE0FflD)`VRw)E0~x*FfsgKVz>nHBX@CSG1z+rnR!yk
z9J>07fx!WbuNblWieUm1^9&|tR9~?|e8tBIjyVxn2?h2VxGfWJD1}uN-Jiy=*mK5+
zJ!4qxIXk58^f~UB!H6Xae}Hy0&t*KBqGGpzkr8W5{$K=0<^TV)9WkS_5r?mtCNQzg
zU}8b_HNz$*Zg3k-R{=!rLg9U2;`+hFB`Uzcz`-a)==?d*N@pom4n`qGl#)Iz8MJgP
z9@GSkFG@|1HjdSI(PFS-aANRch+@cc#TL$Br#Kks85kJk6)Wf}5L8p@7ujqF&IGND
zHOD{L^@0=gR4_RgOfF^otnO#Omht7^5Sy)xM&AYY?*)q=1&QxJ3ua#hlXt=7Q!x1!
zOnwEEf5BucICJ!Z$*D}BIGW2;z}aZG6fA<eR@5&^!0ss1>;-RZ&w^#Ig2}sJ@+p{n
z0m?{>4a|%k%#0J58D=muEMR6>!OXCMnPCSr!vSW76U+=3m>F*{Gdy5sc)`r@ftle4
zGh+h_LkA1P1Qv!FEDQ@+7*?<_Y+zyB!NPEWh2a!SzD7}Iab{jRxY4a!g1&as*;OGq
zCqFqmHANw*5_`ZZRD)6iJowWRb8;MvV3ARfT9lceVrv5B*J@s(yN$P4SlkkeOCGUc
z&5f_H3xC2cJc(6M!^PP}L02IdOgaYyY0h9}T)@h(f|X$hE5lV*P&s&mmEi>|!&fl-
zFPLlvpUsGRPUAKv4n`ru=QJ9}>f=AB5po_LDDe>PBBgUFaxe?YqU1PmhD$AqPlM(+
z<Uw^)9gM+VQ2HcnQ4|+(SsOBJ=Ijcpa3OtR@bL`zHajn3d&9}WBowW{;H9PkPMp}&
zB`8&bQ#D#wl7WG+^9OBLa?oBbQ8llgh^2LzT*@5GLh=Qf6-a$CP;s4^XNagdky9X+
zwOvmW9};0zIF}j+qmUA+RT$kqPd}u&NKj4!CqGb=2xnKQgON)eRKF208MK9q{0`-B
zD+#)7@w%A*pNQ}rq?b#4`Fq8NaDImNDN)XK1tmM8`jkO`B#1b7J%ZqgyPy^iS>@eR
zP7dY~THX!L>m^bc9uSdZs8ZOiJpYvlt3pUE>>9v5zZu}p-@ul1)&*X4E9v4jxrxZh
zzC>T6LsTeIW#}w(;#=a2In?GIv3KZjNXHQoI7Bw=oXINaE;Dnm2stV+c=1Epbp@%#
z#hJO8#krv7A$U9n)OR9W+-Gqqb1(_Xql5!y$5M*dBR?l4J}o6VHQqViMS;<a#mxoM
z6b0M${P#*ChAWL=Yni}$K+S)!`|vg)>=hWic#BdCOEZg7Qxr-vb5r3S1a(Kj1~4vQ
zWW<>>?GzZicoK_>GRqQkQ1y#Xowtv$esUa*<st}Bf0m$SJJ?t+urYjKV<2*1z&uvp
znTUY_bD9hc%ua+44D@?_#5yogoe3HkQ2hrQ7}#qe2p<@z&h#UDU?8Pb#cnQB9^Qe0
ziw8#s2B<m|F~kQ3%;`HYfVzte%fJ9AeZmR@2Am}qG4%&1Ym-%fj1CN-c1n|TvOyhd
z&@6Dez9+1rLK<hmTT5keDR3|f$>1>(wz2_a0j_E0(Sd>FTq@2qj}8nFxuS*+0|O^^
zQD<NP)Z!tlyc-=Dz*9KE%R8caY1Ap~Mh6C{cgof1zyPdz#kX*f4g&)XH)E+ZFhF)e
zM|fZW)ORA>`Xpvxz&v(P4h(?0qc9JWGca(Me?I*N20;B;f{rD1U;wldi->^%6PgSR
zoO{;>TQQL3f_=pREd~Y}nl%Xy49xrXYjni`HP<K%@qqyo`VI`3fQ}&&%1eculZa(t
z0F*vqg#l#)1E8!;RzrDoV1Ss0^60?8=!${(^2{oN8*4{b4B$Wfnpy(`pcW5V<=yDO
z05Rp==)eF8%cF=2EV`_X8XXuIb^`-s7j*O-7%(9?Fi<73j{YkKK;2PT^O~H2fmKbj
z={GO{>dz8%EPP-f60(&5^N39>$1#FeFN4yN6anRl$t9(UIWXlk*j#Y#Fnp*W^36A_
zYX~5l3*-}0skphIwb|TmE>lwvzRd-5yav-yK``An7X(q;#=$yNa1OM&pd8ELw0Lq3
zVw*uRXhBpUWI<Fg(%Sr9M&h;^<Z`KVFbgT8tiQryaWv}CfeGej15kQ}6&JXN4w4A@
z6D`X_n$$r^9s{rX#=q&{+vuhP^i}(#YYQ$gqTb&<y6FJ4s(y3`f#CxqgAjDn0ZJ(d
z3LYwMIv`l`L5^jDHFlt@a*>O4B1*ouquURNDEWx$ACImw@M3^2bp|Iqyz9?K2NQCf
zbF%fpCzK@TWP^&0_@vS_#6muzlHBmxen7CmLv89o3p^5=dbbH~Ljd)%2)9d%xU@N#
zgw#<g0F-7hieurc4vIjnZ|HUeh|9rS3$$YT=|8vt>cGN0O3vWIbS*j#E`WN#1RcAB
zjRmqDAr>;YpvS=|q?MCcl%DFJR9upnoRg}L6h{^DNHwSdXlDW;^+<7QK$DdS>)E?u
zD-ojc4lh8qD6HN}owEya1Rd?>GBw~GUJzW%YB!S4E*LSx3s*tI3l&_1@&an!L5wee
zH(Wu-7a$w1AXCr8jxQ8(>2NR$X%L%hk@u4uVGc5YQZ205z&*&2OwhMzIiIXihR>s;
z3`DfGA*qFM3z9m`?a>_!qdOQFApI6%6CPC>-Nfx+h~*OHU=|WYEeoA}ycHO{@Gnxh
zWh+U<vR=Z)9%>;EZE+))>fn5ee{l8H=nw-D#U4@pJ?fNsqZ=7UH!=`CP(zi{j<}5s
zWS4ftoo+z5v_oy=K}$PGFCD3Jz+c+kAvnGO>Shsck`{C6a4_L*2BWxkP!2GFy09>x
zk~6@tG<h2RRxg11zyuw;gN+F?z(Dfq1tZY-0`aRCjA$~v;7~gOHoO2D{K0)RB6U|U
zWPS4@xO%~KhQJ^iUKm}yfOKSAydgD@MkIOlf)VnT2YRhuFhbe*V1_xc07|d0;sW=;
z0x3h<pe#?;xWee_1!5KiBh}z|XQrsr#vUD47#&v_yyFUkZ1n=DZ3HR#MprLD+GK=l
zmeIo#M-NW~Ekw%VQsH10QoyJI<H1V`KueN{S+O;Gcp_wntD%uOW>b&2;RO<#dgP5R
z48-aMBgEE-;k0@|rEnts1{Xj*U|5-sb0lO38ylH}3*f~I#1AgeX6?dq_DKUVxM0rh
zM{sa~ajozm8eE|IN&<rSE>PXZVY4;D0C{i$T)aV-E+7y461#MP*sT!;D1!?Ym^BV4
zy~2tM>I^P`vOJ`TjqEWZX75G^7ciPuqgx|Jw?-hA?vmEf9yzBgpsb7q^+P}%5`qgC
zh`W!0U|SpOx&;zTzR|4_#FTua>lQ}OSwLQciRDh8(ZL0}4laN?gJd=J24Zl*V0aEL
z?0eQvzrh7i4;a>4z%#hO0U2B%@}>qu(0T>J_q-X>WSzn;|Jksi1XsKFcurZM?iG&4
z_JMYUu5eVdRU1S@38Pmy(rldqkykhxA`c)?y7z(b6^@1|LkCz6WCW#8SYd#B=zy4;
z8bDbaQjd_h;CJ*uMq(Pyqw5hy4`duYkdYDjG=+h^!V%QsA*;L_J&=)@@@{mXV055h
zbfAEPSxA(;kx}ASArRTHgRVXxv0+E}Kt}3a;b@2$7y!4bp=TyQTns)l;fTTp`k$Eq
z>W;#i*EsvyLb$JRTs^Oieggxb{wzVq!VhF5a$vxexPbvvnhXrEN5BULA`W677^tSf
z6^`fpK?4JzYe<$dfo=g^3nsUM$-PXVbMuZe9rPU?7#O|6u_U!9J`H>%mnnS*222SJ
z41m%ntT3Q#U;vc0$!aK%4h#^}P#zr^7#$cG9T=d(zyP&wY5=u($SUtf2L^~K??wj(
zMh6B)2L{L=7@*!2j${{fga-ydeJ8@LPhzfcG##7+1EB6G%!A|%3=~SX({Eq^)So5j
zSopvI>4zzTuWBH4fE?2K6`;G{v>2=yoEW?qq8PGVVao;MK@9NzrFcVyqRiq{2Ln9=
z1B1L`1ziP@6lh5yPBo<g{gYv<2pVPoV_ikCFyG&PE#sL(r8Zj`vm1o=?_~sa?~gJr
z%=h1a7R<g1ChvmDr(p6enEVPR|ANU@@IXK>n4HS=D?A#{hJ?BA)$NWlam4)_(bqbT
zm<<V}AErp;4UeF71k1v>yYnO+riglD1D3S~pj1oPPLj^q0p)y1+nU7Hxub_E64SOG
z9ZMJ;OBfwXfQ%)OeY}`du{gC4Qv@}TAjKYJLJKLM;y+$&^e{zYioMYxh0!5}5k90q
zc4-%NIfg2w9cuRuy5NAsMjqkA6p6d6fuzF}O%MYN;0?jhl?M=~gI6B>Sy@Q`l?R|M
zEUfWO&HzITJ<mG;^??aG7Cyl6NrQobgHcGCgHcExbq!#CX&z!m6?9Axp$j09HvU;4
zuRze%aCQs|iZrpcRPYH6F|;)TQAQBT1VWkG8iEAPY>hOt+;ERBm>7^S1hKTez_FHr
zLBXk&@nOA;6XbB8so+6_xnOcBW5M#R_G=k?_sQ?w%BU$B1RsJZSiTiJ1fk$`mC+#S
zpzU48!xtvlq7FfP4KTLDHUzQL=eXlsrt_Bk_Sn`d{J*lt;V6@utdJw#`y3b=m>D~m
z87D9^&R}L-z|63MnPCGn;|^xV1I!F3m>DiGGu~ikc)-l?f|=n1Gs6#N#s(IK4i<(9
zEDSSP7#6TFtYBf>z{0SDh2a1T!zq@0jiSur%)E4k)Rgp8-IAir^z_uC)D(r})SMgz
zHy8SEWT5&HkGEJ@f^+iAAF+sFOFXZz3xC2c+{G%Zp%D`76=$TNt>EGnXQUZtsBfgH
zpsxTD2yjI`kWpK~IUq=L1}ozNR)!U<LOWO)uCjv0v2L(3ykKSc3TFQWldWtZ@m?@F
z6-+K-dv3(RD3r@ZMDD|X$wOKLBV#Y)wThQ^u$+&3Tmrh$0dHnUuE4PjMhI_hAfld%
z<<j9`6w*Mk$jupAtQeXnWtPMzCl(b|#%IPG>w6j~z|U+0`38JE0^Y*{%eb^T7=_e{
zGu~f{FQ6#DAU>zG#4z5|Al@Hz2`8vD0v*N-=>haIZvXt3h`ObW%YcJfNC#ylA=1q@
zmC&1Q%%Gk#h(_Hvf@PB?C|wYaw`eXc4n`q06nn7+r+zTRM(~AT5U&vq%mzkCXc9Kv
z*+1GiR!R&Un&9X&i3eF24;EKo^kRb)_Q>J7p@@Ts<dV;&$-yk7O3(%IdGVmMB9xa3
z-P(zHh6iy08bhR)<KqqVojnoBv#5cQk#LCCW4SyPTXca;_m4J;l@dk{QfJS2kRbN(
z%-T~zL_W{pQsQ71lEV|8(dH-%m#|#na%V~n5iys>Wy--QWQbxDo*WTx5T6-uh?3)p
z4N?;hMj-<d?Lo@=M#x#;2sw$ak(otAkk)XSaWD%Rk>&uZrPmZLV-7|ked2A`4~{kl
z#V|=FK_?>@iJ`0y&id#@f^jUqB0;$M01@F`!DYn3ETl)gkEs^cNnC~;j6%9Z+iXZi
z3DL?(VuTv%`$rpMD<}+O(F+Pt723<_n6Q+HP|oAh;9wR~A?Vj=OHg8hm%CWn1xJ4s
z6H#1*a;b1I3Mru2ml+SOF5?YBg#$V5fjmev3cs;Pg#qq%0LPj+L<Cy`mlOxHkQj=6
z1)#Dm4=td`uJOaTFgJmt29%|Ka5VTtE0VH!B@yO=Y9A?4<SGed6V^%zlGY44c!-F5
zY?VBgs=_kb5Vh5WrEXep6hwrDL>8Jx(BzjHZ)mLVX^g1sL7pRA+1GM`8uA1SDim9g
zlA=kxr!l-qYJyxZ?VnFfy;Q|z!of_qVnntcy`;nvz%SljBO)7+9>4}<RQ;p}uz@~O
z0GlCK{AS1jOkA74n&bdBfCjKIteI+Tg4s^J8r4Na5>6&Haap3IVB*?VHN;hTh$Li*
z7QToCOh))tav5_l6K>id*$xd}cx{BGknL(UCL(kbxePcM33un9R+ClUwlI=r2e^lY
zt?V_%QO|okv?9W%MAq|0(Wr|Nu#^msYGxB*ACc+S5MK0}=zAKHTJjQ^ZjmiPDi@66
zJq_UnFG`1ixHbTh=@!X$BGN5!y;maBE!1lL;Arr*8YHz7+8Bu|6kv8>?FSg)?FYp4
zA0ncTDdp1VU>4FwZEEEg$LA+yr6!le!-ia-?x0!_6WIxXSxeS1K|7J%Eo4i;<%0-v
z+sxS$UuSFc-1kI`YZ2MmLO6toaK5%s*oN@P7OCM(PG^hca5e;WYYk(iu!J*acMDX<
z_A<^sqCiAq&f!w!U=~s&n3xq9yx@%oLoC(XdclQ66vFY4>vaj)7Htt5pBax@0E0Y5
zxanJgWt<C3iymeHQsHZgT=<$IH_Bf8UP(ki5?LxhZAMS6SgJMA4n1mzC~9Yd1|e#U
z+zi9rk07p@OHzm$qlYM#G)i1mM#_<*pqWL;>KzjAD;eDYNzCdUV&@B}b5qmK)q9D^
zaG6}n9Lz%U7|xFe9R>}W?*p$=N1n36k`gVi%_YLBa4xkWyl8Qo!=nR)Yg_8vTH+&m
zhlubD;F9NH6q3e>I`HO!q|!8flBZRuawqDt*++<I5J7KhLYZI$B{z`c2_B|QT=+yl
z#w<~-fX<LYwz7j3UO>t_5?3oyc7(Em6XAqp$HmUUAjF)Ula1)KfoeF&N(;jJ!?`3u
zJFhSj2Pj`FFnDpnMotVgL2ZKuMh0RH9-Q|x$t~VOM2?|KVW+%v3K3R?kXqOgwY0N?
zkxL!4pa?Zub91SBC*7o&S9B}s*w0-gA~1c4F6oF0MXD5Yrg6K7FXm8s2t*fiYkr*}
zB5+7-;6WEGlGwmo$il%Q<fy>l#h(m13q_$IwYWGlH?uewUV4BQWf3lxK(-4)j3~`Z
zPAw`)%*-pPgd1XzbZ{?WLyEbyIhcghQ34f9uLyiviAAgwUvO$kX+eBi3iysy=&DDE
z|H0+n!BY!~h)E+@ISkeVZ+qiiMPskP;Kf^%T3DJ1S`k)~nVSmtDQMLk%%|k6dK5}a
zK1f(UIgU10VDMrs&PhzphC3Ft?2e#iJJ^&U2Qe>Dr^TvA(^&l=_*P1Ms~(LZ%N<Q1
z6jfI}vTjR(t$IuslcL+I$7x9?@T__?xVyvQC{vz0$B16_NQ;e^gJRXAAc?CUr-Ei&
zMpr$Ku6ksEmDspftB$UEgieo*u6i6@^_W`Z51#ST$I_I9tv3d(K#Dg+9u*#4^+<RY
zV|3Lc=t5&6C*t5qjhu<N(N&Lm@NA5_m=Cn_479QxI!903%Cpf`kHk({jIMgbSTF%y
z)C65|JG$x-G{ii*>XDJ*1?1F*(N&Moy<CWVGP>%Kf!OkPbk!rgp2yN$hb^N*UvxuU
ztv|ZzF%Qv-!X3J!s~$^IQ%IdXC9)F$OTeS69u<o6OHsmkq^x=bl~wd!^?3KC0ud9A
zB&~Wx-HHnG6yfm#l2$#UjK&xvZ$&W%&0j$()Lup!ta>y=U!!M<xd}xn&4`E)C1na1
zG!F(@vjRD|1>!+)pyEGaYjlks>Z*z4oNUk<x6Gu{v~<#!N{z13^MVegfL0}elONux
zaJsBq8C|1Snx^kB#S7lO07}>Ko{$YVPN8n@$fe=v8a?o`R_Ni&;G=uMEjdVG3R(&`
zx<-%T0eq~2I)&Zn8ok7nviQur;u3vlA8-HA5CbVWa83oS-%B-$2gy47czgO8#zW;5
z7`?cBeVu(>jp7|$!jLoY=o&p@in$@VMh|gW0f`N~A-hJ;6lskf_+-K$&;e3zE)f5N
z*W2AyVcSo5Jp(z*-SDi@1Fi9bSxU|tz2Yh1^jo6`S|mo$u{2(zXBMj;JkV?O?wm><
zh&6gzHwdrMbB$pi(QEXE?a73rYxIyBi=!tK78O;-kDg4(IC?T6bV1qZ$%LRB0bM(X
zd=@EjLr3+bo|y+)axuC_kF@c*(KULbYxGL6E`3EiaBuWv!qJln88R5E7(sJ+y^NzL
z6B0f`6|us}kjN87Mo%V$ERq{NnUI8IFGo)%1P>LCo=nJagAu7eK6)}C=n@1Iv<|`O
z$%HEy8Fnx-9AIR)z=)b)Mo%Ur>Ui?eHF`L&h!|a?hncIWbu!`T8a?99D}|g)NX#0&
z=FQ4fUZV$^2ZOB9gB&vgSylpGBsIE54@a#N$fdx+C?qqwMvswk1|z;yA~brA9_R!m
z@B%IZ=MoZkPTc4@dic}Z=s9{qq8P=}=s9|n9vV7&jvfPO%7Hp3hK`=22knd)nPYCk
zp#C{}X0iI9lk8aBTu5x-4cRq%W=L!Fz~|@%L)Pd){0|;@8<K1EJXeO%Z;c*kk=Q`5
z(K8<e=je^D(Hpe42alek2faOb^c+3VqMgy(gBeE8(G$XUu^`q(ZKLPtjh>^2?_du2
z;bxFqijnTC89hf2JUond5AEn0Jy3_4$Rjl1Np18TJ<!Qpqqhfx)*p}F9*p}iU~H8<
zmeYSmZx3b!EnDwp99^R~x<-%4TUZebKu6c;frko5*XW@xxf)%gH@ZfTq~ml(*XWI|
z(Ze`#7_lM|{bVvMcQ%f$(HmW(SCUwio>~H3DTlm3deEJtNBuQ=pm{LJ8a>D{Bcp5d
zU^A1ryNIJ}^m-Xb@4AJZU{0NtE2C@lL|}KZ8e&_#LR|QauF)G^qlen19KGvy^c+1#
z2BDFA*R45xjUI^&ydk?r&m3uu-f%icZ^W(9vlu-`kKcYN6X=rVwP129nB2<*x$AcH
z96bf_722sO3Q3g;pv^G(r6q>(AO?6>OuV6jLUmDQajJuXo`HcuUa>-2Vor{O5sX)m
zT9lceVrv5B*J|EkVHv&amhoD}OFP1S;B+oU4rU?Qvh+mHypq(Sw8Z38{p9>o5Ia5%
zeC4=NEb_J_Ea&A2Z*3rA!{O*TdY}b}qvz<2o}&l5m=)`i!*~OI|7fFFDPizY+R2GU
zMU^J;&YtlgK?O!HHfP76phy$sv$L~C*XWI|(IeujU;W@{V^C2}(!|{88okjqdZXv)
z5z&rH;2J$gZ}c2Ja+i>eo}))ntu%U$-sm}csU=9u5Q$hIGP*_&bwXuyjo#=QJ(3FD
z(KULbYxG9f=%EeEIQvH%#-fkQK#r20eMEtXe#7WFdPVsK@j0a>BVdglXdVo*Mh|k#
z$mkk9!t)cOYxG9f=po<Sslvf5q=0#R8S0E7@`M$Zwc^CBK^r|sZ}c2JoQFz>b4hYA
zkFL=}?NW}e(HmW(H=@_*S-{umk=Vc+vTO7#kk;spo}&jnTnX=~C*}$aUaZAAiOJcZ
zNqq43;lXf@p1~kEM~`(|3hb`i_2p7dpnK~X7^X6U*3HcYlS>&3mT$FR%h<b5e(zRB
zP067Bd%@yILE`(*GBPkII9+8lNIGbHm+|n03ARtcvTwoUSH`aa#&&<f>{jslyIwFk
zl}T0gq~lzs^OpG5=;gU{jOe>=N6*n4Jx9+xDYGOVydo)n^c+2CQIGdz`)DpL4n`rh
zqSCxP_zER#i@x-OL4$}4q}_1Yz{sV|!6>9o*mP(AXyaHZG31d;kcIJJarCiE$YC&}
zcioQOb(@}A0zY&Na=zW@UAOQi8>me}c<?fX%b0^vNFODOVmX5f5zM1&^so%q5f|2@
z=jdTf&Y6wgb&GO!;OH7X=)!Tx1m);mx1)F6!uBFysg)4Rs|*eFNw4}x*XWI|(HmW(
zXN+TUh{r=KBKFLUuF)G^qc^%n4?IRr)lLB7WI26jPX!VOUfLPCOgI>Y3<&k=kSzg^
zz=$A^z&Ly28-Lk6_q{FQ?qvm+5eGBjHVnccL@bjYJx6c!9KE4)j-FDQ5fRmB5|=Iq
zqmU+{3=7`v4w?sptkEO!n3Xr2985yd3JhLq8sO6mupeXqI>taDH6=Y&6Eu_rSpW!`
z=OUcl?YP)E7=)OUbFxv3EMoQ3Y|YB(8okjqdZTOfz`GwI(<jSjA0eXpPK2x>Lp^#U
zCp#XrmQjJhYxEqw!CKz=5r4OL10w_Bi*T|Cn&&UY3vN7SCY7eed&c{NF01r(3Ux!S
zMC2B4A)+oEU86U;MsGx~(KCRr(Ic^eH)Pl786d6E!*Pz@<E6Lv5pIAQ!P=duJ#xIu
z-Ru<@ym*UJ3rjPLQd1O4GILWwWfs@~&>An8rHnXNTH7fwc=03_6=jws=Ah~?o)S*K
zyKX^?#0WZe2b<CbHii#u3=7m57&sV(lsOoM<WcK)w4=53ou!EHn-~s)bM!{n=ndMt
zZi8J%&(ULGU|7U9)qsOhD3^<f+!qLKSEZ#Sr^Y+S2ZGvFZZ6KQpfak85i(6WdX65p
zHEZA{fJhf!8peAXK-#4~p&^El@Pl-QwtxOhL}#ds%YcJfNQclE4Q#2*=s9|$=jg%a
zuCXT1(R1`5gNuZFpY_B|XTWkE=-$bAL*(04M(?^M9LS^R=#8GEH+qg95$9oyuF)G^
zqX)Z@hx8?6qvz<6v|NPr05(9b_|1^p{AQ%L`K!51IG719q(EMX2wlM-47+2<*hEL6
zD8IBMH3dAO3LaOw8r4O_K=|lgx0UgbiGD=*T0(<Y2o}6pR^yMJqlbFv!RQ*j(KUJ`
z6}qG6=#8GEhp|;>bd4Tp3oP3G29WXB(R1{mdr`6M(HIJM-BN#z9%vp6vPO@@V^&7j
z=wY0kmYHW54_Z}{u21qpXzDCm*|~Zz5v_HqEL$19>lW6t9=+?9adeFy>~b|+Ymyb5
zU<)2_U!gr{*Cdaw(c3wNh;lWAOO1n3NC~xC$jwd8jZaJ|i_gp}F41@P@%HpHL|Xbo
zc($X1kxL!4{DpwA{-GfTQgYyhjUX#ijlh;d?2C8y@dn8&FnV$M`a1i%8pS)hgdvYH
zO^SJSfN%n(PD#gp?jjL^>B}Y0!6+n+>g(j3Y|w(<_@vS_L={Wave1!x*R3IZjUI^&
zydk?r&k$*i9*%SLM%U<}9Oi)K9KFG?M$c$;jo#=vdYO6Y;7wk-B}JL(>8YTVugR%7
zISOtrqigg=&(Rw_M-SADYhYv`cH`pcIeO4z7xUr`2pnxs+&1|bB99J?k2fG=gM2-f
z?Y5(9^gy>iL)Xrcewfba8okkT^z^Ze|06BRq*mE5dX65(<lN{vdZ<etM%U<#uF-?d
zB#^#@Y;=ttNy|k@58%-?dL#vK4Hr@CQIJ;2p{;>5hVOeKV+~{_moW!3;Uj{PY#&{t
z2R>;7vN&Y)96dri=3py}GUH8<)2&gwry)Gu8i6|Gko`ivj2vs`5V205$aITjI}z!Y
zxZZ0b7ifPc!L5Q&tM!AUjbdT5R}eoEp1>MiqeoPk2n}NLh6zT`(PI!Iva<ybXG1cE
zV@M8XLw)eAwo+KmD;&M+mH~0lRRxxDE-ZcYk-0_>G!HhqMvozbfrN4H(R1`h&(T8^
zFVtDNGJ4nT=s9|$=jg%KIFFvA#|Rs^8(pK9<D8SN4_@Lpx<-$Y0dhVA@rRKajkq;>
zMx$%=K;uNH4O+a1{|(YLdd8z`^hVd{jjqvS_{s_zaQzD=Tfyt{dcovWFgbdT-soMo
zqighF&E<S9O%7%uRg~Eltc%*HwGL-=jh;DZ+6I0Ui4o>OFL$QY5V0#KjmwmSQOFP_
z=CBOYA}yuLj5j3X!n@HmdZTOfM%U;`34{0ZfvZlFcxTUef1C$9I3_G5VxpPI^L0ko
z=#8$?i}wdj^<zCmWc03E!uP}>))*O%uF)fD3EAixz0tdFNAJ2Fz3Z0Y0wZcIOyt^9
z*!rH)HG1HsouGRIM%U;u&R}F*z=-?k6;juZk+YSL<h5f4ptWPhvDns*VHOXdc<yB!
zz3Y}ih~#h{U84tD|4q1%7+s^6jP=-g@Lji{d9cwndZTOfl5?`-LFWL1Xz-z61U8wE
zo}&kw6&PKkH+qg<JUGk58!C*Rqc?ijZDvI(Vp$pJP&@FEcHl*3kaO)|JCTSw0d91S
z9wIPD*XWVHM$dS}t<f_cU86TV*65jxuF)G^qc^%nZ*+|wqmTy54cb^2U1i1_qpa8=
zZg8j!ats=A#*f~0%ZPWacLO6NG*Q-BVT&y22(cLQI+5UL!`OId|7cue#2bn@h*;@0
zx<;=kzW{PnAav=CQLF;matO$nd)A&3B9`7{a4B&x3(4V$(df}NdXOU}dKuTq%pxL4
zYq-ofn1zf`f|TH5M5>*fk-}v>x<+qwjo#=Qy&a4U2N*GC>+`sjIT(fHQ8H&{JfuL4
zk2j3Zj7MD<h5OEX;?B?+Jx6c!96i`f0_jW0M%U<#uF+#0z3Ub_EK1~gWQaAcqj%kc
z1`4YfL0#ou#?f>1P-=ZFP4dw-dZ5Mi3|=G^x})dljh>^2ahx_RC&e2O(XqX@P}qj>
zEnlRrg&aLc545xQ?n?zCM%CiE^f(xWv<Q`tuw(9!FE;?yEu(AnF#4HE#2p+6UZV$^
z2OC|ZH@Zd-v^H;ajUMU^klP#{(d`Dv(R1`h&(Rw_M{o2TJ&ekHbdBEV8a?!Fe<U{W
zoXKk74cWVHO-9$~frj4bd5+!_1_lN$1|gB;ob0ru{L+$mP;(NxZe0qis3PcSFc8*c
z`26+n|Np`ch7g9bgC$59gdHIw!VaDghO$EjLm@~4glj=W10zERBWP9l0!D_Fj35yZ
z-U?<x=HN~+GF)Yx&GFme1|#D`usBEscu<%5C!F8N1QG?|4ko4vOsq4QSQjw;hXKYF
zOw1pc7=AD@@G-*u84td78`+;iAP%Z1x-X4kzI4X$r7_Hx&JL-;ZpR%n7_oTu2jc`r
zhPjN~%#F4S7#Xqp_y^+&@Frn2&oW^5EW-pQmKjWpsGf!S`#<9*CT{Sq16}augIy@R
z4@_J?n79fA7#KiTv4bWyQ5HHS=a=S{q!z`efxDTYmBLc09E?JWDE<62u&Vgfl=M`X
zG8ZidD+VV9FNP?FEN9FR1v|vSK+nLyAg@?KR{>K6bf*MNO{rgGvmH3Gv@+hhy3Y=B
zDd<#i)XxQzOBp|_``NE$eEB!TW-Fu7cY*zT!Qw|j;``5n*;g66j~%zY%Xs+01ly-z
zk+)#-E2FfbmEB)3yA_;>dcovWCQuyBWh&rov|9=mSqmn&g2}y1en|p$N10|Xcw>7O
zEOHf0-UX9S!Q=~2f@5r8X6#^QoWRUDgPCywGs6mIh7HV&JD3>{Ff*KBX1Ku2c!QbY
z0W-r3W`+;U3_q9|8(0`RSQsX-Fw9_KSir)#f`wrN3*!zJh65}Nr&#heiZY9FuX%KK
zRY=asPtHzFQAn!99<U14pyU7xT7|U4oE!%uSY#BW7G>tA*qT83wVIb$aw)S>fi(MW
zv9P!$7MDC?!IBeSv4Dypj7<9po7yf`Sq+VlV6QkM1#JZvuQ(&kI759SO$B`gkU)Uz
zBvwHU7iSj*U4>vU=^PNGIfIpP0V~4_R)!s{3|GM?9^PPOc)?0=edRVL4o0C|$ie{p
z`6p0{7rdk`EhRZM-Z?%{fzgY_&BfUjRMx{534xLo;nB==E=3MzAz7512+oK|*%f(<
zo+;+hXrPo!*rF&d;<7xXeS+*2@TeZX?dgly-f(g-2}LU~c&TZClP&fX3rerxyRtQr
z!wFQ<6L!AcVC|3%+KU{j=G7CiNG+2~nS)tKz5r!26R033WdQ1F;zJ^=3g=SeU=&h9
zwF=+9DM(HNCqKN)QR&hx`)wsbw*jb&`TvOs&j2oY4n`qq)bPZ*b&A-4%p_zY>`eWn
z(zNt=Psp8OPN8n@$ocup-z!AS;v{lOaxe>tq876`+2Be+fx!#^094Q)2_hylB8Vx;
zq5Uxu%e%*%9Lz$I3JhK<ILkY5<7n8Gc79w69E?IT7-<evT4aJ!g}x_AyB@O$ng>gA
zAk$$<&eJK>4LQlBFgze4zfh&9TY3H~5mtqeTGS1mEuz*1UUVzx;x)O6$j82fN+r^g
z990Ur%!zM_FXT`gcf=NQ9MW+_1P+nSI`WFS87v$uLXHXyUi^?&T|sJbab|92aV{wH
zHGrm-L47L1jW>|(f)FE0^O94GN)j{kN-E)ofVy*p4awqC=3qiwv5VPfmE!ft&q;}g
zHq2d+8|GjKKmWbbmatt$NQD@rlM2>@w-sWqz~IGOlv-GtS(KWhP?DLO3ilwWuM0MS
zaRDRa3PuLVa0vQHqMZVR7f)hQQD#|U4yu0fsq^*`)=!S3vE14K>MRqqYzG_b1vZ8c
zYz#z>Ihe=lI}<VHU>>Va^)ZLpiSRLpw-NiXjyY6kg2o(F|AEFF_F4$S#~i9N{m343
za8PNqoy(Mmcg*49!O<}Xe4`wrV-Bz}JM&n5dX71uZuP=4<^W2$utEa&v30~$PM|D5
zI_5A;7QKv)IV9(zoC4z?8e$+N2OcW~jdY|Mfn_1b!^AuLc!T5>7`?cBeVu(>jp7|q
z7rl&*Ih3a9!#aSV-X*L9H~?b~Cw5V1%mLIABCEU`9dkfFZz(w^dvwg<1|!lH)uUq$
zkki?aXG;t*XG=!M97+^4((-K$jm$9vr{QKSmBt*%F6Ic2Ie_|9gxj8U8*?y^9h74Z
zpuR3Fl*k!#xXVAEeq#=x&N4yAk~-#KLfn{x2@S>^&b@1ct#ddLgngX@Eyf%w9J&dP
zIn4X^Yjm9ho|P4&V-Bz}2NU{^Ihdea0f%MG0hDrKg#=||4xlVgRvUbDodYp5f5c8V
zQ)lvTbj)FN%z?zM%2b&Gq{BJ~YK=L7T0&%%ccWttqhk*E?xh(Wa~K_SU}O-2F6JU{
zodfm89LO%_hTNEg3BfUkDv@>cU*`bo>%v;-<cvA2YMM>IF$Yj*nV@6gV-8y&dn<G~
z7=<)Z(m9snW5C-dK#5U`fbzuTlG4N+m~yJGd^mR)J_@n@*Ac8MA0WFe<P%b<xZ9%1
zVY%&GrluZzyDjE;4W?0uV7l+N2qIwP2pEMp2ik2>PTKJph&2$!Nc%2=$=G+1%cai2
zETl{*D@UV_N|;~{nS)X?tQf&PDnW3UC|XefX{`q#c?`S^9{(<kZ=<^~(6=#QnIjw>
zofzGPK~$@K<m|#gDFs2Be?U8Dsk94&V98gKSd^Yx0&4_;rtMhVT#$=&B1*p3qx&(C
z?xGr98-c#GdUTwEs1k2<Z3LdR5yb7sAXwa?HuRvy9f=LS1qAnHfO=nqTcse|Dc+Y+
z#HG!_B&3c~hoE$dQG5(vD^Ub$z(e<EK)es$pP?1gPycZXQ12KPTI7sdOxL30xCN+t
zP0+DB*jOO@Gj>46Ex^Y*=74Hi|D@uQ#N?b*<f{=X;*lz01JJSwLh6y?)PM%-C)Trf
z!`4si#5-~U*|V{FD|OC^@Q>MLJC~^e@5qJVT2{M}d``sZ$OYR~(8xsv<fv4l^Auv}
zg3==+inw$*n1wWmwHbLmq!H%$1t>kkiWA)97s&*Di&i3#HGuJXbO2*?0E2M`BfeoS
zq0wU`Kt1&G%&K?;<gATlsq+XJz=-7%<zN;PL@f)QeY^<{Ufi;kBx0pA;bIT1;RGr6
zNIXsA#pvk8=;#F_?#g#`^kQ`Mf{{Up?6Quykqg3Q9cmK~G<d+`<^t)vBTcH{tGpMn
z5kAQj)cqpdAO+b@@z6yvmktLL-j+0qmj~t81*m@v3odfTE|w-wqu-hfPzRf!V|TDI
zLB=jfUUOjt8oD5U&4m#SMlKv`C%{H7K%+{K!$Yv0a7o=Y7d~p|2(Gy>ogpxYMlMFz
zTx3IPHF}<KN%EQtBji0G^jdRagt8OF40Fr^l#*e^2<~PRDMRs~q5#r%C$T;oU2`$I
zBZP5u$bvyAi%W%rSx5mRHGofaM4UlBI%Gl7Vrk+|z9d-kA&pvq+Dwp=Z*<KCaYqr4
zo`E@f2IdDwYL$4SYcA-z<^oaNk=W21vTH7k5PL<2)0zvF!in@7w*YmoVI2;fgDgAP
z*vK5W057>9e%yi<D=wC^Pa24Ei)a2<2##AYt`#0c;}(S0Kg1i7xOao#%^ZYmB+<U1
zcrypd;}!<U;}+mz4|+!ja;e|TNZcJA#O@U_KpD5Nz#O*#rDRw!LY;97P*DJBy(4>!
zh!xYL;}#e_)zQ5o=*y-@ms*T2wP0ibU(iP3CU5GGTY%b3WR-lQdqqaiv=}|pg5r_d
z(Qym9j$4pj+zr`r3xnY~Zn5uKKmEonK;3IthXc>J1qWo@g2=l(3_+_b2wx^>NQ2cC
zyZmRvMkyBi?8S4U1$FNay}$jIEus5E)oj%U(I~~}{h<S}x`N32Lk*F~CMewuLiqkr
zLzGboEaz&1QZB5Jz&$EK%v~O!EDvdulehwU^jyu+(TLGi6jYm29040YpsOeb_Wn>%
zONgxUZuDHu(J_e8F^JJI2m)Ijp@kgE(F&juX`+tjA#T?Pk<B{Lb_=u{?unRWB7Cmq
zAiF=*5HaQeZkR*Qr+~C(!RJ#PQP@EL^C>`mU04epXE$C5_x+)(=e5yq%mLI{Cg@oB
zxtc_dIhYbR=3q*LF$eYt_?W}C^Vr86XmOW^$W73g1L&@srA(l8iEF{+Rxr7j2{dti
zl<A=F=$OOk{h^FPxdd;zH>K~GgDIgg2T;m|6%v$<Ie@Y}S#9vqF^ADHhtV;I(J==`
z#$LuDbe9LHB}7(vH#+7pI_5As<}f<uK+7=)>fPl*b}>hI%mLJ=BHZ=_jd)Oez(f|8
zG6$28Jjwu0T5^7AUP*jvN_r~z&|}lVIpzTB>%u~boH2(&$#(jUIe<FL1RV<>b0Gap
zOz>qMB%Fx}x?oU?!HU6&!HXe^A<G#yXos{9wkWeW)xki|z`!7{SV30-Q$@U?0<xOY
zfd0v_wGa2S&thHsurS}>el6pfL!~xb8M7ON_U~l`jT{_hT$t~_|16k&m9hKSaof9$
zhc8U9eF_$N3nsrZN*h|){ROjI!D9@)U~(!`=F4|@c4*9fuWomgi6idch`v*FbcY5R
zXJQh0y(lP!!Ll~)kphy=#6-Q*1IvmCP<ke8CrJk;fl35Ovz^3^8lz`ojt*0d4pWQ{
zQxHE)LH0RuQpMubJ`)qvaDo(jkU2D>&xsp76LWO5Vsx}(bhLuNXa(72UDV|ms+4s|
z2Sg&uIue_B3kTD69weQKX@VHL0B^E}u9txLAG}`T&&opjua^Mzk74b1a>g!N=y|pT
zsDn+=vGB2r7a9x<9E?KB9E?KpsEZ##qe`ho@oC^eCD1V^gl->2It0oBdEJDrhO=W(
zP^5{irGigrh@q_!h%$muCJ@Th)(|9UW^1IG<$^hM0U5Y3F(6@dV`+PVV=V)Nf>SHw
zx*8cLZ0BYcEZ=Isma%uA{NAmMnvy~A(T#%TTfw6n3QnYtZUnm>cbv;~-jd%Q+bWCy
zSN1p@Wm1zBa>RS%2SWohV+S+i1ZKt=%!~_|8CEbeY+z>G!OVDonc)O8!v$u>8_Wz3
zm>FI$GkjoX_`%HBz{1eM!Z3k_VFnAs0v5&<EQ}ji7<RBQ9AIHM#gea4lv$jam#zRB
zA=E7?%1lpBElN#MNKVbkQE+pi|IQ9VCv}p3{zPz2e)%I7A<TsHibVudFy8PJHnpUk
zo2jkf91x^AgOzasE5iy_p&hIYS6M-WV>ehCUa&HJ1+)Kx$yPRycrTco3MLn^JvZVY
zW|alXX_?>!WYAM5V2$6j22eBpTE$B{SdPa%qygR8fj5&QpQeXp97A|(0}+*6ESC-k
zqmTxQMQ+Z};>6HADYGO#IkBjyGCnijSl<(L=>S6nLk2??Bgi-4Re%imT54rn+8m5R
z>ckoEFU1#7lwS~^Q(9se?`aV4ufXWV0xE?dHWq=yZ~N!JMARu|Tm~G>LOLj8?<n_D
zLCQZfsOJo#Q8&t9xlaL<E(ph4G?x|!qmUYkz1V_NKNw;oG%!J4BOI6wjF8YIY`U|5
zv~jGI7&tV+(Pt75vM?SjuE6NU1}Wr`!*xRu2NB67pG%X2SxA+j3*z$#UQj_?fW{E%
z<@k65eP>Sv1}~CAv>wY1#Mq(>WV(N}QLL0Oa*#TE#)Aa0hiBHF5+d?>2A2{CvydE~
z@QgM`Sx$xJ5}!L$YKVxrG%iyPMj=BKoABg_c!T)NcteyNPh29c=Q8176fz*u9;B>q
zgq-z_kdx>dnOQ^xX$_Yd2eXh7X%3)TdQIUn=3o@kC*F4b;Amq|43m^@I~hq0Wqokg
zM=uhLWAPOU!p#SW2=59mBMxREJ>q>#wXja&GUQ+s(k0qvLo!N;Rz?yd)KK3)+7Meo
zVHk^EP=Kn?UPi}+r9^~s9+w6OvyciwzeZbv5|dC~D&&9yEbW4$zlw<{E<(9fI2eT#
zQ0&W$hgO&IhM>ZMoc2H-WU(rKW0492-0c94HFJmvwgfII4rU=S6#EK5Wm_IvK#^VJ
zhjC%<hDQx3Oa0(z@abSAW${WP%mvjxQliLJ638a3l@cVa8FKIt5%<_Cc`Q|hWwaq`
zs|icpwB9I)2n&fUG>xFiFEifISl`naQQ3n$N4WV^%LQu46D+7uY(YwjCh?xe@FuAV
za=o;FJ~8!D6_*JIGvSI6*?RPn5=#KTczcbAY(RPd8<0`;lODhZ`bYt6hFtNRAqOyV
zZT@PK1K0o>z{0TN(%1y^+`g+(T|^||WKt8CB}xh=u5DFAT!n{7LY8RZi%7s^gl{F6
zF$Xi@rVWzq(BOsFMpz2ju2y3rLN}4ifP;~6cMfVbS><gDBWZSkdsx`YUSk~fyvIW;
zB790@J#Q3^x{d)$$?&LVHWBs_nQjf?MX!mzry;2&FOlgM*%G93!6@F-5MJ=2bO?xR
z0}z>Rk!&X--4fS(B{JPYt=12Y2H$={QahoIk+?zuW(U@OfDzt)KurH3BI=k@E`1JW
zA#K#AR(^4Oeo|Iya!Gt8^qOg?JE#`KM0NsT){-?$&`xA`3)vEI`5=PaHgopG*V)=U
z_dOBgT10lX5Dp<CoUbhuwjn&SMQS*c)7c_9oDD(UTEkc=Ea8mV-2&CIy^OPuC=ijD
zbGTGFn1vJxCT0Z&FL>j@5KHy8UT`51g>XFNf@MOsMO(ziXU3xzz#vZ%Zu(YW8Rx>%
zqK8?4RQQ@A7rv&*jj|WNR}vABM3xFro6%D%mTFD3Lyy`airSf=L5Lb7H^XrEBZzC}
zk`$uG=pl+FjS^Rtk#emJXhspT@Q1`}Wk$DU4!-q{J6G=|BEw~JDRVFj$zwP_9(1ra
zXj+e$JF6|P%_YLBp?xs*Hit(C2-mjMxlqeT^bQf>8Nema!6+n+5p|%0M&gr7)AUK6
zR>>q}qQ4X`xMh@7nwB2#32EFrg}S*T*DuRvA0eVa1U)4MWr7iu+(3>ecn>&n;S&KF
zvqZH5Izx(BZ~-arU~|3jN(BFfxRe}6t>8pB8QF2Mb1(=oC+B1%x@;h)K~`80)*sF#
z$-yinijg!xxmtn2iwibxVxS3%xduiCVhtXg*J#Nt-a<rvp-NGwymJZ>Rt@3HzbD1K
zqFXt~e(oX>f$2-ARDzdt4U7zg+tgGk<V@ps5nsrm^bd$G<ktK;Lqy<^*t`R6kYsUl
zA+dQ!c&#I7F&5!s31mC|?U{QC8&b@r&A}w3juNO?`b6Lrbr!Kwe8H(Dr3LY6Dd3B6
zp=%u>{s)(P2Tv^|A|{Ps<uF(eyycB|4UN45gBNd6YGG+6XeC%lW^O9Hum!D_gZY%4
zwT?n*$p;DRC&$s|3JhMX#W{(|*>J~#mfI1uYzLbX<UHzW>a<wvXd0^@1mBy9Z>^&-
zWRar@greeFN7ijAu(gh+!cufw>u4Br0?%4UgS$H%jxy!BbByS<j?~}DIpAv@1xZ}%
zI2AO{Lij|f(Y1~T7#YwnOd4J5SfY<@@!aTINAS*iSk;Gn(eLP5$I-QpkUf&UjH7EE
zVONihu5|?6H%;X98*D*)JUP>EqiY@WQj7e-)7AQ@OZY(R%}Cz+FuK+eQkW4QN*P`2
zh_Orpx|9jJ&USRIBWP%Obgd)&KF-m#j?m>qh<q}-){%kO@^*BsBfOr+GU5wcJcYj0
zhPYMcqiY@W5UnWOp*y<Pu_QHx)LBy^I{~l+Ji69Vp(wu;C7egfT1QY>MentacV8+H
zG0{lUT1V6ks31=f?o5!h*3l4oWu7te1{7n^ycMKE?Pa9FT1P|lm3fw!TThhIjED$P
zQl@S}^IwpaDv)DZARYt<D*lx!qbu_;7Quj4wq=4=Fp<7MDvL{jgHcEZkCCtyQXmUp
zE2KP~Lfw#4<><=1<lOkgl(P8Dyy6mlXCH6>&=3PDIq=G|+}z~cR3osgvyZo@pJ6;y
zUV+hz%h%W0*VQQA(IpHyI7e6Jm8R*#(j6#W!_wX8%Dm)kEN3)>4>|%L)B|qEL5fn)
z0=UtYc?=KWBNfys>PA=QQLmgEU71HrAvYvf<{>UlAhCHjWLM^yBCX5=pF$V}IyB17
z1>%43D!aQXZ2JjMzLT@a4bRFv(AqATrR1#4E1nWgzm<8Q#bE>;OXHP!X0iIg1G_Ts
z&Z*>qSebY9BH@*Jt}*N*dS%`)JcV#{Wgb!^ar6{I&=HHHrw}rZo<ay+e}Zix<>)Dd
zM9*-Jo<cZ!3ZXuh*+=;LIM~ro_|7JRZ_mLuG%iHsq#WGl(Up0iwLGJz5RRTg$cQ&z
zjh;dXY7>IbIz&2;1^4M8qo)uuGJsY}kDfwE!V#CFrx1dN2uDvLWVpeI)E^%`g%EV%
zfeG^BkkL~J@!j(<dI}*?N0E=N%!3?Dj^z}x(Up0aJBq1w3gPI=JmStKg`7f2%*wpx
z&B|0>nFpHxf~?Gg94Z1?PBOYO4_~blNN^b){74_9;KX~_3U!vNjIPWZU71JXRYX)d
zPHObbJjgMVMVZB^qbu`fFw&u@8(o<<x-t*6ihA_SJkT-s*yfzTC&-z_>Vr<L8$oC0
znIWyr1D}}}3|W~6Y2Jc|-G=1KJkOP3^jnz+S{ydeEAz|;{LH-3m3f2qe&EqF^Vmku
z%!5o+l5-#6=$Uz=XXa_7<=bKz5GHQ*&FGnVqi5zp#`Z?f%tLIt8$B}*v=U@=Wgf<{
zVrFR9-j3c6JbFJcLk2??Bj{|6UdGY;feBw^jyQ45kjQ(zMpx#IuFNCyo>fHR8eN$O
z9wHoFnK!yJ5B=&`(7}92OQJ_t=HXi-F}gBubY&h!Hvq8?5&aZ0ECVs4EAvKI=9MHC
zrKgra*T*3*iym}m=23rT9%%jxvN8{Hs0d`ZX>?^CzFKE=WnM4i=xw&JD?CPTvt=Az
znK!yJkLYDm)LDc)x-xHcWggK<jw;KKhvb=g=J1tyBsTAc?3sDyNGtP()0ufAZe^au
z=$Uy-nLz7A)`H2cU~(@L<Tl&UGxHRZbMlk3Q&SX@DzP13tWXWwCgEV9XJBBESFDhh
zn3LmR1mhK?7G>tA*qT83wVJnBSVnKNwS%q2#eIoqI+r2`vyg0AdZK4uNorAAVsff}
za(*d@9iIkX3T_muz~BWxcL>W2yztfrA~qL}o|y+)b~t)w-sqWmusa(^&&&hm2++Aw
z@u(N46g4n1g2yZI?gtw^GjH_FJZ$@y;3wCOo|zYE0_sr1R!cc1EG42rK;&6Eqbu`J
z7dj+xjjqfaU71Jj!m-hnc?^)$LtNEAdS>3}nR%%tNQ)1NSQaw6G7q)FBC?)0iZ(&%
z{~KXm`}e43HWB@QB3G2cmimAe&3PIsz)vLsE%+wfCm6lWcJww|^yQa%@dn5PSXfq!
zfrexWkCYL)JqMP6M{lzoz0H=0?$+p;c}4jJ@j0a>BVc77X#NYbG7oa7$mq&E!lmx$
z%DmB)d5Dd|qbu`9SLVf!uFM0Cl@N7++33nVFYv$;QGwOL$feG~D5Q*f%{l01Zt%U_
z)VZ~L^fudJdz-BVd}SVq&ATDHGS32OW!~tSc?=BT<}BVxYjXt#FV^Cm#N=#f8Q#k{
z7|zTy81OUmShuCXZnLc^k#YjvOV7YCl@T;FGZ#!QWh_{})qX8w?>_mxTNyPagZA$Q
ziysAv??21Pz@XrCm9hKSaof9$hc8U9eF~O+3nsrZN*h|){ROjI!K?0i!Q@n?19B%F
z=Q5qQ<hRGYGB3}aV?^I(J9=i`=$UzmprtyQ@uO$vfs1&&7r{kyX>l+LsTGwX4aH+y
z>ZKnXZ3voL0XI;QhJx_c9}SFL+8m5R>V!>q_K!A>l@bd84X-7GqR%8AWMMp5T!GPx
z&Dk+12(~~3H0|0ux-xHcWgckTG|~d9%+Zy3sVSQ9rW&X<Lbw1(;W8dwnK!yJPf8fM
z>~;2x_Xm~tXaz55TDzBVbY)(AJh+aEk2j1*ze3b7-qRr7A9NVIPiTlCC@(@P%U(u~
zHFJoVVH;hUhq4NE^vt}`GxK2W94t4EBNkN|8t8k1R!)%AJ{?_|H@Y%!bY-4#EP4kE
zQqOxlv?5}q+~~@@(c5fEDs)HB%o{y3Z}iMOwBD98?(Wv?BML<H8%9^=frbtT<H|gx
zG$SIa(IhTi4n`qOl#x;_4PNjbchLM7WMv+ShpN2c<X{qtR$%Z_(*U1dfaTzVctZuy
z!3EgvcZALu;vG)5<6`Gv5MoZw$wn-OhYZ&eHyRbrCCR}oB#OGIAvq^IJ~I!ryq+sB
z6>`V50ja}Wqbu`9SLThb%meRvgyhH3GxJ7Q=7EMMiCSznx-xHcWgg=UM!Z$OFPA(A
zqmVR4)tz5jVi=!Pnx+rGE}p0)H*(L+Gk~wmBe8imWLM@HAg#>9ac17*rMLGHp3F3Y
zwK^LZ86b<Yz<Tg5Qm|KG@Zv2>EiBC}N=;EH$;?fK7K^=%ptW6K0~i-DGOl1`*ultf
z0Q0zVI|T+Wp2VV}%(BEBRQ<(M!s&OLEogBVLC5Z3Q@X&$@PUnCnmPjmX!$S)qmVq_
z<FxdhrHJpD7!LTEd7~@y2JLOO!LFlc<{5A>3gvPs6V7#kQoP_HqO_Fc)OhFkK+ue`
zn~Sq6sBD5Ak#nu$B@rV-qi5#fnvviB`L8Xk#Ke8(U>TPI2eXh4p^+KbI;7Dv^F~+Z
z!Dg(nEu@T(H_-QwHj2fv;Km4JA`a43&)QQ$L_W{pQsQ71l0!+Ss1Ar8z0DT37-x;l
zEFyxmhRckDS;z<_NU;pc!m>W(a6lqXcOh;pbaZ82YI4cw$~-Iug&{^k0hzHMU70t!
zG7n1!wUSGfgHcEkr5MXZ2`NiRl_Uyoa)Q@3g4W*~#KwbaD(tI6Mpx#IuFQkAbFkEj
zqbu`FFwY*l8r4O_R4|cek0C;M^fp`YaNp>ed823Mp&zFPI+$<tHd{teKa%iygrh6-
zMpx#IuFOMj13P<ycA(<wZjG+Y^H0jc(MKN&x7kvEWgck$3$ikg#6wj^SLR`?8_3Kv
zjL%FeO-n~C9Dt185w6Invs`88>b*p?)-$=3IhcjyF`N%tu^10pxd>TigmftpmNQ*P
zZ?he}&6WX@x_d{@%o{y354@p<sAW>rDe6XVvmL$77PPW}s3bRXSLPYQSLTt}yc@DB
z^9+$z=HWOqZ**ne4MqmU)&eYN<_(6Gc}Alv^G46iO9yZ3(k&^<Oixb*t#(aL&B;-4
za~WNkH+p6s=+L$J(KGXi+2lBSW*+qXBWhh%6GP-NfuOZ>&hTv{kp42^(V%)PTWe7l
z#zDO^x-yUWAT{A&6f!_rQG#U=0P4axebk9K#4%Y$psokR>R!gtm3gqCuueuU5<^)Z
zd|W2_F`CA)ph*nOQ(i!mg1wBw%?F5B30c8q#KBB>!3$D^Q>$zs@**p^&4&7<mk_Ot
zBp!ljs1G`?VRU64_6<>akPQwfr331EGeeXsL`ToeLq2^MHKayY=0SGeLiW56uIx!a
z(hVgkn#6k=!;f!-o<s;KC-=`MX2p0Fmk9?m;ffKlcFWKheZ4rAJ1bwjy+%YCP2_rU
zB)dn?%wx!40IwYzU77a+avO9dmoW#kkUmP;jiqIWNJN(C=@-lN=IF}2(Up0lEAt?|
zO#R?!Ba#o&A6=O@x-xHcW!~t@JiG^4RA3qB!cujQ%$0ed`LEHHd9da#aT`!a&&(S=
zGY>JbJ$jq%=*qm&m3gBp^SIPF7=@Hj*E?feJL~BOI<A%Yz#6^HHpe+9TOWLDZ*ord
z=$Uzp3__$FiEK3DR^}OvuFL~X8-qu0;mujR{i8v;GS7H)W!~t@ywR0;te{NX$_65P
z!Q@mhxoC7{-ss9a<g;Ayo<TgiG7mDzK*XFJD5DWR{BU$--sqWmqbu_+Frv=ij;_r6
z0Pdd=H7Pf`GOq;rR_3F>iiy}&9?GS{!6>AFvJ3-tVVEH~x7&}d%!3qWgx8Uco|%U+
z-;7xE14?_wu%q@zSLQJix*B72WnM`<Z2b>XDl&jJQ-xu-dKr(NnTPuv^3j!fMd|R%
zdiDLIjbo*-omYyv&jE5a?&xi{D2)j$3quT{eaF!=^WfK{5pE}puFNB<697wF@djjU
z&uJ%eBNVbF;POEPbHx~D@c>!gzj^L^BJP49avK!FA<!C52v);kSsZ<Bp|B0%ffypU
zLBVY%XB!mB;cN)naAp`Qg(aLv&&)$Q6Jm5_9`=L$!ME9h=D$W)=8dk*1D%xzI`a=i
zkDi$asg<@lJUT$QZWvveH@Y%!bY&j#i_J#Q%!BNyCF-=d(Up0lEA!Cjzerh`XFTFo
z<{6K!%o`pn^Grrp=8dk*8(o<<x-zdMwJ0qyIaMF)sbQmM=8c}2#~_r?rOCl8q>3`f
zf;G8N>s+kSm3gBp^B{{^M$gPcY*HOvnK!yJ5Br_L(Uzc7SKt$IrkJ-gj;_oDU0|Ju
z7EoAbEQwn>9!A8iv%%2@pjZJ9-9ZX7!ZYrpEAvKI=AlokkDi&gfD!k~v1*bJB^+Iu
z2bq7{{`oHvt3pOs=8dk*11*~Kgr9jp?3(Br(#|ylS%P|qwjunmQj|lqIo8Y};=oWM
z(=BXGQD(dedbvw>;~<gCfP+y;2c^4=dr9KxnRzH%NQi5Hk-B1RbY)&qekn>gkFLyP
zWI&(#%i&VxU=~s&m~j;tyx`0F4Y3pxcV8+Hu?;GoOOJz5NQ;ndup{j}4M^RTGP*Jk
z&&oW|{MYEpywR0;pcQ$eEAw2!K(pGAQHoy1(Up0nY5M+Byx<k~pj(>bJt0j|r%*R{
z<bYZ>`v?(Ts6;MF4rU?Ivh+mHJlJd-DA|Dy!ct)H5`nLuH!{Z@xbqRcLquH?0lAd|
z)r$O5kah8C;FZXTL!_Kt5ncd~NZ~tmViDVGP7Y?FNCgHj6^-Pa{N!u}nA4FifG)}`
zP6c1Trin1Rmyv-%!HMt!PdhGl4hA9S<eY3o{RZiv64w+AhlDQbnQb69X6AvqEL^bA
zH2}K}^++XrJ{R)iQs7_|lEE+-R9c|j-A&YDv(c4#qbu_WZKn0*lILI)lEz4LprRr^
zsWc67T|7}qZsgu(Yck?i=9!GH%mY<E4UEVKD$(}LJU&JS1}+96Ay91t&Y>U%czcJG
z2#AR)7H_Bss?k7Lli~B%zyJRmI~YP3&JLC!VGwqNh!{I~LKw~tsljf?9WxjUL9!rR
z3nCgA89Eq$Fiv1(n9In`+-SRik#Qv>NCJenf?44Et9~$^0H5#j5G(|egxrPl6V7jB
z0*Qif2NS~tCdL^|EDM<a!vNz7B=#mIZg5Sns{o>Qq3}L1as6Q8DiUB|;9wL|=3o?(
z$I}=At(%on<zPhXYo{fHnk@0DDe0-;Hj8nrzKa%v6@wFl7ef?7mNT|61v|yTK+nLy
zAg@?K7gy^9rlQm@ve^zCf~}0VuI{q~wNe-urh-FbE|^@(_*vc0el6q6zachT8I8UR
z?B5F(KME4xe-_NX%GiDExb0oW!xtvlJ_U=s1(RPHr46m@{({-9;Bf5)lT(>MaWt2y
zfV0sK+p0sqBmujlOtTlfu{{e`e-%vL1(Q#~<O?Q-A54r5%#0n(j1!m{XD~A^U}jjs
z%&>u(aR)Qw0cM61%nTQp8E-H%JYZ&c!OZZ1nc)XBV*?9A2Mfal7KRxt3=3EoSFkW_
zU}4<B!f=3v;S@`*Mp0&QW*)9JfX=Q8;Pzf>ib7H)wqRAL2BiX6z$&CA=HxgS!6Kp{
zwJ0+`#nuGMuhqQ7l24g^@rDYd*?5bE#VxV8<Pi(jy!eU*OSb)lU2PYutcFHNuveUs
zg0_N-SDcY%oT0vvrh>i#NFcy<604wwi?fS@u0k-FbPfp8oWaVtfR$kdE5i;}hO6LJ
zhBsIlUa&HJ1+)KxNo*$qZe!wL6v`#ooHvfu4}^A5(o&LB<DKIJ6&Sr(++2`b_q~ju
zghjXsp3bGn!7L<;G7<&Oim64=-h(k{CL7=S0#Mo|Y*7@JX>)9u9x^b4>=hz9Dz7*>
zn8@v@5VOi}&~{V??NtU<^XiG1smbJ0=3o|*$7u7$gF0HEA|G6%DlmA#(;t>0*QbdO
ziLff1)bqU|BO>6$hqoy~myJZftt9BSVe(@Be<H#&favZDu>nby9?O@%SBN-ufTCfU
zpg$5+8I}RHgvcuGo`QyDq7@jt)Nl^V#2bPeN7x2thIDy1I0t4@7#<LjW2jQttvvsg
z2&+O!E$oOIQlLvoXI<b$w~{VilbeW~JQxRNGAF(zzL-O8+~s7)gF2@KN1r*Q<A?|x
zBAa#2WEFHXSU6aO92FS6_`%%~g@V-L;>_I4;#_!dAJnTN-1Y?7E(kHAG%q=|s3b8n
zucQ)g2&h9x*pMtPWez4Gd6dA!Y`{wKdgSM%#6uhAF31gY@bJX*-z$ljHZX#XhlBNi
zI_O~c;cbW5D=>KR7Nr)JW)`KUD3oO8rouf4>g|FJU|hh+xPp-ZJUoCg1#YLn;Kh?z
zRFqkkn1iZceCoV?g!Pl-Xe@UZfV#^BE!)AydV!7M0~-U8qYmb=`p!g*I+)X7)M0ia
zeAMA>#D1)!4%L~UQ3ut3pizgt7J~3mhw4l}vPT^pR2psPGUeeNb+~wNbkrd^8`mhu
z=%@qoB%(QeM;%Z%L1Ed|07|>CVgmOPVPdK$P^KrVdKw*ds7OUQL;}=nN1Glcw)01w
z8Pd^FhveM&#FVml@P%8>KHmPJAqG-%;KdA}yUbFJz_QLh-kyGj@lbgMMlUX3UuR!e
zqj*Qu#UP`j4n!^np~I-diCxqgbpW-5$SUndM;$PRDY2AyM0KR8Q`n7;I#92q8y$5Z
zX3ZNNMjaY%#!_k2f$V~g@Tdc*S4Ft(Nw-l4^VmT->HzBP!a|9hQHQ(y^XWJ00O~Fi
zbS$Z(4xp7tM2tF^&|uWz+`Bf|T89%s*w;GHV$`9+p_|~S!@O_5M%Oxwjye#v*1?3n
zqYfs7);fUFF07cKY}5gi>B(w`kB&NwjylX>#JhZbbgcuiYez=cI^f@eLak8;P)mrc
z(r$FrVRY01e_=Pe)&Y7(I{sC1qiY>X6g1NEZ4I&Qw4>gr1K9=LkQ;R{Avo$#C9;nG
zYaKwnU04g9oKc5WO|$7Y>Hz946Lc(m)L|QBhlMT&qmU-bG88N)jDR;$fD)q=0p*Fw
zC8dcuFy&O=b8+r4d>mr?uOnDjKS1_e$S0&yanD7Q!*biXOiexb_FT;I8cgF5!F1kp
z5k$bg5wiN>9B9u)ITwLUQj!Qd2?~};5W6pmk#=7MBkipwcK1atmpTWtkTRjn9F00I
zf#o()P+Eo+B)G>V2;OgiRv189>_JE#122ci*Y{k+_GNT0#^|aD{7v@J@rlv#2}TCU
zZd4)YUJR5n5EMK_Em9_KF9yMK54C&;P291#xgZzlM3j4PM|Wjp5+0cyT_2%@I-mnu
zmIYpv1zWP^=?6Ny1blQbQGqqOD+6T>BB<CHsN*u|us(vgT^R%mJk*9Bw7?^=p|^nG
z?hH`>i*TzHWIM&XGm5yhIhcghQECyCZZV3F;p-)eKn-~4E)9tH!8<OrV*2Snaslce
z!$OOkk&EeCbR4+=b+8FKb_W{^WS7P+$jAlw(8C;1U&=qJxFj(-CsiLQjw<4js$m1r
zh7CgMk>b>V25TtRvv<SRQ0&Azb^+PBv3e_Y&WrGm*=0MIsR8fUh2UCNyODcd#OT-s
z0|Ub%wyU7Aiwek5qD1E^#NY*`M@JNK>2NR$X%K5O@-BWX=h}l(G^{|uJ%W);(6?x1
z0$D>CA4i8UMu#v)hcKvf|Mm!2ViC(F%E2roh*}al`*;)FV|~k3l8DvLgbO{ih7+XF
zBmE2=W}(qjC7g4z^}${7<eco${T(+L84#C{jjps9U1`C{AVhX)N8H#2;nEJZi3b`!
zU~zMS^xl!So8q6PT1as40@MK`+#m(nPVwMHF_#Vp6W*3IikAoF=mn^U3=1xDMlY5o
zPov+u3s4uEpksHiF+oNzNM3gVI&hnWbr(i77`t$&od6rV0F5i*KI@XY>n?oM&JkR9
zVLC%#5RF}ouDi&F)N1jD^gQd5<aHND$U8ykweG?QWj}}+<`Mm%v<xdqaF1G$G8_*o
z3?S`y5^J>4br*zZACZ~|c+XOz&Iaw#(<nwyqhNqsd_Zi%qyHJ0C^KdQxb6bfW`dM^
zqw6jptu?~+%IImBqo-kl?nS50K<(%b5j;CYh#R{gv7rYVJRp7OV#uz$FhcAY8BXgi
zR0=23Z{z~h!G=`~IEPtwu(6Rjasgg;L0~aF%2*WjZ|PXhK4~CEE}r>cAvkiuxK?-&
zja(2~1wrDS83b?XAY|X@j*;T{{G_bZ<dXPGw53dlMHk?L4|-n*atEK<J4OspMlLKc
zM=n5V8CH-`XXFC31PjuFNA?&IE2l?CE=ET#Mo+X5qE0J*1T420WIIMcZ6>nHz0n;b
zs9PaMS6raqN<X^d0x~y+yml1J4N#*a7jzxDAiKaDvLhD;!*k?f-?M)Dja+~_*su-<
zo{<X<$jAke_j(wDwuunFP1KMED=c>T&xVatEcV%p=VS}&-X?l~`z>2Sw~4CRstuxX
ziqYFd2V{i>k++E&BCo5UbV~@~+e8gf#wD=a>j6r;uwnxDxCAlxdVn%Lq+L$>O6bws
zL<y{eCU!!5bR`983jpY{n|t(LkHK@V2XQA@L=e0c8q^XZtF#+EVRLj80{>pO(NT!e
zQ3yr`$`0xwZv6z2%{u712@;!igiqKUWVeYLB1Rp+4Rh!@6%cQO&#5?~uz~*PRDgQB
zuogPbj=T`=+eBB-Yop(&1E{-9(6R6nHi;Z{FePr(!ITE04(t)|QHO2kv5z{?;$9Dt
zo1jq#(7iWHnLyXkt_71@!Q@^hza#;>qf7^VM@Jn-ZxaQbNs&viwQ5S=Q3q2(qYj|7
z3o9ll8+8C>da~N#qxX7@u7Vg{1u?n`qL*<9-6jfZ36WLWjgC5ujyjBvI&d%xDPW|7
zc<>RHpsiTtnN{%yqiY{Hn1w{i+ondndp*c5=m?KGfO=Jg+n%5?4~h?($l_AwU=or?
z3Cy(Q{L;LV_|%m2RPX`!rh{|T0o2=tg%UZV4uz8K^c!^mb(aY`7C!1g`stY9i#<p<
z9TRlfpcaD_gA;=nLli@nGpKMuEoI^j6^b&8QymQS3=9nNiWPJfFx8-_C=KYJ3|s$j
zPx~y^^$!d4{q5H>o;g%%vz0NsL1_P8M$p*7QO1S&{`=2@*;g66j~%zY%Xs+01ly-z
zk+)#-E2FfbmEB)3yA?dj&<iG~GG)Gehi9M0-1q8sN0~U{{*B-pMo0H)ka;>Lk(Z5v
z(ikjr;~py@>2yrg`#rF%iU6f(!gi8$bP}jcfHd7n+^#WtI_Bs=#ppoA=s*Rr0~KVS
z7bjILPVLh%K@BHJp$C~oLt4g!|Gc<YqqlpE-tK`fi3p9Zk{DejLCNWuQI}(=QraPH
z&qtJYBsTFD5<DG~j#qn-bULO9V)O#M)f&2H0^)!0nu$Lv3+caR0@Oo>wcp7Zy=bB5
z855u`HbKY2M=xG!Ffed13Mq3i3dxtHCwk_Uq!y(mCa3Cy$CXlx;?uyxN}%(d2%U+E
zbd0qH^4bYq4QI!ophy#2O9h|M5JOud5M>0ROdyo0tszLz%+^RV%LQ}j0yey1VnFox
z#?tlz$65vk1*cZVbu}_hkTaR4f=49gg2|<f1<SYEuVw7rC%<<qqo!mKe0-x|`Bw1w
zhJq96;~T+l#~tS~owww-$F|bq|CK!sN14=Qg&gtT{=v||%-F%qIDwgQ1~cOVW`-5a
z3>%mkcQ7*^U}iYM%y5C3@dh))17?O7%nTox8GbM`Hn1>surN$uVVJ?fuz-be1q<T_
z7KR-x3<p>kPO;=_6lE4?=A|ou#t3ywiZavFQ;Skl6p~YOaunQL=)J!qm<BhO2Iu6L
zKVlKVmT+FN2w@6;!mgII6Ew9IoCAV1XRtCZU}adrDzt-@;VLU=fb0e<!wXi1uVD6H
zFxkom67L0*Q^DjSw&zA1j6#H0TA-Yp30_79J$(Y!08VRQWb9?UR`JpfmgjK~YC!jQ
z;LYa9^A%V|GK9A_5K+y=a_Mj|3TdEN<mL<-s7@`4H#ASmEQwD}EGnvu&x|+L_XN$6
zfG<p|Vg&gHeB=b)^Bv2$v^f}s)QL0RUy3iFD8C>+r?kW{-qRr7UxCq!1yl}2Fk~=5
zIuN~#+duy$qE;#6GT>kq(m@%2N4fzCc5H|l)N=;WsM}?*Y<&f#3&Qah&85Y`D5QpB
zFSg*+4~EzX4a}kjMn=Mc*}w=1O~R%-`$rqcN{N9(6C8ae@gNK1!Qu*xUTlzJ9ywe$
z6mbxdT=KazIhci13A!LYkKlY7aRC}bq?hC44fLHo6-Yb<vL4HQ#Mq(>WV(N}QLL0O
za*#TE#)Aa0hiBHF5+d?>2A2{CvydE~@QgM`SyF}NLZ3TRYKVxrG%iyPMj=BKoABg_
zc!T)NcteyNPh29c=Q8176fz*u9;B>qgq-z_kdx>dnOQ^xX$_Yd2eXh7X%3)TdQIUn
z=3o@kC*F4b;Amq|43kt6bTX0}%KG4}k6t7g$Kopzgqsf#5#AMCMjXsSdc^yfYGIwk
zWyrxOq)W8ThGdiwt&Ai_sG+`pv>~>F!Y~%Spa4~&y^M|tONj{OJT46mW+4@VevP&S
zB_^S~RLDUESlR_ge-#r^T!eC|a4-rfpxBog53Mfa4MBwiIqiWw$bwb;#v&C4xZ437
zYvvFUYzbUa9Lz#uDE1YA%C<bTfFirb597kz6^|NFmiocb;8Vg#%Howom<y_Xq(qUc
zB#=#5D<w!;GvweQBJQzO@>r@0%V<N?Ruh)GX}wVp5f&0zXc|G2UuL|avA(A<qOu2h
zj&Sp-mJ8I7Cs<IS*n*T4P2xR`;Z0H#<a%lUd}8XQDlQWaX2KOCvi0aCC6)kw@%9=K
z*?{x_HXx(wCp~}-^pOJC47uVrLk?i#+Wgfd2e1J&fQ4bjrLhU-`F&TTx`;@^$)qMO
zOOzB$T-&OKxC#%Ege=j*7m<L;2;WLBV-9A*O&cWJp}`BUjj$B5U9HAMgl;020S6=D
z?i|!=vdY^QM$+s6_pq>)y~a4|d5?!yMEI1*dfq4+btMCqlHpO!Y$EI<GTj=&i(V6b
zPx!Uz#Et?GnQoCSK`IxF;yn%F1usg6fVegQk?9u6b|TU(alKa}(=F6${orWuJt!o#
z6WSPwD->XMVC@GO;q3>+^dBOkjw$8R=U^7nMr~^4W1Q3qbqCdgn8;25%v!RB3EGM5
zZXsI&E+0gY+h)$5_&Qsg=e{RmT#Lxg7Q!J!g!8q9!Zw6Qwnz<UaynZihqEE5TWc6A
zg(aLZyIY_-wwH1C5d|U=a}Jj(2eXhO!Njb<;013y7-Fg3)(b8qq7aUUT((TewrGpk
z_{@0J0vO~e!cE@_EaO~QTJ$grkP2T@<igh!xl#7w_evrHlE_j4YBPFj#Zs+_cIZ(%
zL{U2vGzd{+<YpM|egtvNT#`c67(GO>q*3CkGJ-cQpv@^l7XOfV#mwl|%)z$eap&s2
zL}a&2E@cj8A$iOlHlT}rL6dsKY_PGsHkSyi!nxEq7=@Hjt;)?sy8z4652=w#T#ly8
zfl%8V9vvWD*HY(zY9G-%M1*GmmplifkThy|Cg)^>cP%89rs<PBsX~>jwU*63LPT>2
zdO$VG^dcy^fgDfpPH^JFhwy<=pamC@(hfG$3r}$P*0C*OqwH8}1t-D@$&QPigF%Qn
zIVT%2SO#(&WQ_%3{o!1apgmz2i6cHU50t;SVB;nRnxK|J10w^m1`o~)wd59WAtJ|6
zrLa@pIfV$ThVW9yNinbJR?@MbyGTS}`Vw8zH83&|Zc|gGm@|#rMSL-b(mx=&m|OGf
z3=x4tV)G8PNs`6Qg~a9^;nj|y<yeG^C6Mj-w`lGqY)CPeHV2cCI!d5o=@Wt1)LFzz
z@dc-rlorINrGT%*g|2pl_#a&E9Xz#=h?q2jmBU~?@Rm2;H8l1L3|_oNsfDGPptWEn
znYpR(!WOh%4(3yGRyzu%B_AZLpBzV<D=>Jm7Uv`;XTu!}T5w0uvK?$nkaMYLsMBJ#
zqiL*u5PW|ozSWM#kY$c05Q>Vc9a*=fz*ak&3QN&#wWDFo2|TME4esu6ILegg&M|^l
zJJMn|=O9?^C`jUJ$El#1meJLYqpKYmU}ZJ#RjQ+_9ih`=qpKZ9S39N_`GfBy)W_0@
zgsn3Mtvrf1L>>(uUF}G?r!~6T5p?%7krQz6q(;sJ+~{h@Ja{%nUBm}kaYpj)htbuJ
zkX;*uv-s$0M~sCM(8Wy9mA0d+9YKT3qpKYm8D20lfU1Mh)sE1spAq?FbhRUNmmJ~p
zc67BPyq?F>T!$^6LSJk{+&c5o)sA_HRut~g9bN5MlA1#5yeW~L09XPZUG1n)lwXPx
z&Ld^DBdDyR_iD$xFBOQGY9wj3BkC4Zkf#V&ZX~UCL>Y}SM&5#A44S!ubYOZJX|USS
z5PfZ)CFbT6r8FZVLX?!rThI&`WVH(9s1}F^!GVf@waVz)ywSCJ!}>JB(Y1L*Ue-9e
zHV?d<6?)VW_^=*uI}TEmf|kIIuFYe303WHK&ds!=Yx5FQ%HlKgic9pJeZ2icLky(k
zz`Kh;YoAk%;z6>`KHi>whVf8&1x7C}UtecmSEG1GmoVhU%;?%Ye<@yY;3bu&rN?_h
zb{9H@y165V(vV!6hqyk0#OB?QU7Kf$v^EcX5@8VN*eEv_i2uRs?Cz?t?I*mNft+P-
zc-H2DR(HWHC1-73@sx1-t<3{14<qPU8n4YWi`5Sv*tL0gP9+b-+PtF|39rp_jbR_b
zYx9QbNra<o^N^Z}qbCs-6;;NMo<ztvdJ-XYA=&6jgrFP&T{DM#_9$oz8+gPL@4kuA
zlL$voA|$XFlUnO`iJX=jU7Lq86*qbkA*gH{J&6$a27}R)2thR<_`E};Gg)w-AToLq
zA!Kpf=t+bm9CJB(5+QhyaP%ZXh8v7X{qfP02tk(~n4oRe89j+`1tY@_Mur273>O$t
z6U^vIghU-jKDss!ax^)XlgLKb=3(Y4YMn$lx;Brv^GP8m5fZaDuX(dFmDlEhX22k8
z^B@O{Ko*pMN4iGW=3%XK0=X187=>go4yXd1JC_NX+$a6`GU_Z>89g@-?L<DxR;-M!
z%^O{t2i^HLdTt(Z<sDInm{F&&8(o_RT75UVHjk(zH*(L-GmF&+om@A9&doDJTAK$x
zH!m2nHV@Lg1rNIo$+dZ&E5qowHV?EsY@pZXnGg86d82Fd2I(!qqvz%^Ffc4)8$CA<
zGF3^=t$?Gq1efSzTkJJ@OECEUcUa|zd!4}OEy1I=1VaW(dl^S>35E`o2#ubb2RbNe
zbZs8S(PCz3m*0-w5<GfKFhd3d<T}S*#?f1X314T9ICadB$lJX}*XE6`%_H)*RYc+%
zU7H6UBph9vhq}~ibZy?~+Pu+Qg26=t{0<#_D`bR->;#Oi%^O{t*TBd)dTt)R(@~)-
z<d7Fe54v;nsJ}K3Gy?`%n+G{q1Tx$-x;78@pzP?{yk5r9J8fZuk)wCoGLD{`H+pWK
z7r5C@)IzDzwRt227F}-d9z8d2*q)nb4qux`V)Jguo||Wmv^H-zotrn}*5+A^o}0In
z2{a6}7EEphlY5yUciN7go0pTHoSmAYkW{GvyD~f;#DF!$6sn6di&Gs8^b8CP@`@GG
z5_57Kj9|Qi)S}G%6k8K0zgF`W3(M%8wiPe!2ro%W=ThWg7LqMXPxQ<yNi9lCOitBL
z&MyVA<I}*GiW|i$Fn9^&r9y_>u*|^=Z*3rAgW>48d7y=dqvz(0o|^}|fEC+P%J_H#
zeg9~qSSexfxzEXoMMae+@y?#{AVCF2FE(e#prA+-<ny1iM(?!6Hw+9v%*+6EMIrKN
z@aUbk;9+6BhZByj%^O{tSAz9)!qK&PD8~>baE-3b8(o`6?$WW*wRzz2W~9kVytUHk
zxp|}K=B1V(Ek8uRq7KWUO`~h`M%U(5LhoXRt)Bv2oQrf|sZqS==(%~L=jP!!H*j=q
z9_~w$<Kqp8D1t}N%^N*8uOzW3J+)+HuFV6@fI-&gK@Ju{YVP7&6}*UT^iJE+J8ehr
zv=tg%n>V^PFCI1_44Muez0-CEBi@0M(Y1M_Yx5Wh)EvHC@*Ipp(imsc=9iWj#wV4g
zA&#acYB}i0JvYw+zBZ4<=G~B8n`eQvHgELYJO&2vavQt_vbh3-7i)1&VsbWU3crDo
zp_g$moSSDb;OFMCZcBmPX<Jhw<pjE&o`GR1_*|vAU~(yA!Sb#4YZ-g@$?x6Ds3{q=
ze=k`4C`f$&Sw;p11*fZw-N%mG-eo*|VS?>bu<Tnf`IS-H(8}&FnB59qch?Ihr!pOo
zJLx!=>AWSsJ?^!6dF~t|_)go=bMr>e&C4u_2d_km&x{{EHxFFM;~TFLissVdU=&g-
zD$UD-uS~+W*lYCMJaCCjI6z0w%^N*84>DLfdT!nWM%Y%>(Y1M_Yx73e<`J>SVRUUC
zbisHU_|TBibMr>e&4cYhz%r$eSXO0dpilaB_M>a_M%U(zuFW%!Mc=FgDSJI0S`o2V
zZuH!|(R1@iS`a;Yr|sySwiw4}!&bSDuFXT*GYKh%XCF}@qTeujr)^PwL3~bW$)Gzo
zPbtlah-x&6OP7ODNE2lo7E6N{yvrRl0|r@}N8-UMqigd<*X9veVG+)y#=$70gu22a
zHy7>ZSx-Mh1qR4+706g8;oZ4(IZbNxPTSEtZJ|TXqj%bl-f2r{Iavs)g&k3=%IH$k
zjh>q~Y|qUzfUnIXv3WOS*X9`@t<A%6Zr<aixAzgAd^du%O2DhtKnIwC_26CRX0O2D
z#aonGSejXsnxasWnVSk<?gm=j1vY?j0V5;M&4zXg3|>5mMMarqi8-kHi>HLs?@n9L
z@-Tvq-NB}GfsNq<8^a8B1_lmBA!QCmA$hz9YUw*m5#KX09Po4VM%U&I(mQQ~T@{i?
z&&?aX(-w3}*y!3k2IvCp(Y1L(swiV#*w!8rv0WQp)#DA&(Y1M_Yx5xERikV3M%U({
zo-sq@ks0tK8V&WGJz>2KNSY!%2HMKVWz4}Sq>obKVHxE|vcx~y5ZeKphB)Tz9TS!k
zF<C_9oc-vXwx~&DbZy?~+C1p!vJmM@$41Z1BWalk=>cqjd|<9wyr)6DzXGEdi%)2X
z8E8ZYQb_kQZvXt(7B)_T`=+gGE)x!BAp=4sHSz*PXfst9cKo=piH<^1erZW+iYCZ@
z@Q~Eis4gNVgGcYQg`Iwe2wzKR@WM}bA3ZmZk<k1Gk@dV$v<b?&`9_%O_EF7j>ZM!Q
zVjs|vcAiKR$)NQvgd0&cTqLcZ0$DP8ZXRP%10y5hupM2SM^f5~Hz1=E(9XzZ!of(m
zYJuevkR|@n#<5Z&Xvge3gGAAn?t<dEmvQsl_e9ij6<kIf%!KzBARIzO5j=Ws-srh`
zL+9K)>aWcM&45AH=8<@?%IMm>(Y1M_Yx73W&FdXKH*fUZJm}h5qL!PDo|^|*h)h&q
zjjqkhan8xs&&(?>(NE6F9z8dYkwJ)*trv#ywRt2q?}qH!JVT_lc{t9^8(o`+a=j9k
zbMpqn+B~DtwRxlG=B1{jr|OmzWu~X6f>ygGr{?4+xVen3%^N*8Z}i-}3<mHN9dS#^
zM$gTI9<!JiZ-9K~GL~66;sSJZZQkhGJlGWd8kt!{tYWI+GUH$tG9olZ4_{0LzEqcp
zDGcJ$YYK@=xeWA!qm4n+tR$_17(F*{^xVABbMuHO3`W=HjjqibU7IIFWUYi)RyBHV
z-ssxA3yi4ioGZDEIhYBrQb#1B(Y1M?L{!BHTEN!JIC^d#p(Z(OMbYTmJn%L2pfy{g
zYx4*#W+ifi4lHefmd%m8L1%Pr9%wp@v^9|AY=j~?oDKDz!8@U_tbxR}6>9X{JpZJu
z)MTu^$DweiE%n#tfo8x)*XEHj${on1z`;n|IZ}|ZJHpi%b(X8_T)mfw{Ro*{${fr>
z@~DT|CFf+vXXY8kgJ|#todkxjEw9Zb!m818^N0;kAJIERgl7QJH$4)Y@ThXx<FeUD
zh*(&k$R)|aEF_A0u1-#NJZQO%0)v+bY=@YkkvZm88{)zzf{U1=dmyEqvn!-~0&i#H
zJ0xNB+&tpSJEE4GQKztzTfBvc97C1DPI>1PBCHxcHxIHzl|aqGe(oX>fjN4oEhFx2
zxTLJjGa7Mg^NdE<=7DN9)MXoZ5BnRWYx9gp*XE6`%^O{t$MBaGwD_Wx4Mg^W$*Ev+
z(dfB(qvz%^KrY%Qe0Im^+Pu-Vd7!>-FJso85+c@)WN;~QFbm0{%vzzILl`}}HV-n)
zGJ0;_=(%~Qiv@_BmV>XtBxky4^xQne<?Na9(84|5Fg`Qhkeoa2^B`k~DCHf7u}Ej;
z7$TpgWeDnV!3qP8HFJn4Uq{#GjjqibU7I($HZQp(9`jr`Lj&lN{Lypsh&h*VbZuTy
zI{eCBeb5P5QliM`Vg*MV#Kwb!(GSKNU7I(0ZXTi)h2_v?=)y7m;AkU~x9N<Yn@8H3
zvC(t$&<}<kJvVRk+`N%|ZXRd`46<5<#Di5v&&?Y>H*fUZywP*>M$gU5hAc{oH&iIf
zEKXGbO(%m7o`((AF)%1N5uQA=<6`Gv5MoZw$ws~1gxJZqaLC$6tZQx+7`(V(Yab23
zZbMzVfp1-+kRS1Dkw@3&k#K=GQGqpjZr<p*dFb0jNm-j`JmS{o8IP{b1I-kn7VtxH
zZJx>K+Pu-Vd7#GB=(%|e3=E@d^O95bQ5Oe6=i@Wu$(f5U<I?6}6jDdoDumN`qUPj@
zyWgse%YcJfNC#yN0G2^<*s=|SXhYDTIKIo5Kx;M$cP~cQ=0TIo=(%~LYx7czaBtCu
z<-B<Cv31B-+ZiD*$1wsmvS2;@(R1@)%S=Yk%^N*859`SXqj%b(F5eton>V^P4_0WB
ze&y=uowg*M=1O`1kKSoZQUDWqHz?A{b;u1Xvv^NqO709EU7I($HV<^9-RPaRL~qqg
z<TBu3Bs>f;x;D?2?1S}*+@J#u;`q^X^Dr)LA6=U_x;AelugwF^fQ_!r8$CB~^xV97
zN0%_<0oQE~j}8!?^T^^-;b0b0z+4gqIuDNMa|nq$7jE?2JVv~$$VSi26B5Nth?#kY
zqigff)=`smNa*Ofc?_Tl2kMk`qvz(8C}^bR+hRQ)bj`0bL>wwe%Gx}W5w|waWOQvF
zs1-xcwRx`@7#O(NH1hKEQZ<EyKxYM`Hh!cS6hR9}Kv<LE^Vh%s{~J3PLKw~tmLOpe
zb_5Y1><J=3I2A->FcyL+5UvFg4UBRfjB*ng8RjyAxC<B=SArQJ&Q>sM2cz5pM!6H<
zi%%YcML=>d7(u1jPdLAk2_y=_9ZU=pnEt~6;|wJB0;ZG|NZb!hTtAq&qBt2CI2eWW
zI2eVrlJj$NGK(|w^ITF(Qj<$E^YirKLh;FYCGkb6>CuLu(<`O8IT(c4b5c`4TrCDG
z1}6qDhA0jVf2UA4TSLv#;&b=xYZ(|C{<ngi2w9Rp70ibCbSap<7EJC1lV`!?RmPw!
zZ@asUXV3W9J_U=s1(RPHr46m@{xVwcx@y}B_G~YhoXW(&&`>`Y%*M9(uk_`5`=d<X
zC-1g93s!R#Ox^{PPr>91CdMC3j19~T9n1_9m>Fj<GcI6eSi#J&fthg!Gvfhfh7-&T
z7nm7uFf%-0W_ZEO@PV1(2Qy;>3quDB!vq$F87vG7SQu8YFl=C9*ulbZfQ8`{ORh#y
zW^ra-I(TOT=3+c&SB2!9{N(J^6osTp1<Vwtpim9k7vW%_XJBBESFDhhn3LmR1mhK?
z7G>tA*qT83wVIb$cs24%OA1O$6bkZ-N;Ge=u(%}_mpo#DCgN8tSW<BptE`4bNU&F&
zk%G2@i&vbHW}KnEk*0#a0!Sdhbp|Wr0#=3<tc*KY8LooQy1T*3@Pd`$E0~S@^t&z=
z4o0C0F4B@ypcF57XM9>pa%#MDe4qlO7mJ$<@?p@ujDAT1L>%A}#wEbPEX0$Oni3C6
zilEeqeDtj`<{{Rgq(Qi2<iRD*!6+n*Y;HXGYI>3{?nuOCl0P&M@{>x_;yod=J0L%R
z%0Jk$8ST5oobc|+#m&JC&z%%Cmu<L^Gdk)%8}JGG__{EQ*uHUcFbPE}FnFnGfKxoS
z#12a8;EV#^W&`sns3;>GErWIo(4f7JplV({5!r|;Cv-ned`N^<L;E^{-&PWI8&<iP
z|DTBP9Hhf4U;bXPA)KF+xTH9kg~Z^E4n#Y|*~gpUeFV2`C5h;8B$HS<IYS1Nk!vG@
zCEpG<<_l~LAJ`a3Z(SM2>N_K~t_)+bw61yz9}JGx)zf*K?2j@n^x8h!x+*O}x~OIF
zw5|+e^@(X+&3&&<MA?GTx<XxQkEL}5N*aW-Jx1%wFqT@aE0{^dw5~obAhmS`_CNU>
zg^^oVV588N5RJC37#R*=PU()eu6h|qTUW5fpwt=49c^6^xb5lf0jjsIKy4lRwysQ3
zTUVyoT35>-4wBZD?R!w`YSqcn))ndq?BHo#nbNd%Ws0(q5lia|lr+d_U71p?bp<nt
znAVl&M^al?VE<F6bp<wRv~|V6z(6>?jJB>uTUUs?14dg{j6-zn3e?u2Z|e#)KaVta
zWrD4BwQ$0t!7+A~zIluNQKm;FqphpK+PX3!u630!#7xfEl?h7g$^>&}5R^0s*OnM#
zS0+?zUBOHurgbG1xP*wYZ{(Rlu>Yykx&j+D+PWHTUFASec0`_Y$Fd!0bnI$$?1}+4
z(?3L8SD>~IS*<G;$jo3MWZji62cwWCmibP&5MtdGXy}TIgF%Q5yzB~F+v=kEyTQ@6
zIu-(ITN(8{ADtJZ%e-I<Xw_9Y7fFeUm}x=c7F~sM@pCW>ap%AnU7@tBu#8)Q5(eRx
zl{=Rh2cwV>lCfk?3MSw%h?sU&jmIJ)+EpH0TpY}>j7iagD{C&KEKc%ztFNQ$tx{2r
zzs<}ujL%FeO-t7&d7)kw!Bd=J=?7#1Y{j0ZQ>YvAioMbGR>`^Xi791}tn2LK?H?Lq
zASDN0?wOmLoSSL{mUZ^=_VhE1hsrB3dU5&sI{UgBp>JdRJ-Xg1O&^x-K<OHm?wms1
z+>z5AJz7=7%?Ls_c)%Mph&5esA(S=_S&b^_ax0P>RiH64DR_yCbviJG<Ne@hRGkX|
zO$P?w`!w398k~(PB4-21YgB<}u;8bfVrf)?5(epwD%cDj$<-rzqY7patZ>4&jJ?HW
z0cnjYu;<Ait3qm2flVT9sA{xPg|^>xv{8kA!NBMucF-=S^31Au0|G;Tqsy!aEVD|k
zCuW%yDUB*n8;6ocRS9II%8G+g$PC_O!`F(ljE&F9F97WpEXc`BPSkfsw6H9(4O?CL
z^Ko#rux=d(4O>0D{AIL-MVA&<FKF1Rg^`Q=q(!|!tN`c`4k+Oo5h%n!DTMT<mL<6p
zgXm2ym_fuewI=Q+as0{>G<ZjqrWV*F(wbULT%-F1N5`-5?RpRzZEB4+wHRU3r<Cm%
z)cGb(L^m{_g6fI9y@QNo&JdcT%n+JmYijL2^>uJGwPe?Awm-^bYsq3idM*P!b`Fv}
zLugLi;w$n7v&=z_419xGpoBqs3(K5ZEi9Np#I&$3FI;F(*r`Y}gkaB8rG*7HiL@5h
z=wR09VAim{gnD#_uypXu5K@0I3)IG;WH5{58A1b;MwJ1!Mio!n_rcMqa*PMf5Ki^w
z8f{e3W&DcdMwJ0A8&w7<jVdF|1?`}OLAa%YzU<0?T8%20LBuqwegu&?LkRXfRT@=b
zlSUg=ql?)`7qi3HXpc6k22rDm`pd3BZ5*;1RZL`#RDl-HBPIp0?h_Pq`7t;eRg;Z(
z*dJwLR%94$R1MBX6;-zhqOWBKZ*7B*RAJdA2uc{FH>zM;`zT&^1v7}4MwQ#e<)n>N
zfjv)^Mitnk(MHv1qiVEKHQK03&dCOEIZqlyjVkJoRDs$!WHqXw8w9Nd!3VR0_jDDN
z=H+3(f;}<0q%<)Haxgn+q>7J&L5Qm?J<%zrG&R~7F<Paj;p`X`6lr2>q~H@80;NoC
z4M99JTSEoVNz<T%+s)V`9YF`T*AMi;?K;c0Ivizk$oS)ccY=_Co(H!F(fr`{;GFz&
z>Kxq8PU6AsXN5T!g`isqiA`>Z)mKGG=e7sAB5y>wR`HUE(;3RS3^<ta-L8q0&Mji&
z4WhxvWeVq|Lhm2JvdKJ7v4e=#P7Iee2cwWWijR}?bMlMgAwtIS$%#cpmGPPJmioxI
zr4}_XGJ=l*W>~<;u!50c2iAisOS!Z-7=_dzM)@aYr6!kvZdAZ(y1x`3cvn<TX^Ba^
zry=A3cu-zN4$bYK|Jo96Z5DCqa4-vL5Myn89_o@^EG=AHmI$f_q@lj236`CWR0>E#
zw0n|G-~nky%YZaQ4@fL2(rTY35dj%ZT$<5$@r*VGjrgLss_}+n10#{9JNri)$4ZHV
zQzSSCn8brEj0a07FnX~;>J#KJ-640Bh^(H+rNO~0q(U%pq9sf$;Yr*b0Yru;dDqD{
zFhVw66Z8$}vReOW!&oU1<REqS1cj$zEcWo6eMEtX@J!*7<6st&!tDa&#jNI-vjXmN
z^+cq~SS}q7MzkUY+RT95v|$XHCWr@LD{V|_{w~8(4C6E&wWLH`gl>YI7`L3*MttCv
zr6+pkm82G>B_^lpm!&7V7p11=MH|MVmW`&EfhRFjmiWL!w*}NjLk~Q13P)(*5obJ7
z;F-pIB1%V7<iO*)zL<!>i{ujKU>4#>iznpdh9%QRE9N>7uED&yq&OIb#L$d}Y$7Ik
z%p?VmVZ@A^ys|7H!pS~dd>qWkZEuRs0kG#nYsq8DQTW!$E@JyKdKSPSI}2dv>b*o1
z7F4-=#qt_4hpi6nwbY|m+7dZ_vTXJdB03F;T#_8jLZYbch@9+r&`=G*t5=A-@)x>m
z4aJIr{Nnh0aL*{dG9Iayhd3J?xjzZ+a^WlYcCc|n=A>-M8b0CYU=ZR)Y5>45Uy(-}
zKQTrhI61pLVsH$coKRoyaFpqlGNa?@zzI1kt4SR=xiUI%Qbb^AV|3sI&lnN$BT(e@
z4Tv9s8XY*nH<C9xaDsF25;U|ya-VE;;G{Gaz3*?L?>S-yP8wYOiRcT(K}OfmM>fF2
zGmy}O-tQHk8E;6&_<T8JREtRSk%mrikI+}XTt>vuNfeg|2eXg>tfdL_3xTnd%+eg9
z1|p<67=^^q%_ej3B$W$~X~c}4T)ve=grj{SXGp>v4Vm8|f9Z(>7kW#6bo>Nz2{+-f
zw?TXV$LRP8RnJl!9X}ZzKf$<L5k7w6Ooj0iBhuHO_(lwtVU#VP^(R+;{~BF?Le{e1
z(P5O)^(V-y)^IGUL0>j8y8eWb0de^j@e6rI*Prw<j;=oe&!b>nVFntcA%6WyS_30v
zFXOOSe**Ffc-9E-x+v%p3Y3{CY>N-T%Ue*#0Wj8|fNbn#q|f>joB4878AdUJuii1#
z_XHh(2VGSL@(bb6BRq_PY(7%(nZ|n>!Pn=ZtU!^CT0-tHiV<j49DK_TmL({^*|LZj
zk?_Gb@B#G)>9+}B9Y%qfM$9nEJ~v_(p`Z_=fWv}%!zf_mph*M10v6wN?C3I-g3Qrn
zD8u@|nbBdC(P0$a!zfhP#bZeND9Z11p@U;FN?;slG0IZ=(Oo>CWmNI-qdSSc!k*Mo
zl+j&0@NIH91{}zkB_w_gg(dRl6Wnuzqq}$zyBV=Z)97N9(Zwh?kVnEsckwWI!TYqM
zyLcE-5(jh(6xL-Nblk;*vK9qt5Cz^-CT0i<-4lpSLdeDwHHJdmQWR{vc)+`B;mHll
z5Q^FbVpgJH+r<O*1?eLw*mm*23?pU$<*9ozQKLR6yLi9>LH_s&+AbcjX`{m@L;Dnz
z(N!p;t56uc&~q`~qCJyKo`X?H8e=FB+$SXV6qFIUi^qhlRVXN1Cy^GR5ZuI*vNCXx
zjGI)gb2!RWapTMA>Jz%GJ{cW1867uC%eNg}eS+NQ9$kG>lwSZj|7Y~{6NUpAlN>^$
zn|Ma{CLZEepFod}!9B16T?PT!!$a;4DB_Gq8aFYC_rzGb0~)F6Wh8&x1iU^1-?)k6
zfmk92A+U{`Km&<7<0de}h#EJkPb9+0Xs4fm1A=PfCScRhdt9T-POu(1H@bVLmvQv;
z6W9fbSzIa{%t8tnBM;zHJrL8;1oj;gce+Oap-~Q!Hwll9o4C1<clyaE0r26mdK`>G
zS~-bD>8bun#U+W!IjQ<c=dDzrpSL1~x@i*6@v-1@W8ufg8o`f`{e9GTa15Z7Dy(ui
z%G8+sadZHME(0j7pi@vPxJXNI_|K08tv&8#B=-DRLk?ykUE-3uCHw$ccvH;+^JqUt
z|6N2Ztq$W-<zN(2M70>wXN-?Gh|i2S1syDjyssbREy7Ex^SM+w7=;uFn2Xe$HA8OB
zl5x~&4wo7Svyc*g3(<G$U^x--?($S>1e+l^*bK4k+QvK5PB7TO<{||frHgb31{=si
z^kBm>p-S9YrqIS6j!4oECgVidGA>09Mj=^TMuLxfl@dcfv=n3u=-5(Y9LJVE@IOn$
z(*0B}c@AbFX&m-aEyVn}<Tx0Gq_A6KsP9a2o1_F^cp2(D`$rpLJ>=C0M`&HG$fPv1
zKzqMHIR##tVM$+o+6+WwOK4XeHMGjo6N6GyAV*XnPI)yVV<8=Mmkn{oBV|%k<nyqh
z+eARcXfI=z;{qZ=566+iIhn<o`FZilc_onZ^G##pK?hUAgV7SRQurl8%wf6EV@uF?
z6CoX2f(S>{L!CgrB3wB@_uCL@K2kuMA)ok4MkRsu*b?w5)bK#VlJ+P#wgehZq>p-F
z-ERXkjhJB%o1f$yTLKOX^2a^Uk1YWkN7}&0$I)Y7M~{7F#5Xu5G<xhSd>#Jiz(*{X
zC<n8UAZnfL?Bh-F$k*g|w}@y_B$L=BbcS4+L*l3hC*;i6N92uq@NzH+aY9--_^!;s
zHRh2P=`}dUJRW<3#ynnTyc!+zpv#!YcOec&q0uo9$hhL@m<J<)ZLS1|ia<jJWb~>=
z$2>?KB^n*`AZ`6B^~O9v{o7u~;W6d`@(ba<IyJ{UAj=czJ?1eZypf289=2nwA#E!3
zkt5U*50IY-ha$Eun7B-*;&?{{mk<ZD5Fe^d1o{kXI*D20;l(A%!6+n(YBHHev?k*=
zi<prPaUWtf#&~n_axfz`lPS90#*PcM&5fl1$Jc{h#5Ow0F?y;9f#%leD97k12P149
z%y1gzSjG=t+o8<CC?t=v>M=RL6m*GQd>ME;!yI%SFmf?#fH+4)OapYWDD3uM6ZrBC
z?+YG-V<=<h!-Wn<nf~s3KZu4hoQKfuzicGl{(Dz|gHb4#i-<IZShJCgbmy-#^v+*U
zo4A)Td(RglX614rD-Q4^Vemo?bI@^ah?{<~?0wH}oJK?g6*{+xVjap6e+KX~@Jvy9
z;UGT|ZlOZk`NSEI)I`O-0AWMX3L-+Wm`j&~SxA#8dx@A;Kll7D5tfn=mPX`XYC(*j
zjF3-U!Mz5CxFy{rgryM?VL9!j5Ovd~p}wa9mZmb^6)_~FOY}om4B$sIk}*}B#-+f)
zEF^<34v{-jW|(vBJIXAG2tH`P6WtyxD;A8&2t8=OlPJ@XGPPN}Cq;pm#3jSQEF^(-
zf<M{-R3O6V+p&~~X>TVJA8_b)zyl6Z92)9-nv$CApaDme>8Jr`N=d52(qTspE-cFv
zns-JMvB3dLhaDP1q%Tgu(qV_0MNEg?qmc9tJ2(``UzmW}VFw#V+OmXKqstOTmnDoY
zOBmgc!AR0M7AO@jXgmXSWCp>d3D%Qt6Hx(15Yyd(u1A3NZ{VZV_=YEUun9ueBdjH}
zr;c>urz}!W9lqbf7~Wz3ebjAmbl6LcS2!GHYKnL|+F>Va?ZIe=o#6r_>ZxudbTUUf
z>;wkGNeIi)4m<V2a<s#ad1%CFhh3p4zqBMZMKgjSgQ0<up_ftbwLKB-6==ZW>{3Gy
zLxdco0NTia-b2GXPDH4~j%+$ohusu;M*(ze2B?wL%jmZ4vn}DvCb4wb!KWm`$2YKa
z*vnodkk?^{`hhAPc9>bjbl6{}5p#D3>I^$L6sXl<2OEZ-9Pl+^7qN|Y*hgpB2~>om
zGwh%qX?bQ<JZMxNUx_tx4p11{9rnE>bl6ePN<f-iC(vcDE*&IY_UnnDId+B4*P~r_
zx^&rJkIu12L#H`F=OT>GvEv^OAMLV_&ao3{fQ`<v`ztVdvG|0Bkg-64vN?9*y6l7x
z0zedq<ct*&J_rEWeAI3`Vu1omx1G4ViLf370G<}c*9_j`nM*{+9qYxLP=Ao#b;o)T
z0L(OEI`0Py$vFrB92Vqv-_Z{O02?>jfgim&A}2eZm=5RY35c+j-=j0`BWcF{Edv7s
z7n??2eqO4kkO*ja2DD%RanNPFp%jB6=&UUe)@1nn_3!`x#tw!MhO>huNEn12K?DeU
zf(Q^!1rZsHg&+!qYe7T<qg)50+yq93xr`w00!GG_U<Qb@70lYfD0hHS?gV&h^C4IS
zB=>@m;R7SXPdLAk2_y=_9ZU=pnEt~6;|wJB0;ZG|NZb!hTtAq&5;(z|0l??(CFkcr
zjx=&fElEu-$;{6KT?G#jLY#7B1lkwC&A}kVo|BpqZHRO|28V{fQ>dG*p=N3ExqJ4t
z3=9qbTft831(Q?3B*dpn!R)nQaxa)X3ns5JK9=&ZyUTd?jF0V8u*h35`IYf&fU(_Q
zM(bTyZCk;f?FExlnHU%v>gR&lOTpw?Fu4^>?qw={x!(RL)Az}{?aqQlu7b(CVDc%L
ze8I%{gNd<$nW2N3VFEMb3}(g!%nU1-88$F8?qFs-z|3%hnc)I6;|*qp2h0pFm>E7W
zGyGs?Y+zyNU}2cR!Z3q{VF3%n3KoV9EDSqX7!I&7oMOq>D9S9(%u82DO-WDHEh)-O
zPfsmMO;Jcr&B;-4b0M@3Al^_xp}HuuIMu;G&%nSSuUH{1F(=2t2*xW&Ey~PKu{DA6
zYc(&i@M`3jmK2nhC=}!um1y2#VR1_=E_uWPO~|iUu%={U?+|4qaW6m@3*uM<qLUQ<
zZ2^!OA-^O6BG#6NaS3oR3-RQ@jvO-tpZ*MQRvKe26b2;^!ZS@CT;d#zLc+-AlDXe9
z5tm8CG$*z1&Lv{5&y$OrgBhMfDcWmk!-bsLv8?08KM(W+v~V+8fx$~nBRMBOIa>i+
zp{f8%?%?bKp46;jghV)~KqDM6c3kWn3_{GwIoYU=gOoai^@npwaxe>tVxDoFnFl%*
zkNieB^t43`gER9C<1>>=)6z-rjbw2ta4-tV;4u=GhCmj?dqUPidpd=>fhLP#;aWAX
zo`{T;$)(J}EF_O%S3Jo5pjafPA^9}%ArV#$?U|t8RuTsYCo}3aBroRwCn7ut=~3EW
z{$8;m98gJIQXI@eVpysUXCjW3x@9X#MEM9kRtk$9a3Rzd59C-W5|?o@LzZ!p-o`RS
zZDS#==R~xzdI}#5jyBfQd7JEyGA;DlKHA1YI~G6QaPYLT3}f|)X=BZOuTDhyg3-nT
zFA%}k#sVb|!dV}qjb#YgQ%QP7iP6S_nFOmJ^OH)`VD%%Y+k#v_eq2Cm8w>1z@>>eX
zZ7i@+qz%=Lwy`i8DWEnAs0lRM#)1uGjkd9fZL^NHvAjg`QcDyx((-K$jj(JGeS3iF
zZ7fiWhrVqrQ`9z=DdKbsL>p`Q!$H!<vV9L~W34(l+Q!Nr#BD57nzpe_QTA<P8OH)8
z4>H<Vrc`TV!Av5ijpg}~)HW8_|I}$?fsGn%V}Xa(38$FRajag((QzzTJ92a!i*bmK
zV}V*c^lf9AptiA0u(h!kPIxpp#<9{jZ?Qkh^r&RCjWw9tSSG}^vGRqO$r;BoL1|-Q
zxy~JwJP6mA7~@zbRBL0wOd_U@B^9`Yh_P_wxk9l2snf;+8#UU-8f{}CR?i?G*o9?Z
z=4cyhw2j38o1-40Z7fiWhpaXh3uLY^9I_rumxEDA6FwD*cRd!UiN(diAjAe<j)kp-
zb<zCY;AmkT3jwvTjC!7r&J@yZ6srZa8mpX(qy$CGL?LmDu|m1{IhcjGbKr}yP})~m
z#;`z%gK+!GolA^^QAh~MSTdJlCEzfKnATN|$08zHR~}qk9L%uHNzp<qYc8a0j=Izd
ze7r3Fby(j=*I{K2wjKGS>#&k@;}cWLAeq<M$J;+N#6U_8d|7aAZgOs_5m?sQ$J^7-
zFdize!05&0>+9_6Y83D25{5i&|9f;DR+>Jn<N~cPgspFI3UzZw4k&uGt%#c=Od_pf
zBJVUk=rSyln^vIlGAVeOi*<r9h2#C;Xj+{M08J1E-}^M$v>LokD<bCy$!l7H=d|!G
z!2%@?(wkPWIX#juI!A9>!3=^GQ1}*LwYV%Gt!V}JJo)2RNKGrSNu&*1jW(@DmtQdw
zI`waK`PJz1EBJLnlr3>jt|w;s6)8<CP)mobQ7dTEstPh{WyQfLWJc7em1S&vPJTg2
zd{JsaPG)kVzB8hoWr=MF>&l;xgQJ~w>o{l#>*3`uqwOrZwX=FbLs%`0T;wM&>J4ZG
zK!<ZciPwn0d<H0$klx_3BzLM1y}<=Dh?oY~#N8y0Wm$p-@~G0_0-HoygR6y$gGp#~
zPoZ;8wti+_afyC%PWI?}cl6`GM;lzD4K6A*xOBdW6VV;br=Wr&Z(xg&%sE5w)sFCi
zEpu!QuHC1;4vq$w?7GeNN11FbS?otoa6mtcgjTx?NuDz_CvH&|c>`PE3mowcY=II7
z>Fq3YYPGXq1`*TFy1a0qJz=LJ%^89{PnC8S*d)^0S)&76qXS!`16zy?LZfTm>9XdX
z`U6{_mJTHYTO`jJ8lWu4LORtOv8j-!?fc+pS~<pp<_xF$a*Z~v=r)!`a?{FymQ5@0
zv7qp#l@aFASfIo~xV?hD91C_lD#de#FoTF`TKxzjan2Czd8#z6z$T40twxu+k1lm*
z$bhfl9$k)AI-Hkdfm%9bHLaM)9JK;1tw&4~V%<w9=JI23G_58Z@3240#H`3L+O!(H
zO)IKyB}8B84&MF-Z(3p5NeD_Dq&KZ#+y5wTTEPq=rfKDNaXD$DR$$LlrD+8=X|!oI
z+O!&NT8%cXz%$+8Nsy#L)U=}hs1>NCLsruYx{1(H5PYaRc+VHgb*}~a#qs$`S*gh-
z@s;t3$t9(UIq^lQ>CvFmx1{(u7=*aW(i5F>N>iha5tD*y8qSVEL6Ii5MhZTmAy5i_
zm%JhTE_pNdNOJFzr|zNeI?J{?9A$FI_(RW&=?EP99^|S3KK?p2MIosY`x)32ANn4g
zlV47)L*Lm+JoNpnFbAU$bekcuNe;0pt0*<zIX)0{7n7SykSp?5m1`9*i8#lhoXdcN
zSxAQ{i!EZ~4Whw^Yzo5<xx=y<Jx;NMh&B(lJC&33bMlMgA#O2_Pfjc<s*KN!w?w(G
z4s^abc+(!qcPisD9qDo=lXy=<$id&B{E8f!#9hu*#HGW*ETjSPfj_vo09^!u%~SDt
zsCSoQY3JIqL{K##4fQ>#ayd2jfHc%c3P>~L%c;q@B8>QeG(-<bEGg1zpC%Cj8O^1|
z!6>9gDDw1OJfn?4YxvOH)_4<S10#{9JNri)$4ZHVck+RAfJr>q!g#QR0;3ljq)tIj
zoIB)>5|P#OxHLGJg;WUnkBIOj?sfqp!!thKK;N0<PFVvZWd9pM-+)Z_k2Z{z5<w19
zXHQUg8pdJ|&)G*5hzQRVE;$ZnAt~H0Kwji(jyXf%E>}-Ps)SxfiDC=1sSyu4eL30~
zvKk{kGu}ks(-_O{X1wXLj7yt?QAnLQ<B>ABNxY{q_L6eTiEYFO9?GS~W$B6TMX9NI
z(T1_8Wuqx(;7QDsB|h-bZ2`5@&;yU0!VwyH#2Jqic&5muBg!3sT-O&95qObY!W_&(
z{AkGlIk{me1fvy+xdPCeONxV0NDR$r$Tnk=GieGQ!-yF@d1YBZ)UAbl9L&h=Z;H+e
zu;)T+&11<?_|FUYHhNybpgS*M=jy#g6c<#vw`KGKK<b{w<Rf~Ah^QN+_qHsXeZ-dV
zP<0~Y9wn4Y7_<Z(G+?8^K;TGm;=%{Irwzpld}A7rK@{X3CAiatujJdo#toUDaw2OG
zg`a~#h#RR1pv7Rt;Kbm?5G9W`ied~JOfj=X44<6c9x*tEPfn<>cR0%QN}16S?}}>%
zdfu5g+=oxDj1Hd^;U8%j9X?sW$gqNuVFx3_0Y(P&%}&IRLy^-%C?&4fAD<aNI(&jJ
zmPUt9KvNG`#}YvU93=P5Mu$&IQ_)8NM$+&}gR4Igjp{ha7#sTd26&JL5_-_P!NBF0
zC+N;kk_JV~xpX)fg*1pXA87yu_c(p!%Vk6iphR(ra4-uAz*?IyzYrKf$t=wwYB)lg
zgHcEv-E1<4P*S<@m`2PP%H>;0L^#?Pa@r)!(U4gl@|U4FaG|&6M@LZ@8Hl}BchKG%
zGCGPv)l(O@IXt4<?YG2@5{!<bFyb5G5TfTOiYFCDQH)4mi{cwGSO!zJfYzd1`Tc8j
zEehESfk|D9GCG)&Iy#t=Z#%jcg^}R_`~;NIwJ1gT1(4NPqia#H4I$EKFeR;lk+GL?
zSgb_>c?CRwM7OmlARBub>9ZEaX1*L%22+gSD|rm{JwZq0K?hSnejyxsga=cQ%|{wc
zF^%^$f-mJkS&bqawS?Tk6eG|IIrt_XEXz@Tvt<!6F5!c1_yg(>(yzA1I+y}8jhMld
zeQv}oNI@S=0fz<k22;SsL6Zi2H7vev%ObYXB`JgNIGWMHl+nQy1~2q{jJJZ!<dWxL
z6q3eB%b;~4@x*TI8Iem;sIafcko2*X-{(RH$HJ7rIMBkBrS_xyddR<^mDI76(S1Fm
zV<~B)V<`+bM#oZ$iYoQN+jmCy^)Sp}#M{K7(O3$opEOLyQb1lIJQ@Jqnu4<47u%)|
z@U|hO!GK}1uLosa3er#tNr8vHE(Nh|2-$d~z{5R~Lfo<xZ2Nk^`)%<Jq^MmWW>pHd
zeLYZLkUoxrZC?+}Fk*&Lp1LO!HTHwDuLm3u<d34D?dt)XhTi!a9Yn#gNOp8ZN-yK+
zzMj#2J@6CyM&uxh6<I4%P_|PdEl44_ttVw=;2;@2saof7l&Rvzm(i6dbX$osI(jlX
zdNMkC!Z<p50zLy}bo7L{j_c^?$;jT;L)=Of=#etGhc}>0A|ShZ$URbqIOCB<PjC-C
zkw1C@UMqob^u+N%ED=Ky*hWvFfkd6r6PRH{jh@se65(VYE|k#|a6nLP^aO0$=;+B%
zKU;Qm3Cidal+h(9LYgSEB(ype<%a<HXjwfDMj@@7#G>?6|D@uQ#N?b*eIy$zFwT}m
z-AIY&a9Kmpu_*AvWsTs6%l<y<J2-|>N)=W)9A#?E{x~{}LbqX*R?z7v6<nkxI{c^0
zf>tB<G7@{btRV-pkS=k_-4cGhEWE*HfqC#BqyH`<7F&mLsd6w1DWX~o*~1VYZxEju
zZwfkg68X49khcg=tLAg5a4-rf5HJ_1QEP^LP9hlxq2_R@aWD%h;kOX|$WAQhCEi`0
zN{wJM1P2?*8~q6e8`xZ=V8gw^pSXi~2?iU;LiAw6vPzw}lTM-2V>lv7KbVa3V#~M`
zIT(dxaTy6&O(KSTq$$W2(4nTrI1V*^;D45g#r&yU@*K=U(m3p;T8Q~`$#F0WNny9f
zkc{(SOYnu4A!z)_2<s8BMmR$2YDFfcp#?gb7?e}sr5Tp=)u+usM7D%>*il2PEIlzO
zH3f2X1>y`?BQj2Vg02-I&UmCuYKnYXHgw|%s2J^K>~dT{MCjo-kT@r^I5R&lJ~^)h
za>Bl8Y&_`LYIrbOVpa;jM2I;`7kZotN^1{x;sts*q8|1H@)hCA0lEW+Nb`{blG4+%
zu^wjvKBF2QXjsx71;?2{(;MkyA6R$bz)T}%@WbXOImelR!-D+L5A@?qz{Zg_{PB78
zP}tE!VHs!OKVBl7OO1n3NC|a+M{X|KAcdzNs8&Hwet1_^b}(|Ob1(`i6EN97G{itk
z4&0~!*_vt;pO{h>@9g94>1PO{K=KNVUR=Ju&c3cj@s2KG$fwYc9%n-2)jGs29*N}=
z<zN;PM6H#beY^=C2%G%w77=ZVWD+}t&Saek%LzFV_62!kAG{n4LY$Cx4!&!3aE*MV
zMS2a6k&nlopplQ48Lvi1KIk^`@m+|6QD}7J12V!mI`ToN>LoZ}1R5|Pqi;1j@`2^#
zKfG;~(UA|*HU(2}<O9^p?PVMuBOf5Y5bm*4bL0cGLWlk%A2Y%miD>L$JLDSDuEIa=
z0rC^!P{ek~H7?VsIO-9>CB(rj#D{7Vfg@bkbP}`N!;4FjgHcEn)nqaca81T-7BS-;
z;y%P|mGS1{<zPl?DpPa=jvW_jyBkXZj(?-f=vW78KRY=mJ2THPJ~OE_EuHisvn(zJ
z4n`pvJVwIO5y*mgPsmX|o=%}|$er`ir5<RbD4?;7(XkGEXYSMSw2|R7*0F{kyv{?J
zgHcExWesF<eraAwYEgU{cv{0eR^M3)xu7*boG&7#0lI$F7Cf$DW@`dp%He&%V{i;;
z%zU`e;V9GJeeVa+fQIuByC;~9#CwA83UDwA<#G{`t`O@tl96r)c81;#3~CwoGG_1j
zLc|PRE@brqo@5MOv|$c9><w`zFqXS6vm2)o(M*NTbD~&>vT5A_enOrpN?#o0C&KMi
zXltK1<B=MwxECXAC|W^8C>C?+axe>N5@jzDGwkP{-zCCQ62j7m{Ch)*@sknS#lVPr
zLs8bl5Vy#igs?OsA}pt!6rygrG}QMrz|vqQFyaBegO!-DM874-0Mgp?2@OFBOX3#E
zq;V;5Fbm1xvlqELWrjKLzN5^7h~R_vKGE&LvU<UojL?JjK8Z3NDN~!pdr}m5NnA1<
z%t8`aC;OufKm{Uv?j1{cnD%xu@d1Z!2Rz^q#i60Trzxq)4jOPonT{H8rj(>QEZuh0
z;KH(0p?POC5nCRxblagJM0&3aOSc_n7OcCKpH!L#>u!N=Vn*(6c@&b~Z3l+}`HK`#
zyX|1Z(C3KpciZ2LE>Rd=qCjY#WORuF=wjCL%qr9y%CVGLBj?5yl&TjLJfQPP2`*Ex
zo^+dtDlmeW4i9u~0<4DvAGO9;-tAx$gse^2OlE%_={!(br2aa52Zk}c+y48g+u-Q7
zmm05dILg!%@pQD?PWC#4(QZ4&6{;k3HAlPc1eO4j5SF9ecIt)YXty2b&B!RVJC-TY
z_{{hb({0y#ZBIm-1v=h=vttcCDiLzL0%&UkdOr>CXc3`qJF@9W-FDM>PZM~z9lBWq
z)KKbWbldjXb^;@zhCh~WJNOJm_$UXKCUDt{1oFD=P(M(m+YU2}m~Q*aG-B@eK%H#|
zhXS>_?O?;ulLNkH>>{?&Zabc-agr~_9-VC`ZS~pc&Vn50oNWEfyy6o5<eY3!&lh|X
z2gwPKj<fBFT#_8j;N?x8pb6=;#N<?v+reF;A=7O?OhUIE^$Z21iFN`V_v+F?(s93@
z2%2wK=zKleaR;Z;c+e;lcn~h$u!~hzLn9>EE6zwkTfxOE&PX%PP~S*XL0<tR5a2q4
zm2m+p!wObXJMOPX=i8&9Qy!r65=Q6S@$cOm?YNK5w-XqT7@cqTS77vFA#bq)W%KRC
zb=(Ob5P&EU$r&*sd_VxQ`KX<D#9{@M&O31{J+K}S0G=Yo*L~mOnM*|X9qSdHP=Ao#
zfya740L(OEy6^`J$vGeZ92Vqv;?WNX02?>jjUPQ95z$aZUP*;zsBH9hiP767$h%zv
zWqcmgF9MB044H2HYX$}eE;fz4{Jd06A)(})?6jo((h@|rkz!B;oxugdnhc-6{{8=7
z*ufCOP<F5c34^dBhyY<v5COueAR>dY5JZ7+Er@7fWb9yMoWRI17hEzeU}RhgW`N|j
zf>}El84oZro&cY_{tzqzl6%3(@PU!xC!F8N1QG?|4km^PO#fkkaRw550aMBfB<=?$
z#ve?KPVC^#0U8{PLMo`kmY@SSz{hQXhmxFqyxpAqLqnv9Ikv;i$<t4Z!HU6&!HXe^
zL&GW5&E3{evouoN-=UU)q2Yfk*x|ikaw?dF_<t#wy%tRF1(RpN<W<JHL-KZa8PA^a
zv3&{_c?%}LGM1?CwEfFyz3ZxND>w*x!Q@mX28M?ExnTBEFu4{?ZUvKjnM~t$*&by|
zck#qOdcoMh%+SHiFoBtI1~cOVW`-5a3>%mkcQ7*^U}iYM%y5C3@dh))17?O7%nTox
z8GbM`Hn1>surN$uVVJ?fuz-bO1q;Il7KR-x3<p>kPO$`NB<JKOXDbxvmlh?bDyU;7
zEp-Kj>Y~izR0jh+0|SG+VuiHCoE!&JD5oH`C^J9B)(|RLt9grsB{(O){1FQ@wZ3A(
zl3a-$j9?^j@qZgD2cu9IBNy=rM;`YvF5r}f`y7`^F|Q5~o)606QsH10Qb0|_n1M{7
z+G9U=k%$R3UoIIAMj;7IyT}`AO~Y#(tdR-Ytp{7)3CdE)%R50Sns5+7&o{$#Hn@lb
ztsVsx*Wh6+0>N}_*8w7eDT$~<en6!KXn!cSLwvw{DDY1={bb=_5{gt{@KS+hFf4Hn
z%2f)fDe0-2$bkkbF9`>l9Tz(XgAj9aPB!X#W=QcxSbsQVa2q4g<1_O>$0m{A#)lsA
zh+*)6Z|#&@yoHFwk;$dZ!7L<?;e1fIAgWse=}>v+6e6sm)f&+qY>bd4d-SYDGdFLt
z2i2nW#MYt<9B5OEW=?!-bCgNx?>hUl;Cl5cn7j)npMuF3pe6>xpsz*U5{pZQb1e$m
zjMmF&UEoExS~Omhn}}>lbS(<<0JtW=yAFktS`=hMFC!>L6E4uHSBpNo5JW^UQC5qB
zd<G6X%4<<ja}>E21sM&h1s4!8D2J^Uh3RKtAROp}qZS3Z7#x^*6C$NcHbA!YGNv#*
zAYxY~Rcg_d=f4tR6&bZC$R`BD3to%9MecfwU^e684To9h+hb^SzSDQgVeNb~XKWcN
zo$nxM-vD>#JKm7u?l;yXJK6z98$ct_q95&m#}Ba%_-{}LJX(RlOAVUIu$9~jqg`;&
z;%0)Cp%A5w2aqkjj3ciLPS3iOf-X39>rzmMh3;K&+SH|Jo$z5;mx3C@qup>RUhq5s
z_Q7mO5P?!P;U3|z?1mFpmyUMCK?#NSbt%Y}k=PMOnFD8#0!=HTt#U@10|(8bFfcF}
zLTLu1IdJgwxUqvLWP04$0mmG8atC8F{yFgE1B}VEnFHtg!Nldw37!Mz;9wA9Nh-+;
zmD1&4M7x7AskEddKQF$tAig*wGYxzX5ctqMgIIkRq?vBeA(x<;?qk|wgl4)I=KI^P
zWn7r=zaKKu51H>i3KoHl*MoxeE_kLJb=Dgs{ueAx%B;8S#xlF3Oj{1H5uEjA>|kb`
zz|1g%nE^KI&9H-+fs|QqM%b)3k49!*L1~FXL4Hw*<|UR~jiSur%)E5)FrscrQD%C2
zYEf#6LUL+Oj)I#Dp(FFKPLLZxXT%ktGvp>vK6r*4tyv*}aE$_ZI{p)Q(i|;yPhu6+
zaB+4~&{YTqlg<G_ny^*-q)wFoVCG;Hvgd*)F7hT3-MBb7m?5bXG*z0HTB4wlmTzkS
zp3iJxWB?7r5;KhI$|b_VC?o(%<?*1zO6D2DaafIkO;qDM_7#)}3D@ljTv8m&LSiTz
zR^e_&9zZa{JOT`qzzN%s#6?;rhg>#7(t#d}*j{sTFr!aRqb0TB*)R4ZctkLKJ6Z!H
z1MMqvNT+zvji`r^dc6`+fkl@ckd)0PqGtjIFMP|siJeW9=U^0)##m1PT78JREQhF2
zq{{Y3;(9XB?T;v>9cUpA$mImrO-5agA!-hhq~eae^NW}uiy_jv(3(@=C4(rwO9LN0
z91mKN18znc8pP^5yTTh%{2HLT33A-9F{nKib6SH?dx~wfpZ!`!w$*-U?J1B5ZQ4_3
zk|x<5Wn!)u8*NX4T6bi&r<h1wcsPq~v^~X;0biL-`}Wii9!?_W_o0i?aOH9XGR{%W
z;sO`wxQ#^Gk7SH|c&ah-BEL6*7DOB-k`6g}7N=eD;M4UGCrV>!cP>*1Ct?yk2C^g)
zmqkdWAz3ZXC!;OSRFv}%uq=ios`{tSG|Xs=6LeoEYy*KmMYnm5wm4z^YwTm^kUkC_
zTAbge#}d)vjE3}W2p4o@lyf`Sc*t*R8jdzK@orcdzC(ATO-)7yp}{<KH`>%pPc@)#
zQxjYol2HUNVjFF0R*W_^85veEGVEYvIDmOt=jh-jQ49G-2R9*8S%yYfZY7~&QxjCs
zkx|aUo0=);qnc_Qj6zCyo3|FDEzQxECM&}WR)(*v;3<1B*~$iD_kzi(U~&=L%+Wzj
z<T1O^LCu2roYImZGpI>SUmsi;l2HIJVtYI~ra9Ws9BpV~o@_BXi_XaKfDv{~6GgM=
z#5FXdxKue9g%k-k`p78eC~0R}j<z#L+nJ;7%#yrNq&1DO$&!Ixj5s=?NzD;WV%nMD
z!jOytc(k2~v2t#7?)(OF17mbRb96wHkwIvXv@=2F92vzNCGAWT{OwGhnc9RFX;x=~
z7HL*zqA$_}iO_P9<|ZG>(M6hcT%<WVp6NC^o(WprZJ<B67HMAj+epMBO=8-a;KGoM
z0(i8YIoi&|e{subJ9D(1NohN?;U+O_G>I9{1eJ4S6myicGfhX^nWN*GqvM&Q<C#da
zJ40+U5cS%b;KGoM0(i8YIoi$~ZD(S=5n^=S9C=uqqVY`XwKGBG92vzNCGAYJ(RSu&
zJ9D(1Ioi$~ZD*!Og9}443gFRp=4d-}w4FKH&SYdHaM|=AX=j4UIWmelO4^y`qwUPm
zcIIe1bF`f~+RjXm1{a286u_hH%+Yq{XghPXojFw6nV@oxjAD+Gb|!&CG!IPDA+$d8
zvpQ&f=4W;E^_d_MTCUGbbCVifpE<fd6J-Mr{DkN#M$pk_gb#@xJ=qA$DJ(;5-n`^=
zCzaM`f(t`33gFRp=4d-}w4FKH&ZKQSlS4X=h%+>aS)U0i=g27L;O)$#kaLarIT(bv
zlS=ZO3rd4hi&IOa2p`%Gve_pH>!Iz2phMe*)x;eMTvT7Me5?Ih#)9Qr(GG0~iNM;M
zL0R5dZ=aPmw6golXua#IEh(4aygzcm{wUKcsbBcpn+y%ikV|kFXD~CuF2P~k!OTd?
zB{&Q_SQrnmFq~pRy96g&qbM~wzbv(=QXx4bH91=$F+CA<MlR?skj%VvUC_n}$Z6^d
zuxkL~K@7;fpbFKXt27;;m)t0T?gDebdj(E#PJTJk_4dSFfkWupTGT6Yv=xxA$RYKd
z%`2eOkKDM>lOTCF<@j>(b1<VMWr{A$@!^u@U=$KZ${9#|%t>ydrs6Y=m>ug|o*p2g
z+=%58=U^5RE=y1J%qvMPN=r;m)h`0&>O2B>=X?`gPsCa8@mzWwj6zx{#$&l4E7~A7
zJ~Q5sjFaChAeZwHYXQ<0BSYkK;0+;rupqa%ZU6k2h;!g`xKufq37qg@5F2l(z~BWx
z4Bo;F^Ae&LoMJ=-Br%7<LrPiH5+39uaDRw#0q%2gMjNt&tRXw-?&KZ98;vH#ygESm
zlCLZ-6)H4jiM^2=d|L%Zngd<pGf>wLna1rRqRgXcVt&o9GelHKG;PR+LC%6Fzaa}c
z8g#TF%kY7j;RiEg0}De33&R8!h8Zjj3s@LdurO?38EwcCw;gG;Aq(op=#MsJVQ12f
zHe`|Rc#G9{_6Zto$TBn#e_iX~yhn4iAxphmjYb=?u-XFOtwuwtAq#3wq84-T`AdBL
z^&M;!H)M^#MeOK^>}W$)VRS?mp6M9y43Y_rj>tAJGJ?kv@dndqLl)7Hg_N?WCH&}!
zEdDw3(T42KDMXBujgH6?b@bEdh%BBvlc+Z$3o7VPi#d3mO5=vC@n}Pq0q>Tn(Gl6v
z5m^S5)2T-rvZD=Ir2S>YEG>YPvZy8eXhU|iA?qcSmkMoQV6JRY9&N~eU}O*)U0N`N
zmKJ~tI@Ds0w1(_99BX93w?Uz-QcKP+1+BP?Pm3=~O^=4G-5MQ_9UYIQa6I<p=nC1q
z;*!yY1@O!625vkynM;O)Sx5q<)I(YwO`V0Y(Dh;{mS8JdQ44h<+pZ5s?-LzN_lb_K
zCKz2!09vTuz{o&&9x9Vdo`X?H8uuz&s;?$MErW8h!H35xFnEc;`$*U>t|x8~HiAo;
zgHcEx)e4LjBx)f?pO!0V4a4Y?*wH1i&Mu=ZS0axe7#)Be<O8sSq~!`JT2Tx2(U$Az
zsO#vc>*$8AqWl8TUa|Ce&v<_YMlTkpP&ao_+Zb{LPA?-#>tIovmGo}8f{HoRLXJKy
zSJ0w`(U$9I%XPHn$^a=f2zRPSTdt!mR|X-%W3G^*6}3<wZMlxNTt{23qb=8A)N%zC
zbEt(JeOj)dRSKgm*U^^iXv=l9<*GkS&eIwsW3G^*6}3<wZMlxNTt{23qb=8A)N%zC
zbEt(JX)V|1IM%u9b1({NC+FwnWEN-U=eeYoq$ZbS=I80dh2oR*N{CwVx-CY8(3!AG
zuAnnvm0Z!!gawJv@=RERjxVEU!WNZ44xatQA_O{d7JPUehygx&mY!$AJ{?{0I=bQ&
z`I_p1Tk%@TrN_Z6qy;bR@Rfgtrm^t`gx0_oJ>eqaaM%<sQj1;GqMyk2?VHinu%oMC
zai1bAG<qb#=#d1B3_?j<QXI@eVps}6XCH5Z%VB@ToF(G)izLXg-&pK`3!yYLQOi5h
zn!2xWG<6L)7=?7;*5NJcK`R{ajn|I0bw}?%AnMlFXQOT1(YEf0ZtJFUkzDSg7XCyw
zcHfRRc1Ih#qm5k!jQvyKT}?=r3^Cvx86WQFZ_%T%n+&-`hxn!@YJo>uYxgY!0|OVE
zMqYkis-_Twln7`@4|QxW-cXSN3N)cKgCUGz31c`ieE$0P|9@i#PYA==Ar&N*!B_~Q
zK)4n}G%zN2FeXo6WSGkc;x1rhTnT1?I9tK29gN8b7?V#hg3d5{2o?d!y<lYcz{v0u
z&TnJ_iGpwk6T<|i|1iKf1BtzWDP;u`_X88x4<@caP6h@JMj;Lk1|gQDlDtqUT@FSe
z&7#u0ywoE9q^#8B5^OW9upw+0_>m198lFy}ZlK%Dj%kY#x;=MczQ6ri#)bL*Xt$Yx
zL|`M=AkW+dd+;fkd<!POg2}&Nl9b!bWH*-C9c9{bfDQl1H6!dcGlm(=46xhG7<Mo-
zkaC+D;|>-EY`2-^YZPS`XXd3VfUYgmEh)-OPfsmMO;Jcr&B;-4b8&Wsj3}q3C?r*4
zxz8-#P=Vwd&D;`;OYq-lhBbww-dU!La%UOi4pxS%tf1H-?qIPW%p8nD_FV7;M&4y*
zZd@E3%#ajH(Jf`JTp}EdLIR+aPRaFTgZTzsP$DNhSqU9NAUdOyQK&9r1Ggfh6&SqK
zG?H`jle4j>YS1lx;5?y;yn_Rj!U%iAj*FdxL5MjyC!4%<70xBe!7L<-S<PqWfvzs&
zg6&;40K2VWs9#8s!tj8ITZ1#XlsTA%<go?{(M_L~=f4tR)es&uwJz|Y+s#_>n%qPT
z3;Gh;^AEp<k$y)AWKMi*LpU2#bhB2}<rpFYhr|NUnT!H&2OAUQhOJ!4m?^x@BjS!&
zL(maeuJGm*KeRce;1e2R3~E)yoYo-Js$yI1XTO$_ZM7d-s|qASn^x7Cq)B#1nV9Rv
zMq5=S3I+LyH31~Isz|*Fb{1$PbhK3k*|^oq2x{IFE@cuRQ!}V-o|3#!a7&QDg|9z&
zIEk2w4&+kcU=)%;u^|n79#cH1(QiP;6|Y&4LLIk}NSC@ABVX!jjNF=f6KFxi1&8Te
z3LMOMoF9)mWp8MNdFtgdg>WLOePRw>g_MY76vK<yo`FU$N86pwIobN)d*+gJvNQ7x
z<1;}w2kDd62*`q@XpFWX!aUePLLk#&+p$4o0m#X3wB1R)qpL>Sov;)KO3ttphkgJ6
z9on7WrpFS|?u_PA<6sn0!c~5QN7BGW9T_Fv4mKY08=Rn7`OyX^?z>!v_b}dQgOia#
zXfO}sjW#&bQw`|b-~^Y5WE8`r4Nf5$JPpLr1}7uKP+lE6+Ta|14Ng!|M@C5pZ*XRz
zk8l!h<XXh)JCC+EN86jkO&iY~9pFSBKp7q2EQrr3Eg3QcoW%6{!3822h43P_r=#PW
znH7U+edg%+=IHn)sJI?h^XkNnZ$eMQ!#lnSD(T25=qPDzT8_3h@!pF%I=Ts3U^bNv
zL@r_*ZEd=Nim%b>bOZgNIGs*RYZF``l2Hhcwl+rxHyLN(KLKlW6(><!-$z$*LNCk3
zb`ccyTAQGfj*NnilGdgP{?;bXOl?AoH>)#2i#Mw?(HC!mL}<BqbCZwc=;BQ}FWww&
zZH~4!q3b~hS8Mai-$o)9ZxYkm1Q&>86vCse&C%B8Xls*8g@aj$(!J-StxY_w&4!!A
ztlcDLY!g({kx|f5(%Li~ZEcQ@Z89)0jLxK^?c;{6)4{hDVRR;au*{@WueAv-5XmTn
zM_Zett<BNa=4fk^);rXx*V+V?bYv8Cl(aU@Mq8Vst<BNaCg!O@qpeL2Mj>fj3x5XN
zOgi;io8SVGj6!&{wK>|_9Bplmwl;@OYZFw`kx|f5(%Lj1ZEcRWHb+~VqpeNwiMJ0J
z86Zd93JtMikf_(%1Q&>86vCse&C%B8Xlrw{wK;TJo1l`8jDn7m)+T{NHxEqGA+&Du
zvpQ(q=4W;Eb(<g&TCUqnbCVifw>i3Qb9f(RK6(Zcac3ZvobII3x=nC_NJb$%+S(j#
zZH~4!M_Ze;Zf$Z%#}RSnCNb+aK_wj-1s%M#c^Yy85<drn5O-2Zo^wHIP-<~%2@yxV
zgKWlk9j36FIH9ZG3zmbfelJ*#e)T&@1lH<&EahQ$m+|ZwA6wK5biM`{+x=y<-gVWM
zlnZp;AGu(El<Ae!FZ``e2G|8Uj5C-SVHfBy?qFsl<pLcB9M@syY80g==a;1xRVpNB
zq$X!8B&H{V&No(o+^eGtI$|4ghYt3OMo7LsCpag+oQ&&p=z0D770@|JZd~Zeki6Sa
ze7X2Jm{F25MVIaPa7lA83W+1-4)96q@t`<E977G+bUuNRVFn|^0z6mFrQ$P<n7Q~Z
zPY)1LcEoatb1(}Dm!&6q=9Q!tr6ne(>KB1>b{>Hf;=hTmC*p+oc*t#LD8?t1mXze@
z#g`Vu7iVOqm4J@~Hi(VSj5j3Xy!e5;{ym3Fm4jJG5!ZqMn72Up>IlQ`)v++cJVO2j
zrx+0dNz4)QkdhX)lqd26%+Vv32HA<8a*MYRk$b6fb&>MUDMVN`gg3oUig`u1tBZ#F
z6_^xVU9{%c86r{|O`Ee}kQ3y|Z_a{_5*=;MGT=VgbF?`NI%J8s%}S%qS#aZcv^fi#
z_aAM}f=>znH=ZFS?PznBA%kJa-^4lEoTc80p`*=NSbc%-MkLd?T|`^~H%OYZprQ`7
zpd;-bck-LFMzQ+Nqs`gT=B$FQLa?)of;P(K=cL}6G}@dUZO-0cWI!xq9c|7cnzN9S
z7PXWg9i1gKi|$A8Le7D>Z)vnSJKCHDoghuW1Mr7ba~4$8p%!%XYR(#uHfI^|Zm1d^
zogE#WWn{R3w%urSu|WePBjGg}qs>`Fa~4w4qL%Wb&DqiBEb_7;L(J7Kql*m~J}@!}
zWl?4A?C4?xJZGL#Z?OTWs6#F2NNdjS!LfE$m4i`85oKvya(*dj6<&NAcmfQvo=c0t
ziouD&iy?|%!_z6$&DK!CCp5&+)=;x_bmi>m%2}e%4mdfwayGBHL?1SFgzwDM(Ur4U
zmgXWI-ESE0X%O$P!05#SDv3bjAXSW@re81P_RoKbm~>0#lHp($l0Yf>kXB7AFnCd6
z!7OwY8Hy#?idWQv9pnvgKY$U>+U!Mak4JAL9b7k(jt<C<4#<KEqCq+!i&_fhWXI>1
zmVh<}h`=@m7-G94intbR1eY=gqmVqR6&USE)MAc4?N`vMhS9YJqiYMOvbJEf{W`j~
zV34dW7$ogiNb!nVu#dK1M@L{sM_@;{d+`Po<rl<LZG9|i<C5O(S5QHRTFlX>{R&#f
zFxq|{ZNHATUl~As>(P@7hRMkVgQWcmDPB<v_R;q1X!~`v{W{uy9aimEP(g=U%+aU)
z3R<@?+I}5vzmB$FN87L9gS|%EuZ#@6jKm$dMR@%Hq<BRw*hky1qwUwx_UmZ-by&4u
zK?NOZF-Kba^(~I|ulgK}LfXmsIXRidnfZAxsU@k&C7Jno`f#E6<UH8%koZq2*cKx~
z=nPpUSI`-<O0MW<$bv*@d4{Y($CuGFWDD|(N+3toeqs?xhRz?vgBazRRq+P#hV(o`
z_UY(K*wK}+gX5HfQZ79XW+5$jfrqaQG&GHkHz2e&w&)2L5r@g9aFJT{q89%|wsPN%
zu96*HC5vw%wb1CHvhm;wkU*DVxbO2y;*#QE781i!3_AOGD=>KBUncu2<}4AX$|iB?
zaxe;MVzC1*gwotZE%8We@P5G2;5Fc26w-lPhqtf?t$DyVc01bQ9o_py^xm&$qb=Ui
z7Vn5|@uqT-T=t??07N!<-;XwVN1ME(O<rap1&sSNz<Zt$V?LuzUYa*~lOdPy5Z~ZL
zE%Hcf^Rh88FmNylFi0@)gIK87gmFNGSdvQeLct2<Kngh_qO2apm3hf9P8f*81(9LP
zNll41h~<Xx*g-r)G_E14tPv{L7?o>+$~BEew#N{KXN1BtM&X&D@K8-LLy<E_;aQ;Y
zEMxf~{^BZ2Pjt#DO^r6d5HZ9MF~Sfrj)etyS$d*-QEF;lv;n4&A*PTKrjT(gFT_op
zW$B4Q;J`-{Fho~jgf3tl3y$G>Pz>vWOe3Y&r3cYK<cJvPfDCJh4s+sCxj}4vPJRJs
zQlTIxGdWS;nKTPQy)x2tfY$zyrUNwFLYfYfSW^9A8msS2Qrv(%PLc+YzpWrqV@6ce
z7@@}uN!Eb^jU)}AFe6C=$w6jDY8Znq1SiQ!7Npu>8LRJ1Qlwa-M+z)q<|G!Sr}`%q
zmn0_Vr0N&s7sux(Wu+#U#8<>8CYO{Z=D-iIAx#G;osp&kl+Z}i0ZJ>R=>R1b(sY1Q
z3u!t)$%Ql>p!7nT4p4$2O$R8&5TT<4lpH{%YI-!NOxA~Fd~H0b1WAV>NDFC}5SdJn
zEFm(PAn717nIP#PGMOOhATpUC=^!$hAn717nIP#PGMOOh03{Px(S>p$B9;|r@RC6l
zl1d2Ops4Sx22rSlVsaYzFc-vmgy2*}oJvsYA<A;16@pS1Q6_>C6;TR7X^AL>pd>_;
zLQu*fN+Br05Ty{5PKZ*7GkM^vIIyNCTpB>0Bc!1cy=o#!11K$!qydyBNYVgG8zgA}
zr4f=efYJ&{8b}USP}(8M22dIztO2R00aX?xX&^4*kZd3>;*c~D7jZ}$h>JKR4a7wp
zk_O@;4oL%X5r?D!6mc4mf>{NnV1{tw^YTmLa}x7XQd2+$KB9wCoST@FLsH9;I31v9
zhBYFH(*cTS(sY0#nlv4tm?ljJD5^=*0g7wVbbun8G##MWCQS#Z%qKzzQi=lQY*@<?
zPYfdI0N44XSwdtoL9&F%WP+rF$Yg?~gUDopq=U$0f~14UWP+rF$Yg?~gUDopqyv;p
zlp)0kp(CtRAj%X_4<kT1=?a`6h*1Yh21Hm(q%u$vA;L6Jx*$RsC@~PB43q+hPzH*2
zB9wvRnh0f}2qr=q!C1`zRSMv~B3{!V$_T~+L>a+YfG8sv3lL=lV*#R!U@SnC5sU?h
zGJ>%HQARKpAj$~FLK$(f08vIT79h$9#sWkc!B~JOBNz)1WdvgZqKsfHK$H=T1&A_&
Ku>es9iUj};3DCd*

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_utilization_placed.pb b/game.runs/impl_1/TopModule_utilization_placed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..2647e46a5675bc46751bc002766f8d5c37e25efb
GIT binary patch
literal 242
zcmd;LGcqtT(leUGtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZm>=QNj>Va0d%r@VFYXKmjKF*Gc4-Yd+oqTIbz<ck%veXk?~0|UcdNrr+cZcC*=
z?6vX?3@lE6<rx@)oR2DO&#iVms|pgItH!{<?)+2@#O^f$k#~(47z&)18iUwtEf^RW
z9R7kxr=yk(3@02WS#dapy16@t1o@n`V_;~opKH&+aKPcIeOPF#<69SyroFBpkybYl
Rdu<?y{2K^Tc{B*b1^^nHMA-lU

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/TopModule_utilization_placed.rpt b/game.runs/impl_1/TopModule_utilization_placed.rpt
new file mode 100644
index 0000000..459750f
--- /dev/null
+++ b/game.runs/impl_1/TopModule_utilization_placed.rpt
@@ -0,0 +1,214 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:02:15 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_utilization -file TopModule_utilization_placed.rpt -pb TopModule_utilization_placed.pb
+| Design       : TopModule
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Fully Placed
+---------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Slice Logic Distribution
+3. Memory
+4. DSP
+5. IO and GT Specific
+6. Clocking
+7. Specific Feature
+8. Primitives
+9. Black Boxes
+10. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs              |  325 |     0 |          0 |     63400 |  0.51 |
+|   LUT as Logic          |  325 |     0 |          0 |     63400 |  0.51 |
+|   LUT as Memory         |    0 |     0 |          0 |     19000 |  0.00 |
+| Slice Registers         |  127 |     0 |          0 |    126800 |  0.10 |
+|   Register as Flip Flop |  127 |     0 |          0 |    126800 |  0.10 |
+|   Register as Latch     |    0 |     0 |          0 |    126800 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     31700 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     15850 |  0.00 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! LUT value is adjusted to account for LUT combining.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 10    |          Yes |           - |          Set |
+| 76    |          Yes |           - |        Reset |
+| 6     |          Yes |         Set |            - |
+| 35    |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Slice Logic Distribution
+---------------------------
+
++--------------------------------------------+------+-------+------------+-----------+-------+
+|                  Site Type                 | Used | Fixed | Prohibited | Available | Util% |
++--------------------------------------------+------+-------+------------+-----------+-------+
+| Slice                                      |  103 |     0 |          0 |     15850 |  0.65 |
+|   SLICEL                                   |   71 |     0 |            |           |       |
+|   SLICEM                                   |   32 |     0 |            |           |       |
+| LUT as Logic                               |  325 |     0 |          0 |     63400 |  0.51 |
+|   using O5 output only                     |   10 |       |            |           |       |
+|   using O6 output only                     |  217 |       |            |           |       |
+|   using O5 and O6                          |   98 |       |            |           |       |
+| LUT as Memory                              |    0 |     0 |          0 |     19000 |  0.00 |
+|   LUT as Distributed RAM                   |    0 |     0 |            |           |       |
+|   LUT as Shift Register                    |    0 |     0 |            |           |       |
+| Slice Registers                            |  127 |     0 |          0 |    126800 |  0.10 |
+|   Register driven from within the Slice    |   80 |       |            |           |       |
+|   Register driven from outside the Slice   |   47 |       |            |           |       |
+|     LUT in front of the register is unused |    8 |       |            |           |       |
+|     LUT in front of the register is used   |   39 |       |            |           |       |
+| Unique Control Sets                        |    9 |       |          0 |     15850 |  0.06 |
++--------------------------------------------+------+-------+------------+-----------+-------+
+* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets.
+
+
+3. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       270 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+4. DSP
+------
+
++-----------+------+-------+------------+-----------+-------+
+| Site Type | Used | Fixed | Prohibited | Available | Util% |
++-----------+------+-------+------------+-----------+-------+
+| DSPs      |    0 |     0 |          0 |       240 |  0.00 |
++-----------+------+-------+------------+-----------+-------+
+
+
+5. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |   33 |    33 |          0 |       210 | 15.71 |
+|   IOB Master Pads           |   15 |       |            |           |       |
+|   IOB Slave Pads            |   18 |       |            |           |       |
+| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |         6 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |         6 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        24 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |         6 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       202 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        24 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       300 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+6. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    2 |     0 |          0 |        32 |  6.25 |
+| BUFIO      |    0 |     0 |          0 |        24 |  0.00 |
+| MMCME2_ADV |    1 |     0 |          0 |         6 | 16.67 |
+| PLLE2_ADV  |    0 |     0 |          0 |         6 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        12 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |        96 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        24 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+7. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+8. Primitives
+-------------
+
++------------+------+---------------------+
+|  Ref Name  | Used | Functional Category |
++------------+------+---------------------+
+| LUT2       |   98 |                 LUT |
+| LUT6       |   88 |                 LUT |
+| LUT4       |   77 |                 LUT |
+| FDCE       |   76 |        Flop & Latch |
+| LUT3       |   64 |                 LUT |
+| CARRY4     |   56 |          CarryLogic |
+| LUT5       |   51 |                 LUT |
+| LUT1       |   45 |                 LUT |
+| FDRE       |   35 |        Flop & Latch |
+| OBUF       |   30 |                  IO |
+| FDPE       |   10 |        Flop & Latch |
+| FDSE       |    6 |        Flop & Latch |
+| IBUF       |    3 |                  IO |
+| BUFG       |    2 |               Clock |
+| MMCME2_ADV |    1 |               Clock |
++------------+------+---------------------+
+
+
+9. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+10. Instantiated Netlists
+-------------------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
diff --git a/game.runs/impl_1/gen_run.xml b/game.runs/impl_1/gen_run.xml
new file mode 100644
index 0000000..5582686
--- /dev/null
+++ b/game.runs/impl_1/gen_run.xml
@@ -0,0 +1,193 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="impl_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1677600053">
+  <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/>
+  <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-RQS" Name="TopModule_postroute_physopted.rqs"/>
+  <File Type="ROUTE-RQS" Name="TopModule_routed.rqs"/>
+  <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
+  <File Type="BG-DRC" Name="TopModule.drc"/>
+  <File Type="BG-BGN" Name="TopModule.bgn"/>
+  <File Type="BITSTR-SYSDEF" Name="TopModule.sysdef"/>
+  <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
+  <File Type="BITSTR-LTX" Name="TopModule.ltx"/>
+  <File Type="RBD_FILE" Name="TopModule.rbd"/>
+  <File Type="NPI_FILE" Name="TopModule.npi"/>
+  <File Type="RNPI_FILE" Name="TopModule.rnpi"/>
+  <File Type="CFI_FILE" Name="TopModule.cfi"/>
+  <File Type="RCFI_FILE" Name="TopModule.rcfi"/>
+  <File Type="RDI-RDI" Name="TopModule.vdi"/>
+  <File Type="PDI-FILE" Name="TopModule.pdi"/>
+  <File Type="BITSTR-MMI" Name="TopModule.mmi"/>
+  <File Type="BITSTR-BMM" Name="TopModule_bd.bmm"/>
+  <File Type="BITSTR-NKY" Name="TopModule.nky"/>
+  <File Type="BITSTR-RBT" Name="TopModule.rbt"/>
+  <File Type="BITSTR-MSK" Name="TopModule.msk"/>
+  <File Type="BG-BIN" Name="TopModule.bin"/>
+  <File Type="BG-BIT" Name="TopModule.bit"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="TopModule_bus_skew_postroute_physopted.rpx"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="TopModule_bus_skew_postroute_physopted.pb"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="TopModule_bus_skew_postroute_physopted.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="TopModule_timing_summary_postroute_physopted.rpx"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="TopModule_timing_summary_postroute_physopted.pb"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING" Name="TopModule_timing_summary_postroute_physopted.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="TopModule_postroute_physopt_bb.dcp"/>
+  <File Type="POSTROUTE-PHYSOPT-DCP" Name="TopModule_postroute_physopt.dcp"/>
+  <File Type="ROUTE-BUS-SKEW-RPX" Name="TopModule_bus_skew_routed.rpx"/>
+  <File Type="ROUTE-BUS-SKEW-PB" Name="TopModule_bus_skew_routed.pb"/>
+  <File Type="ROUTE-BUS-SKEW" Name="TopModule_bus_skew_routed.rpt"/>
+  <File Type="ROUTE-CLK" Name="TopModule_clock_utilization_routed.rpt"/>
+  <File Type="ROUTE-SIMILARITY" Name="TopModule_incremental_reuse_routed.rpt"/>
+  <File Type="ROUTE-TIMING-RPX" Name="TopModule_timing_summary_routed.rpx"/>
+  <File Type="ROUTE-TIMING-PB" Name="TopModule_timing_summary_routed.pb"/>
+  <File Type="ROUTE-TIMINGSUMMARY" Name="TopModule_timing_summary_routed.rpt"/>
+  <File Type="ROUTE-STATUS-PB" Name="TopModule_route_status.pb"/>
+  <File Type="ROUTE-STATUS" Name="TopModule_route_status.rpt"/>
+  <File Type="ROUTE-PWR-RPX" Name="TopModule_power_routed.rpx"/>
+  <File Type="ROUTE-PWR-SUM" Name="TopModule_power_summary_routed.pb"/>
+  <File Type="ROUTE-PWR" Name="TopModule_power_routed.rpt"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="TopModule_methodology_drc_routed.pb"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="TopModule_methodology_drc_routed.rpx"/>
+  <File Type="ROUTE-METHODOLOGY-DRC" Name="TopModule_methodology_drc_routed.rpt"/>
+  <File Type="ROUTE-DRC-RPX" Name="TopModule_drc_routed.rpx"/>
+  <File Type="ROUTE-DRC-PB" Name="TopModule_drc_routed.pb"/>
+  <File Type="ROUTE-DRC" Name="TopModule_drc_routed.rpt"/>
+  <File Type="ROUTE-BLACKBOX-DCP" Name="TopModule_routed_bb.dcp"/>
+  <File Type="ROUTE-DCP" Name="TopModule_routed.dcp"/>
+  <File Type="ROUTE-ERROR-DCP" Name="TopModule_routed_error.dcp"/>
+  <File Type="PHYSOPT-TIMING" Name="TopModule_timing_summary_physopted.rpt"/>
+  <File Type="PHYSOPT-DRC" Name="TopModule_drc_physopted.rpt"/>
+  <File Type="PHYSOPT-DCP" Name="TopModule_physopt.dcp"/>
+  <File Type="POSTPLACE-PWROPT-TIMING" Name="TopModule_timing_summary_postplace_pwropted.rpt"/>
+  <File Type="POSTPLACE-PWROPT-DCP" Name="TopModule_postplace_pwropt.dcp"/>
+  <File Type="PLACE-TIMING" Name="TopModule_timing_summary_placed.rpt"/>
+  <File Type="PLACE-PRE-SIMILARITY" Name="TopModule_incremental_reuse_pre_placed.rpt"/>
+  <File Type="PLACE-SIMILARITY" Name="TopModule_incremental_reuse_placed.rpt"/>
+  <File Type="PLACE-CTRL" Name="TopModule_control_sets_placed.rpt"/>
+  <File Type="PLACE-UTIL-PB" Name="TopModule_utilization_placed.pb"/>
+  <File Type="PLACE-UTIL" Name="TopModule_utilization_placed.rpt"/>
+  <File Type="PLACE-CLK" Name="TopModule_clock_utilization_placed.rpt"/>
+  <File Type="PLACE-IO" Name="TopModule_io_placed.rpt"/>
+  <File Type="PLACE-DCP" Name="TopModule_placed.dcp"/>
+  <File Type="PWROPT-TIMING" Name="TopModule_timing_summary_pwropted.rpt"/>
+  <File Type="PWROPT-DRC" Name="TopModule_drc_pwropted.rpt"/>
+  <File Type="PWROPT-DCP" Name="TopModule_pwropt.dcp"/>
+  <File Type="OPT-HWDEF" Name="TopModule.hwdef"/>
+  <File Type="OPT-METHODOLOGY-DRC" Name="TopModule_methodology_drc_opted.rpt"/>
+  <File Type="OPT-DRC" Name="TopModule_drc_opted.rpt"/>
+  <File Type="OPT-DCP" Name="TopModule_opt.dcp"/>
+  <File Type="OPT-TIMING" Name="TopModule_timing_summary_opted.rpt"/>
+  <File Type="REPORTS-TCL" Name="TopModule_reports.tcl"/>
+  <File Type="INIT-TIMING" Name="TopModule_timing_summary_init.rpt"/>
+  <File Type="PA-TCL" Name="TopModule.tcl"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PSRCDIR/sources_1/new/header.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/background.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/collision.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/obstacle.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/obstacle2.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/priority.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/runner.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/vga.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/TopModule.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_1/clk_wiz_1.xci">
+      <FileInfo>
+        <Attr Name="AutoDisabled" Val="1"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="TopModule"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PSRCDIR/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc">
+      <FileInfo>
+        <Attr Name="ImportPath" Val="$PPRDIR/../Schreibtisch/PrASIC_Data/Nexys4_Master.xdc"/>
+        <Attr Name="ImportTime" Val="1378293982"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
+    <Filter Type="Utils"/>
+    <File Path="$PSRCDIR/utils_1/imports/synth_1/TopModule.dcp">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedInSteps" Val="synth_1"/>
+        <Attr Name="AutoDcp" Val="1"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
+    <Step Id="init_design"/>
+    <Step Id="opt_design"/>
+    <Step Id="power_opt_design"/>
+    <Step Id="place_design"/>
+    <Step Id="post_place_power_opt_design"/>
+    <Step Id="phys_opt_design"/>
+    <Step Id="route_design"/>
+    <Step Id="post_route_phys_opt_design"/>
+    <Step Id="write_bitstream"/>
+  </Strategy>
+  <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/>
+</GenRun>
diff --git a/game.runs/impl_1/htr.txt b/game.runs/impl_1/htr.txt
new file mode 100644
index 0000000..6de3999
--- /dev/null
+++ b/game.runs/impl_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log TopModule.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace
diff --git a/game.runs/impl_1/init_design.pb b/game.runs/impl_1/init_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..a47140ea5b2c49352350e0049797bbdca51edda5
GIT binary patch
literal 4646
zcmd-o<Kl77&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZa^*72$;``+Pf0D#OwUu$
zEy*uX2+1$-%}*)KNmbA-NGvK*s7N+XG&C?MNiI$|HZsvQG-6LlO-n4zDY1evqF7CI
z4GoPm7z$V_SSBzs2rzM_b1Az(%*-rSfU3{TOIIk#S12w@E>10hIf&WB*j$UVASW@;
zF(WlG#YmQmvpB!BC^@w_9-B>UhDN$3#^%UYWpSzDvMM=0uehWL)ix6}+n{Rj*k*!k
zTR0cLPkv$w$S>f~Q7sl=m0~tDHP>QGNiEAvPBl{D5+EYT*o+KyO$<O`##+G&3A00t
zT)IK25VN5XlAMv6oL!KgnOCBqu3D_Fkd|Mhker&61LkpoY&J4C)nYFw%FjwoE-?z`
zve(bZ&rQ`YC`v5OOx90N%uNLodg-Zo`tWeo&n(bS&dH81&#a0!K(O>uk_#{+*GPto
zqad>)HODz88&ful)lk>O6cj>mZ+v9pDpD;D$;?ev&?wflQb;Z+Rj^f1Emp8rNX<zs
zC{9g*aP<^?Q*-l+Dit(*oiwc!3Q`lZArjUK>4}+nFm_r|YN|p(MrCnka$*jOU|D8S
zNhwr}OMpj;*~G#?i!C`nH#a}eNRLa(FSR5mv$#Z|IKQX_6ko~txdl0?C8>G}nEn9y
z(!jt9jIhYcb8&;EtPBkF46vk2kaj~e12a7XV@oXBCAnA)^b8CPut-U8v6xsISYVNn
z<YF~8w6HM4l!{_AG}kpZGRj~m;Hlt&B%U-bUB|q{oXRSYPgRQ*Lh~|<GjkPEb5e6t
z^Gb@rDW@p4ASW>yEC5P7OorxK?0JwtG7{rrGBw9y6|0e@t|2JD!Q(fJ%Lr<CNKs;5
zaaw*+ZemGhex3p>d{Y!M^FZ<K91yBdoSK}Umr@M2&d35`ohTP0me7a-*=A&s!B7CV
zt%6Gq7LVnL#R|zqsfi`2DGKG8B^e+)Alc8z(h!jeq`26^zy%zpZ;Yh4*o+K}jP#5!
z<-jS~+!EPtZ!XP%qSS)KB2bt@0}33FIr-_C$qM-eC7HRIRbbD9JjiTpVhMK!$T(AT
z17zdQGjgc}Bo-BeOp9=FR&dMANmYneEsh17iIlBbq?j#CEVQ^Gg+OUZW=^qDG?yy{
zSvx){Kd~r9uOcN`fDzN{M#@~guq>{hnO9sQz=^5If-#EC$UxV^1Qb2+a{dM*m#$l8
zUS@GdYKj8!o--e;o&%+HxaUq%5@Df~mBrMLs$Gm+sxJ9?sS3rZCE)T9lm<a5I4@lR
zQVAwz=9Ludfs!(lv8fgtM9zpt9+3bQI08)A5}E^J6sxg?uCXa{?pe#oCFPP@lvxJK
zKIy4>sYT#|AUP*LIU8KQFd7Z4lUa-{bU{Tjyy$u~C@Mk)E}rz%l6XisS>dnxuv7q`
znhjd@;gB^lHZagLF~L^vNprEAnpo(WTVRs})qEyKCYTAp2wd}-7+@=;vDAE*s8R&c
zD3y~`vZ53|ix|1YuokGGW<0Z@xg}~5n#kpiqkaIjxAh?zyg1%aKQp%=zo?{GKcL7l
z*wZ=QC9xz?-!HYIve+cvH?g=RwFp-&0j&TG!F43Oj9ksgC6B)XfVvm0KBJ?1Q7Wub
zF3o^aaBZbptdN;kmReMjTBK2|3F?`s7AxfBC#EPAWaeoUYeEV_OA9UL{DKlA><tzi
z!clC-hPsBvAdBIp!Mj0G8c?@cEW^bCEgP^j=0GI}p0a^Jo7lw27<b8lr3H?yO<c#N
zh`ps6T$-DkSX61n1!+DS8X`3xExA<v@-dpv<*7xf3MH`iZfc4i7pCh#O+G_IL*z>9
z7$cXvYO#W6URr*!4ye5vo>-KZnU@aXI2UD>fO|DCQH8|36p$*{qN4nwVujSa<owdS
zlGGxQeW1>}kp*(+U5ty#5L>vDlvHu0U=|Zy6OdQn89kOuO0^iNjbB`voSa%*oK~8X
zQwhrAOh%T-j+5u&MjBbb5?CM$K-DGOf+vF_gD7(GBDouXeQAiLh61H}NS?qYiziRW
laB&zK7@O#s8exl9NQFsxw&3I9a?MLo2ue-PFG>+$1OTR7WF7zj

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/opt_design.pb b/game.runs/impl_1/opt_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..1d7dc8f055dd7d0d83cb3af8a8a835825ed0540c
GIT binary patch
literal 12239
zcmd<`=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZlH=maFDQvmNiEJy&og3A
zNli;E%_*^hF``&abPWxSGZ+e3Dp)2kG6*nnEn(zxa4acF%`GU&%u81&$yZ2EEm25R
z$jMAj%_~k-NXsu$NJ~vDDJ@D>P**KhS4hlD(a$eZNJ%ZrOoj-7+`(*YV4=m9oS&PU
zpJ$}R#pjt@kdvC5npcuol9`XiB}Ot_92LptiG~ISC0N|aW@xT!Y+{b=&?$^u2JZPK
zq&bXLirLuEfa(sj%wQ;Btzd<OU>=uFP-$KsDD+%{oD~v_6%rK+ic*vF^HMTFo(F{~
zC}0xvQecs0WJF4&v6$!@86gKn5SI{Fa7khjIL1_q6+#k=v%!&IXke_xot&RnTvC*n
znO9P5B+kX`66B0EfGu<l4Ny`-0GAB1Kgu&pG89yc6-qLSQWH~(xdd3Hn2b!cm{W?9
zjYPQ^EwC8MYGkZy1d23x*o1P4g2E&%GcU6^BQ-?<YADDsW+QVWE%t(<{H)aE5>Rq5
zP;f0O$}cL$X0V~IiJ2jCpr>#-1>~eA7N;r{rKY78fx@^XBUJ%pSy5_1eo={n2851J
zDN5D^r{diFqEv;<ytMqHTyUb)gSx^5?usZ@kUgNFhI`;JBNq!7IIE!s>>@_4UdE8j
z+*AdPVofWB<bqNKTLl9HD-beNuvSRTNh~N%O+g46=$V@-=qdQ7=H?ewDropRX<8{1
zq$Xx7*eVzqo0;gDSQsc+E2Jl8<|)`Jn3?Dq7=w&SD@sjOD9ETR&P-0sQLt4oF)=h&
zuvP#Imt_`}l!8T#4UH`gFoO*_$)ppVWOTSBoD-8XQWZinb3tj^6A@yVRz$HGVx%Ki
zE>)NOyi|qa)Dmz4jc{>RD1n%Tlr+Ji&tz<>#Rid!Vl}qVH8w?#V+$@Rm(-%nGLS3M
zQ}a@b5=&B36q0lDle59ek<kdQnZ?*b7bV^@xR_Ln1z6xY-=E9cH7^BZ5-!hybCE)g
zf^$Y{a&~cPu9bqJNs38QvY|;57pBLN!}chSG_r(|s~=YyG0-zG#hy$IjEPPr26_et
zpi~1+4%A2`iA1LoH7+5a{PfIZ1^)t2{8fQ-6lO4COCOnBJX`@8pscG}49;0n%!USr
zsM$-5i^)&{(>PEu5tLezSd^ZMz19FFMo>8cuih>*afJnx=H#TND0t?Tr52T>7J&-2
z%)F9(g_NSqveY7l%)H`~#JuEG1=V65h4PHd<P3$P)Z)?{P-4r>Q%KBH$OP#u&dkqK
z$WH_5F38LSCqQOXOLHye{DKmr1TK}b^hD3RlGLKK#N<@{vh>8D)RcICr%<<Oqu6+m
z74ezzh60>YM5)8#b9^=!!)-9eYXg!xEH;R8F`8ovTQEkk8yo5x8d;ze?4?|q0j1y~
zU9}hzJ|(F|8pWERf>^a!AtygEMWG-wPor29lF=<KAOR)H#b}6W38+HBA{@mAvf0=I
zxlr)t5{IRLR8W1Yker&61GmP+5^fEa0x^o!*ihF5rSc9zD<`bE)FElpPyuEQYMEe>
zl9-ZYl$b`KOgMt0Ojyjw)rY%G02TRIY7PSvTt$MJp_!hMnGvGqz*!_1nwev(I1Eip
zFrx#xaGS}<r3VQS)nbL@qEv7h3}U9{<P?J%g9=5dx%p*?xF{B2m0~tBgJujFE)G~Y
zV%h_Wb}Z2Z5;n$E4NeWl7AVnolaZ^L(KAn>09u~tfU;*^YDrFJafw2H5~yiWtdLlg
zssOKfQd2;Uv$E7YaNU%TRC?(tz*-cgc?yVDG^EX<o06JTnjW8%4{suan-eLiC5f3i
zdI}&vn;IHG5+6Z7V@vAbOlDvND!ky0k5b}FN+WC~r3ROXGpHe$m{+1uP?TSgn2x`Q
z23ZU*H7Us5IN}qFFgQL9QFC_!T6T2jGKM5RBL!@}83b9=bl|e)Qp&O>(J2I!AF;$A
z4q<S#qGi)G;u5kkwuCIn#Ts0mnp%LZKma#BQBrOoS^~1*Qh_8OV+F7&s3|5f)iTk*
z%qW>aVNPBH&k$E>j<X*?`_g<GWl08iT!0b-mNFY8j3wz{OCv?Zr4bWsX+)Jv&?(f-
z9aL&(=4KLTjH0BMShV!w!eszSFD42YCekzQfU0zCEr9_{I~N$aTp?its{YYi0{Lms
zwzDdv!UcsM_V$4usIoM+G=)@_#6=yBbVQmkIMtb%q4X1Lh)Z>**ixN7mvnGOW?G3t
zP-=Q+F{o!jRA&e!{XJmhN(m0~Q3y)SO)LPlQowx_aKkn@$fp?EoGyY|0143Kd{BP`
z)ICuxRzOJQr@=W2$r*{6c`)4|gFs<!YGMWnb5SlvESZwDz{H^%(pfMtGeK^%r=w*u
zKQ1dsLNZmr6M*#0ZlFOGY}w6x;A;0Zloi#aCQcmji9;BimC!2r3gWVo8MdsX%_Sa?
zUtFRPP?VpXT3ieor}2Zd;)$#FlhIO`7nd0%g_$YfFc&p-nHwh?n^~r&(xxFW2vgSz
z%2F38@r5G^;Sk1_vh=y6{Nb}23eFjcdFiPN!KJymiA9xMh(SK&ZmT|*lr1iRLyH&}
zBgr!Tj9gW^0|junwu_1D3uBFf0we<{U<Wk{3d+vlE<CJHuYeE=g7)YkLZDtGXgVP^
z1>U1BC`!fFgDzH3sNou@(9p#Z9B<gTuCdi9Ack|W1xO8=pn(F&?<j)Cm_jJ>m>fe;
zaMUPZ@43R16JawJ$G~*spg}sgY80Sd9vq6$ZN}n3kU2PX;}8bv0ND&`{-HF-k=3Ky
z4AO)wub`lSMF>p=Iv1n^WHY`N1xBQTG@%)UMF>p=Iv1n^WOD%a8VsVGc77gg8IGKC
zl%$CV=ls09)Z~)Pvdof7$aD?PMgqzNCwbj?16<vB!+~oXCZRQVJh@Dvvs;*xGFaxd
zQjLw0jLb|-3AA>|9K!~UGh=B>4`6VSl)3`)%TtTUnAR%hG7rzp$x$fI&MbgTQYwIk
zT=UYwlh2S@OUPJqL26NEeu{z(c&xe@JU_y0XlSCvR#099noN@5VlmP)z|upDVly_;
zH8e))z~W8{m>wZNDeT8FoiUG*s}tvR2G;q_fy*>8L{Dxia`C!l<|XDRIOn7$=9S_e
zdPFH+unZ+ZGbM^)BRdk3h>iqxE@5aFP{BVbt+Y59|NI3?RJ>#2DpD;*U!I{_jFKW%
zi*ckyusY~U3@{sgejOo*Sc3tY$>xz_HnBjgHqzsgg8860AF@~{IUlqxsw7npTPIkC
zivzTL1hj|*vqA$+edCukf({>J(JRTt3Z59mA|=7aVq$29W#ZRJl8e>Y5bOM46li&t
zIe1MHPX!NT6%>{pI5hRc!T>22M|K{F;t~r=1ub3z4Rb)(*+JIxu}U#n7{k}B5V4Fd
ziq+6u*8-IG;gg2P7`fb4ixoi2c8bB%q2Y-|pv7qrj&o6F33x3LOcXqYt6B_Qd!>+?
zmz-Y;TE>u?q6b=1#$;rHyi`q$i^&*E#e}0TPnvKPi;1oY%HU`WmlSBI8JcHO6pBle
zL8C)yr8zmEe!moxktMR@2rYgDnGdQv;nSQRTx#K<@BpoQge*`>Ni0bOjkzXe<|P(Y
zDkK&qXJnS8f=8^4%*^1+jo6GWbWJP_kjFS}xx`>*MYy=SDHP?Gf@YY(fyiuRXsE>v
zS(I5?l9^K+#b#unYh;MxSuZYSYz8RgfR@=L<|yQ)mVnv{EK<xy29{V%GXPDD!@Y*f
zwBmx)<V;l43=OcDW(e{e+_VpaqCC~)5(R}&sscEGouSFX3R_Vs&&3TZEcFbqELAi@
zQDB6nT$JJBFfuc-&@(c}RyIm;u^E}@85m;I2`N#r%<)4@RAVge!B(QKW#m!_$p9@&
zf-JnvNGw)JN=?mEfUkvvG#$;14B<tF92ZwIX#HA2er6t)6;ehXTqgP%`MIh31x1O)
znaTR;iMgp@La(SauUJ1bw;(6pP(LKUz&AgoG$%Dazo0}fB^g@-h~3a!*U;Dkl!W0$
z&pbwOzl2qa(L{@_s5B3>BDs-~E7!FmH5r^66s!~wt1=aI(=u~X6%dxC6eWW!Oij@%
zDkxFVEl9#DSCFKjTU1biRj#O@0(+kZ?!={xgqBd3a3#>%jhM*|%ff6sE=kV-1?R+)
zM9`v>%wmPo0#M16l2`&Rk5~+ijE%I|lk<yG(^K=J*bOap4K0j8WdPi-v{=x4gON)a
zlqex9<cdKH;y`O|K}&2h^Ax}lstPKmSf!XvEzFQh=N?8bE0WS4N^%fjl%ha4W`J8T
qMzI>{8k(W3EhD|YL8KH+E5P*`N`1q}#pRlpq7amtoL`h8zz6_~#y_h7

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/phys_opt_design.pb b/game.runs/impl_1/phys_opt_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..4bcb93b41b9697f96036a5973dd87a355b5b823d
GIT binary patch
literal 2254
zcmd-I;o@=5&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQs&|>$fzui&o3y6Pf0D#
zOwTi7Pf1NnEX^sgf-$04O>_+njWZYuSSna1Ffs@*aV=rwa&RmuNzE-N$;?YvD9Kky
zPc2bMRLIFpPR%P$RY=P(Qb<cpEGaEYRZv$gR#!;OOVQ6SQb<WH%S?s{fgHnZY+#|q
zmYkoPo1bT-#Kq^CTac5Qo0?aWSdy8K#U(~ETpSh2=81*|1|?YB$!2J-YiweU?9eHU
zTn6s>C8RlwRf^fz(17X=v&>*9V69+<gkTDn5La+XVi7p}RErft5{t9JL1JiNti_$2
zpI2N`l$e=UQf#EjCF+@%S(2HUqYzq<l30?e5R#djnU{_=04#J34Nzk0Fe4WW7dYxs
zO<lyu)yo)?nVYJhQLJgDkX%rzV5?wYU<F2cMheynsX2)S#i=RC!iEZZ3cjhi`9+lq
z8oo}NRtg2FiP;La3P$Ee271PZAYJK+nRy^BdIkmt3f2l~MX9L@pcIywoS36vt6*Yi
zU;+~^%PcA>1&bOR8dw-&`Ug28H!yM)x_}d?LV12safw24YDsB<LUB%Fa<+m-xL>fQ
zLT0f-dQoa(NotWoNk(FxLVl4#Y9Yvil6(c#Vuie7J%!-x%z^??icQSPK{%_pGOr{f
zwK%g_A-|v`GdHseocxOQxCB_Gm<>%0ku!`W7pnotZ<wwHWfNlyP~gKOIf~2NFCXgC
zvdsJ(u(=9p`K5U&dI}*KsS0_iB{`YJB?{$<#R_@(B?`IuDVb@RsVRB_tWr#tCP)^8
zOgAz%LQX<aTw+0~IjM=oAP+%v9weKyN-<d&lahX;SPji}QQdxwk;`4RSiv(dEx%X?
z6n^1}MR}Qd=@5={QDzA^N@1d)91c?DT2z!@RIHGimz-akSCU$knxY3v)=WkgNS-kg
z<6<&5!3;tpQ7%RUN`<3XOms~Qk<(5hmy~L;LUKN+bSX(qQ7A4=PEIW@PAkpHsf0Mr
z64`M?m5CrrK(PTY5<R%o!a;!oDh|Q<Qz0d>BoS0JCS~R&7F8-F7A0q7mZgHCmf6V6
zOp6Va)bi4!*o-Z7O)LzMW7d{S3}#k@i>sSLQGO|?oB)R;vyq{p7B{4<E-lH-DUM<@
zGSD?LMDei~mohd36ms&@!LgQ?S^`SmEK<xy29{V%GXRxUaIfJqt+*gH8B{DGnPzB!
z#WX{Z=isKjXW}YSEk-YTREtrH9MxhRMGaUTw2%R_(MuSFAfkK$m47@^%qA9yLS2(f
z6cj?K3g7^ChNcZG1uUsgo{Jk?Dq0yB=oy+3P+)*ffeaT1tcb*-9e0spB*DdEVqj^B
zU6Zk)fw={yR1~NhG&cezPM!)LNNux`kqcbjs1`%2<BY^&g{0KfJcabsywsw^5^yeM
zm0~tCGK3c!a$H==8L7$H1^JnISZZ4%A1(|1jQreG{eq&z;>=|I^u*j$Frimenpdo!
znOl$(Z>S%VU*MacQks(*52^+73rh4-k_)gnn%&S`*U;Dkl#1cSCm$D=YhH>%P-=31
IQHlT~0ExDeg#Z8m

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/place_design.pb b/game.runs/impl_1/place_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..c7f6a8b9b62458e8b975fdb3f609fa5ae4dcd6d3
GIT binary patch
literal 25303
zcmd-I<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQsCk#$Vp62jZaA}&P>lU
zVoym;ODxSPv4Sz8SWR>d4UIDx3Ro&wCNMGxFmWwm<Z^H<DM`&OD9OxAS18F>NKY+M
zNL0wlOis-!PE|<DFH%TLO)M!bN>xx-Eml`Z%uCVFFH%TJEz3-X2!UL}Y;0hm#g?3(
zo133!q{PMNnOl&Pnwy$el30?NkHsZMGF%)L$>xcM1_mWq+{tEWu4`;!j_lAWj9dop
z`6Z+|j8%%+*wBFL4ztW)C}6E%g@j-LmrPJ;ULGj)T!Nex$}>wc6jX~9N-~O46H|)0
z1X!h*j7+qcQ;L#}M7bC(u=tkM$XM41<XO0xVO*jhv(hs2GK(`(Q(%UI4Kueyj&m6<
z4g&?(qN4nwVr(Xx=o(sDAiFk|%PAlyHL*BVp(r&iwFng9B^jv-Alr&k3-XIf6f_`o
zd`eNWCOG<Y^NUgyGV{{%i*muSsRwq3g#nT?zzNF|C0Gi$bdZB3u~;Edp`a)=IX^EY
z6O<-EHiObjVqOX?H5(Zr8A(KHW--w<GD1!xqfwEZgC#0LxrDfaOA?E~Ib5|^AtbRl
z8=Pqk4UDz8lk@Y6ONtUR^Gb@1q`24uKqW{KmbAoXXrXIpfKqtWayj{y=9FYY(mE&y
zq~;|i<)o&7(+zT2q)=K6l1@~}O{~bwEzMQPPXlEV=YY^+PzEtH(&7XwPs_<K$CXXk
zj16?n3=NS}a~T&8S3m|RHLDgwibQ5Z14C3liE%L*Dq#A@NRvwx;#URFyv&l!#GK43
zaPr1f8U^t>$YyxC*}+JJ)e>ARhI&{nx8zdAYPo`6YDrFJafw23J~+CFbIdy?t|Haq
zkj&gv1&v}&D~05OQUzO3_*yHZ<|Gyrr=~!-dJ4X&x%owv3L3snnpO%0sfpPT32TM)
z#LPSxJFO@+RiPlGvN$t2F$YDkEVHPj6e`9gz$3+MVu2`K^|+*9t}lkTULiRjR1cJ-
z>S2ojP|;;zWdK5$-UL+;U@0pD1MIR!=0*m3#)d{%WF@&+4fG7KYLwt&F)=hT#jeTN
z(9j5rc~PM1$Q)eM@Ko?XO8sIkCe>mA7I@VX#pMP`h@c23DryyK6r3|sle3FUbFCB%
zO_ME?jLcF^xG-IYT*4h?<YM6hr*zarzJ!shpAkI)z`{?@z)%4>B_PC&6|g4>SlBAS
z69zau6|5D|(*`IoVZw;ifg>Q1eKMM6QJQ8(bV##Pi7vB@u;o`bE+bEW1#sF1we0j2
zoOAM%vylayN;7j(AOb}SF5ng-W^%?>n!0kSy5#4jDio)dfGep87iWc%%v?~FfmA&~
z8Xm@`T5J%xC{|+&U1L+^3Za1!EnlW{1;g^Ckpk(?N6n-Lrbb4FDXEq;$)rZ6*fXi2
zB^5HMkvY~(YJjC6M9!qO#8z^~*b<B$mlR5hfYlDZ`6;P6#3hUjwCMEbvW7*cu>x+x
zQKQW$)z~1_(lC`K(Pm<aJ=%<os1R)?Mp&cG2uqcS9Boy^Mw<z?Xw&8rcZRhIA$h!5
z-#0P2C?8u71548=6)nzuxGZ3CW}<+@WU?ac2#(5dF(X$W?#d8HHXF$JN<<4W4=xjE
zh`|a|)F$d62ra6#9LP)Eg+!!oF)k*ob(jj5fO}4UQeqAyU_td5aRpHU5td4Du^3@*
z?kjTfy5;2O7Zv0rf=3F7vojhkSvYa&L6QZ?78LVP6GUQSvayk6nlVkvJ2PDQ$ASvw
zov{Vh^3Ko<Gr*9`yA~oFHb#0_6NM?4VrW51Vo9n(2&B!Cl$r(_^9c3{QV2*a$x!ew
zz&=O?>JX#!3iHuoER4$u7Gp*V1noeLMKgm`L(4QH0|K!~ULgo-4j{UQ@D70qH7YG*
zP>l%gC!ll)2t{Hu(UFL?v0=ic5RhM7q8m_@pPX6@9&O0XQ$Wcjn288mTQm<X4nw&d
zVR2|o&<e7mki1TvIgU=<K*nJOv85!|hKDAXD0<<n;GAESN?g2k6O(?VxY&&JOt2Ty
zR$OY3ED9|JoFJt@0Bj&6xH7LKBegh_$YxL(S~`m5@`R-$kOPRb3N<~MCz_b1B@rAX
z*pH)KG>?(16IZ*)7+WjWkP1b*A=XOXz`_zUR*{SJMiOEZYoTtyC4(7r3Z8k8iXZm?
zCrWuflab5KEx!~zM6KWx8sg`xP@IuikO~^SPAW=G%+>*oviXFDC}idpmn7yTrxt^x
zl8aJ7wF#02(2zN^sj0CR2WVUkl#oE9oLHLiAYo(7powBPHUf>lqI7m|F>*yZfn1@G
zQ(BUj3m$ZZ^o*?(K)vbYqRbNT5T0tWjzUR!z5=R1eo11E0!S(^wH#zZTABjH;gA7&
zRw-svGee>rjKd$q3q!qUVuIY4f5^lY=L!k>fW+crJy12AnVVUa3UZ%<YO$UI*z+*1
z4rsJ7DKihkPp!x-1`j2u7K5g&6ms*+FeD(6Vs3`&M?=ie2So}tVL2|Yywnnf{31}=
zz^)Bj7>IK*`-FyISC7paeCkOt9}>!j#wZg)-dvh~`3f1C=@|-XiFx^@B?@_|CB+J9
z;8dTPrvREAg3KpEhF{D~5y2J3YHXxyhLR@NGIFW-7l2YBQam|>^8+~AKxs|27;F@?
zks(^p<M2#gDwgIKC{D1cm*L`o<weX8j$$)5(ls(RLQZDSnYa@1Co>G^>nNn8=A@Qj
zBq;nT&BPEX=s?cL7T2O&jM#i7&&3T*Y00TMSjJ93#$nSZ!NoFA8o*&~ZjO>Vni;ta
z{PID=e5C~nWtsUo;29T4V$)Lqm8Ip0#R_@(B?<+pMW6}C)D%4d&?K&j2_n@Q>2gWB
z1P3StrKV>Vm!uZK$_21lIO5#Q+yFVwCsM^7)?Dhr8JTG%2$z)PD+FW~q~>JirIO*Q
zR;sv4pGz9eRnYuMLin^$#U-HezaU3nMDPrtLqaKZNIsXhU%o<eVqQunxTlCzNP?C~
zq*Uf5=4K}A7lRf&Br6o9rf25oDP-oAq!y(mCZ~eRRE46{f}G4`a4dk*w5btN<qFO$
zmL@1O=%WP_($W<p>Xl^RutqDGMrWf)n~gHWR@q2$u^Jm1SYTV?f@KEPiA$F&xHLC6
zv8WQX8URaU9l5vwt#^V=wj%cvoVavtNdR1kDO+Sy#JCtqmf6I}HHT4m@B$njI>*Gd
zgQ-RVrFv2z2Gl4hIHsherYJb4=HwJBDAXt@1f@cn)d&%2l)+T#`+?e$Af+z(c_j)V
z`K8GjV4a>NsYT$D5Mq!kbd`dFLJim84N+YjL3)apYYT6U0;m(9;GCbElnGu8Mnss_
zAgeI|2Mn@^A%+N47EJ<8Cqx!DrUwdAa8#9mmWMzMAc7*`HZ(gC2BJxzak08BEVZao
z!2{F-af9?g5T;>g(a~)v4NdH>K^3Kq+aSS+7N=;<JmkhFs+(ZEfpZ(o1-NNCy3Glt
zEr{Dma2Yzf4Yi#LcL8n&9o^;vZR7?+8o8kUSAL#?C%lpCmkR0%!5g_~r57X#BYOl>
z1S*RrfyTvJhJ=7ev!MEkp$LQ<#1bq9p-G^*%>?Xe<a|2xsxxvLLpf-J-N9V;h{0|n
z1uTs__(&}35O->_Ns3Xj5uqhE<P33}U>oA5#)Ow4XeI_@!V7Cd7`f4zj232ITxRev
zQ@}bAf*Mq2mgdQ37O9B@CI|;tP#IvG;3XJTF=#>M%%u+vD$IaFSynlM!idOa5m+Zr
zRk#FPQcDsuNnRE~<N`5c>@#k9TvDJNEtw?>;AP|r&iOf|xp@ktEH=WqKn!FhZo^S?
zlDTD4vY|<8$_U6w^~BB48e?B6VZbE=3)B4K5@<vzsDXMuL5{vePK;)uW#&LGTS#UG
zS&Gkilt?o&FgHj|Gf6TU4v}V#Ic$wwQ&bWgX;?G27MGY~QEH+>aCu?@O1B@=Qf%uX
zQqZEyo68&)UB(L74MvG5!z8m5Qww8bvk?$cM9o@DaIqNcVO;=W#3cuxJ^;0@iJIL2
zZ_7cMwZ_>P16hmT0+g6DGBYu^G%`sv9|18(bh&7XZON<wmrQVOVor`iFnDzUd37nC
za?w-)pYbS>W|(Z8mYkSmGNdXq1FY-*3@ivNPcJ35Tr|TLX=+?TL8-cl#h|^ipc+h}
zATy81Dl7r5OmycmhLwqC3YdnXMo?->Qc|jAO43luRk$LEz`{)8%R_T)F+}_F&>S&e
zi?zT-jXCpVLkkm2v*ZyFb2xik#tP`A+lURLPGZ)miE%Mut?O;LG(hWBA<NM~>(Eh}
zX5f`1&N-=xdAgwm#I@6^i7O02YY#CEG}7Uc06PcT{)W!ufTw6MRby)=QgaIz;Z40#
zTx=$Kpskjejx*Bdk_LMPzEce)WHB{h^Gz6+BOWu*b_}Ct00T=?BTF+2a~zXJ#MD_b
zTpVEEVed67aq&5Yy16TO<`t(Ffws~U=cPoVcY&*M31JS{;k3jUxu?=ZWR}3XDcY1v
z5z}6@u*T^OlooIUBbO_9NCC&r^o+{l`22zr=s_9yH>WcjTNofMKEiQ^188BHxe>?_
z@IxGSFmh?A7K0WwK^GLmX1i+?!u^76Rf`pB6hfd3(1H+SW8~$bvRs_5#U+`!i6yBi
zn4UHg;bOod3R>Q5re|b{ZDkqm4W{4)5oU%ci%)XVS~wwG4v-d(A@;#s<Q?>=`N720
z#5gS}g*LlU46qLB8t55NV_X+kqlZANw1t`L8Y4J|X2No)LS{;8UP)$JCS=JV>M|ld
zXiiQ|Q2^-z9qyu|5CmN;1Qk?pDatHMErQ4eXJ-}^q^2mOl!6x`!^=7Sp!`zsnpfxi
zytJIm<dR~n>Y=I8B{jV$F$G-0V^!vvlardBm;*bJ0Hg-A7LUoq811YEY)e6KEMugh
za1^VFnXaiB@+!Y1v^4C=W$K!j0@_rJrI-O7ih-JNO;bz~Qw$9V4KR_nd&2<7HmZS4
zxHx+?u*wLOJfVpdw7d;vq#a)EVHQis6$Cl65m<w205dpI?LK%Nj&*l}8J7}T`vRIP
zNpE;9W#r1hZWgS*fz&p73U2vD;N{%8pt0M;<mA#K&@KhgTJ5}a1*8Lh6bhgx1eNB2
z7A@u%mBd37f$~4InW2FeEBLS$&~Y(FII5c{&}l8^CMfx_1T8;CbGbw66iE6q0`IOu
zY7k=y64V@OU}2J)YDkmG!oim223NiTZ6Cxk;YpxkOhb!*UoJ~{{6pd%OYdVC#5j4O
zMdYBr3HF&9EiN&%LIK>HEG4otiF42&WF2;che1T)7=<J-$W=^i#~bVHj0%?kxB*uL
zYVtvjO~R}}v2{_28L<X;lR-0~m_{1uaY+RfW#*MAz`Gfs!x_?3i;3@2zG3B32PFnw
zun)k47}yL0pYO&h#bj)O+;W`9%GJrrr4UaB;6h%!Qv+K9;GCZab-hB3LU0D?EITA2
zg&M9J1((dC)MSwV6l%b`67usDf-|d9q04-*igDE-IS`o*6UHhAbD>{;QAq}}Yr$3-
zRv2Oxqs*@0{8GBvrQn)aT!PgPU=Jb@Aiq-PFNN?_+S`ShLBK5-GeeZUVmPO0O!Ppx
z40nDSv4vwCTAlC8WeBVDjUi1vq=Ik6h7yqn_LyLwHrD16cgxH}KGQ^jq{@DD^9yO4
zUktG9Z~>j)2HO0BrLBPN_`t!{oF}*4gX0K96G&qo(I`Of)S}F6pk(+YGZPC7gJePn
zo(zYe3q>mxZMZaGBU9kxSHVZLq6ChGNs@`Vp|J%GrXK0u)y0xWkcWt(xWs}|K_d{L
zkvix()S%Hv&~|+$3uD+WFk+6Gj$$=5*R=r6P{2p!k1=w&s}?JG=B4EqgHLY=Pb>oM
z*MV@HVMivwM8U~bwHSKDvO;QJa(*dj!Fy_o9_R>NCL;^vGmFKzn9Q(rf^qH6CTTb{
zip50N1T?__KZ7=oOG>pEbm~C?WHWkkX)@^Oo3zrLoJxq}P>zZwd^|YF22gtkZi5Gx
zS~w^~KqrGkjz&sJEJ*|%agmgn2imxoSd^TRS(XYO7&0<5gP+XEW^AErVu7;f+LlWU
zW>$oYtD8a*WUv|>jLb%chFaW^V+Kk~GINTf*o+KxjlhTf!X4wqrHsu0g`E6!@F^Fd
zExAzB3@ovjW&oPtAZS`~L27blVh(7>F6fv77Aa;ULjx?P8G<|qH|_nPIDuD_OB57B
zsS4l#c7`6lYlUrTg*+EGY?p$bp$TT|*9chwwqqhe2lT>@iN&HF_iPhrC$EX2F*ZBF
z8%RNC@WKwPN1R-bWdrF3MlOYrj8xDB8~9}UjKpGvq}0?rh4j=s(C$KT7G;%UHZwAW
zml<+gT*;tAcMI|}^GdKd+ndW=KO;XkRllGpu{bkXKRq!w6-?+AmF5-eXXX~<#2e~|
z<QMqnr<CTT#)HQ}Q}j}jv5l*+8=C7H8e4$!EWG%E46Lw9F`8(x6_w_Jj_vp0GI6a)
zO@>U}SiweIGxHU6(=u~X6%dwX=ELkODk#BT5y0))$jCLD5qgq)X1*2ZWHXe*p%Iaf
z_2eG|JwtOU9R347(-dQ%(9pn`3;RCYS&-vfQG%zAD+^2TfJzDIf!!G4gd~R)U<$eg
zNl1Z-MNt8cC0X!5ZN%cdRIWfQ&P&eED=Es)i7y82f7LBZElSESPQ`F2vMMB(5{TMe
uj9g1#QHyK>o=C<u+eD26o{T{W2s4fi4RORV9~T$sxRs#P<ou!(0Y(5yv2BY0

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/project.wdf b/game.runs/impl_1/project.wdf
new file mode 100644
index 0000000..2dda252
--- /dev/null
+++ b/game.runs/impl_1/project.wdf
@@ -0,0 +1,32 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3130:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76365f305f31315c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3332:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6636316632346539316466643436376561323639363231653035643630613965:506172656e742050412070726f6a656374204944:00
+eof:3861287177
diff --git a/game.runs/impl_1/route_design.pb b/game.runs/impl_1/route_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..2265bd2caf4628e8e8a58cf1b5825cfa77e98dd3
GIT binary patch
literal 15728
zcmd-I<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZQsCk#$}cTRjZaA}&P>lU
zVoym;ODxSPv4Sz8SWR>d4UIDx3Ro&wCNMGxFmWwm<Z^H<DM`&OD9OxAS18F>NKY+M
zNL0wlOis-!PE|<DFH%TLO)M!bN>xx-Eml`Z%uCVFFH%TJEz3-X2!UL}Y;0hm#g?3(
zo133!q{PMNnOl&Pnwy$el30?NkHsZMGF%)L$>xcM1_mWq+{tEWu4`;!j_lAWj9dop
z`6Z+|j8%%+*wBFL4ztW)C}6E%g@j-MmrhV=ULGj)T!Nex5{nfQ6$*+{lk@XZGC`gP
zg()at67y1E(Pm^sTC}m4=o%Rz2Sxyw46>c&nI#zts>KQ=8AYjyDaBj@tWr!yCR)rX
zMaf2@T#Oc2LYURaSl0*?z;J(rafyQ5pO%@IS)7rYq5w4%Y?!$vvV&!~I1ChAi;D7#
zim{n&qHAbrfgD1qTuuQwsfop@3Pq`DsYRdwDalAx0NGZQT998<qM!kx<5P-~HNi<P
zH@_%VAu}&6zbF@+g7m=7urNS!1~@TWqC`a)mk?KQNn#N=DXSJMgd`ScgHxxWfw2~M
za(-TMNl{{EUP-Z$G#7hNekn*D79X$~TId=Ypk$L;E+^m8oRUmP2!rA}H7_wKCp87^
zTI5`(P+AO<PE^QEtjNqQ%~i-x14WH<Kxi>2S`5v#IKj%(a`MY@MGl*>sjiW!333<~
zaPe>jWPrj@wHT85nGFpLQ9UKb#bl^}=^rCyE`F!d%$yX3pb!NYTtx%K(}u{YESif+
zwOD`!o_(CS^dL?!R6sLNp+><uBQ-g@xHQ*F!7wo~(a_Muz?=)yEy%_nVB})q0{aEk
z8}k{tx)?(;b5j*GiZ!hik_$=|Y!wU)tPBjSjLa0Q6;g8&3yM=y5Q0VudJ4X&x%owv
z3L3snnpO%0sfpPNwhBh(=H_}928IgO3h9ZNc?z})rY3rprsfLP3TZ{DsR{)dmBpFK
zi8%_k3dWYErZC~M%%YM~h^T?3u?c=plo1y%M%dy-lS>qo2vds`Jo7S3G81z$tH6mJ
z)5a()u~SWi%@SNJMtWG&gbtU4TV{nqNPa<nPJVi&f-_R)fK?ZWdIO8~X=sVVm&+28
zI6xNSGJ24v6AMEF)O2EDjx(JYfszwA`5>ng3rkDPSVK<iCB()S)|8^kB^XeYssK(c
z3eGvHiFu_3nD${yCGlv{<i=$LizXul3^PY={18*Vf^(BGww$cU#T#0Xl30?e5R#dj
znU_vn+~6!<jr5EaP|QP(m!wn^Gt;!xWCG>uK^*1l0!FTG>Xfe*MrL{jmKKQe)zH9L
z&&bpSR+6F=u!aUOVU&zxK_KIFGjhp!=9Q!t<))@&B0^XpxHLC6v8Yl(6;!P<8=9GD
zv4ZPWBQGwq8ijDbU|S<IJri?Fg&KtrzhGMfJp%&+1%(=gaF1YHT?4QyYZO92977X5
zLsR1#ETI_%s)$Vt&5$eNM6?X$!DRx?P>}42UYMgqoso&TL6T)sq6rqukV^}4q7GE8
z5nnZ07#r#t8d)MoorRu}1-ueOi8=$2*_dUXg(+q_NA?9Vc}k3n32Tm0=HiD|ir`=+
zI!_f6SI!z^uN9TJ_}p{ylM-`Cvor=RO*nJuL(&Au8dL*EaB{#|+!@2#Kd?|o2_!>H
zGYgYMV*>*k<QY)Wg^_2BaWyAN%QK*+DwaG$pgmbkMEVuuVlu&23#oAl1!WfKmKG>D
z=A|eEr9#R>qFQsML|7}q#bSaz+o*8~K~uSBNoo<e4Xa>)SvFv6pHNV|o9I~>VJ+VM
z^c@uxKxI9sc!zPYq#kU=JI?kJ$m^J%L`kVhsitP8sTO8ftU@jp$tm1vnNZ<nK5D&8
zAfd*hm3b~)29Pq(L;*7qj@)3XAtGamaWR=<%g_2;(k`hviIt!+H-+Hr)N%#?f|AT!
zl6r~6)WH&5ET-5qwjviV#0B6!M<|hfjwrOG;mD;6Ng5zqP|O>->7t5=bODYRto=c4
zE^+6a{N!wMQ$-3|40&^z!(zxt0f)&WH?D9Fb(ktZQZs017bAa;-0;dHB5jLtF_~d2
z^Ax#w1M-VY6g={CQWV@WD~M}F5LwEZ;Vk7~)+vA*FvQteM1(J;xY*3}3~}0u(#I#x
zR-9c8h&?DKqNc#aGy_vJOG1OP!=rIRQLEC@zyjN#fq|ZZsX44w2^&tp($vG&s>E3%
zf>I<(+8eoX7J*hL*>h<_>LfD-loDa&hEP5cC5{*ulR36>Rf&raGJ2ernFpF^NF}bg
ziA0MO2QD2*q?m*I>ZuAa<4|HHG1c6}DA{aebcBeU0kXgrE1F!QVW~x#X_cTULvVLJ
zMIkS>1lzPKmMNG7w8(MiGKNHsg#uPnheI5}$Cgn$tHzkg8M(_%OkFL;#bk*sj#Rk>
zT~Z73i!(v9#gJ4_Wc=W)t1T5UOhk#BR7>OJ6pPeBP*<Cn>lqq@Mt`9bsD?%+dPbJU
zu#tS!QDXAqhM1W#aV};<18k9_#w7%<9KdB;5lOQz?TlP9uEiypxrrsIDNvnAvkKq^
z2d3tjvkE49lr|7pjZJk;&5<V+_AqkIVhqWE7N-iJPJUjxLSAWZQfg7LLSj*>LQ*1V
z$~!+#0km=gTv8M%q{3Yf)sUE%m{VDtS*)kvmS3chsF0iwT82}S3LZd6OinH>0!^Sm
z6@!)t<)@|TC=@`~W|ZbBK$l@aRKyoUrdYshFPIIDU||7n;25JW9*ILMid?x2Aw`j)
z0i^auiJ;+-*vT6;bKsJLhJ-UTZc-G2OOumRi;L4rb8;#{sgBVIX^BV_D6yc<K+&Oz
zPD-{n!J6$Yjg0UY$x&QlL8+jW2des@tIZ(m+E}HSER5lcPKa1c7R734u4@5WuLEDq
zbc~V9UA0)jGcPT_SO>KJCOokSv_uQSaW2X%0WbW3iGnkTYB6+ClR|1<a(*dj&M`Gb
z543)W$;bj};gOLT7n22+HC3S1JXl7(NfVA@G0`<KM6SEyxTI8z;aNFFp%^8(ut+f(
zp)AfKya*6v1IlW$Crn&Ls>SFlZd8j=*4n5R<Cqo!tAkb#U^aNt1F2>}2qNli(6S#M
zDP|K3l*M`Aat*qA(F$8}4_bK!SqOw>))=&=5V}kVOLG7uYh(dQ*qA93v>?pT$V|_|
z2+Odykpvfuv84$%8A&cyV*{*dJqomj(Hy*NkEenMvQ*H6OD!Cf^gs(O!RrJRQW8rN
zLF?g?GV?%_*@;ET8JT6NdIGFc%tmHrT5OP#IEu~KLf6E?0J+Mr<r0IL72)FQrT_}N
z%)E4PdSx~;G}PjTtO6}9$;>H^Vly((H8Mm=S6*Do*bGp}$xjF84NyB2YMOy17Sjws
zOYh+8NO74~T#%ZanV17^)j~}(G{9n-A;@!Z)7}q?6sgH23JRfA1#kev3T&(iQ=W?(
zlJpD=^bD~~iyI*;FfhZC0A;v1@FY)3E>`f60~U?o#A%EzKR^<vAr>iYiE{%Zmjb8>
zqFM}D=$nyPtdNwNnx~MSng^QH1DA!YQp{#XhVa5!j*BZ9wC=AUKQj-@I$k4hE_3~i
z{M=Oif}+IY%w+xa#N1Rcp;uIzSFE3zTaXiPs2`GF;G3UPnv)t2O2nxtdMU}+W*XQH
z&2<fpEkKDFUd}IM1UEcbr5H`L*osQ?K+B+87`X~uD^ioe%R3dUV2zrTqGSc#w9K4T
z(4se}eJMrBFguG1N)&VplCVn_Bq`_?6@Zt^VbfWGWf%xKudZMuv~;_iD;e7MqNOu2
zQxA9%E$WJJJ1$Aj00rm7lEj?+bcM`fh0+302?bi+1S*$U42_J9wAhpLi&E25^P<=d
zEp-hoj6r1qJW^<}I{6kOmog}I7Nr)K=9CnJR@H*m4}+S<nRyD}XjLtSv{X$k%#dsA
zK1MEUk`f_mq7Yz|qC`7pkXtZDu^Q<bnxQO_jv=j*m*e6>Bo|C8qA;rXb6E1pMn<kV
zj94;CZfZ$JehOq+D0+rLk^?Q)#+`X^D8$T2I20BY5Xw~72xh7!j9mSU&~QSs2BQ=}
zlB2n&utXN-rVLjuRhRs{RE6Ty67Zlygo`t*Pl&XL9h};kj7{OK1Xg1UU1L+^f+vzo
z1-4JX7b#YuOZ!pw3z*~IFTiGGtZQhD(#CFL;xa<Zl0lH={K$4;%b{ilC{5O7j9l&{
z<xt!aCcr2~Eu%1F%7QV9%}CeK)Ckm8ftPkEq-9+-E+Nb$iD?&R7T$y<3r}U_YQUC-
z3-ZfTi!jnTSQK}bg-Am?{V-*i85W`pT2m9wraK8{({@I#VrY<n&8CyPv4lAdbD}q5
zmrz)0a!G!XZcb`(v4UfANoHAQNu@$SQGP*UI(X@no*uZN%w%e)#R?9PC{|-*T~koI
z2i`yq;4<cNgYDFTWpP3VgSxVea6?&)jdfAXJWk6#Gk64#;@&ZizBD+qp!kK1PBXaZ
ziU$WcmZX7EqxNITj>TN@Sn5-7R*5ezNh~QX#z@=9l6shJP!#EcBm(WsHYUv0@JmLn
zE7*z%NY4^B#;2g0n^+NFkXVvYtYB!MpbO29rFroBCN%}a?Wjh;N(@X-U{{A(vS3#S
zYIp0FCl=+!=jX+jW#;FAGl>F$peW)Jhs=dT7UTyc7A58uTS1$MOqPbQO4G=aOI0Db
zAT>2bA-yOuCDlqn*H8z#tRtkdAk|7CH#09jHxb)H1W<iz2}*YGx}b*38P7H`i2oEy
zAv*=|?h|7+G=<mephS;lxCuNcV2Eme6P84o#ubDmQD){P7eO{?=EN7JmKLXC#4d&k
zqzEPu_~~3uo(S_5KwI4uGK&>bGK;}`=JXW&@)eMbSAaMGG*}1fX+Y8*sIS3nVuZZG
zHHytd*U-QWG<F3KapHZP3|cT14;l`EE}+BkF@_4_eS8v2z^`HCnvN~!C6yM(7lT&w
sVM*2K?QNJ^B$p_l_EOPRU}h_H6@=^Tk(qh;xVT)w>!y?Qi&6v_0R`&$N&o-=

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/rundef.js b/game.runs/impl_1/rundef.js
new file mode 100644
index 0000000..5e3ae51
--- /dev/null
+++ b/game.runs/impl_1/rundef.js
@@ -0,0 +1,44 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;";
+} else {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+// pre-commands:
+ISETouchFile( "init_design", "begin" );
+ISEStep( "vivado",
+         "-log TopModule.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace" );
+
+
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game.runs/impl_1/runme.bat b/game.runs/impl_1/runme.bat
new file mode 100644
index 0000000..c51ae31
--- /dev/null
+++ b/game.runs/impl_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game.runs/impl_1/runme.log b/game.runs/impl_1/runme.log
new file mode 100644
index 0000000..fa18320
--- /dev/null
+++ b/game.runs/impl_1/runme.log
@@ -0,0 +1,639 @@
+
+*** Running vivado
+    with args -log TopModule.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace
+
+
+****** Vivado v2022.2 (64-bit)
+  **** SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+  **** IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+source TopModule.tcl -notrace
+Command: link_design -top TopModule -part xc7a100tcsg324-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Project 1-454] Reading design checkpoint '/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'pixelClk'
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1606.039 ; gain = 0.000 ; free physical = 4908 ; free virtual = 31886
+INFO: [Netlist 29-17] Analyzing 57 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2022.2
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'pixelClk/inst'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'pixelClk/inst'
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'pixelClk/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+INFO: [Timing 38-2] Deriving generated clocks [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc:57]
+get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2300.449 ; gain = 548.789 ; free physical = 4424 ; free virtual = 31402
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'pixelClk/inst'
+Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2300.449 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31401
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+10 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 2300.449 ; gain = 1034.520 ; free physical = 4423 ; free virtual = 31401
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.76 . Memory (MB): peak = 2364.480 ; gain = 64.031 ; free physical = 4413 ; free virtual = 31390
+
+Starting Cache Timing Information Task
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Timing 38-2] Deriving generated clocks
+Ending Cache Timing Information Task | Checksum: 14d4bc14b
+
+Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2364.480 ; gain = 0.000 ; free physical = 4413 ; free virtual = 31390
+
+Starting Logic Optimization Task
+
+Phase 1 Retarget
+INFO: [Opt 31-1287] Pulled Inverter vgaInterface/vgaRed_OBUF[2]_inst_i_1 into driver instance vgaInterface/vgaRed_OBUF[3]_inst_i_3, which resulted in an inversion of 7 pins
+INFO: [Opt 31-138] Pushed 1 inverter(s) to 1 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 1 Retarget | Checksum: 18dadb2af
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 3 cells
+INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
+
+Phase 2 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 2 Constant propagation | Checksum: 18dadb2af
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 3 Sweep
+Phase 3 Sweep | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Sweep created 3 cells and removed 0 cells
+
+Phase 4 BUFG optimization
+Phase 4 BUFG optimization | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells.
+
+Phase 5 Shift Register Optimization
+INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
+Phase 5 Shift Register Optimization | Checksum: 1ae9a062c
+
+Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Phase 6 Post Processing Netlist
+Phase 6 Post Processing Netlist | Checksum: 173c369ee
+
+Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
+Opt_design Change Summary
+=========================
+
+
+-------------------------------------------------------------------------------------------------------------------------
+|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
+-------------------------------------------------------------------------------------------------------------------------
+|  Retarget                     |               0  |               3  |                                              1  |
+|  Constant propagation         |               0  |               0  |                                              0  |
+|  Sweep                        |               3  |               0  |                                              0  |
+|  BUFG optimization            |               0  |               0  |                                              0  |
+|  Shift Register Optimization  |               0  |               0  |                                              0  |
+|  Post Processing Netlist      |               0  |               0  |                                              0  |
+-------------------------------------------------------------------------------------------------------------------------
+
+
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+Ending Logic Optimization Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Final Cleanup Task
+Ending Final Cleanup Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+
+Starting Netlist Obfuscation Task
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+Ending Netlist Obfuscation Task | Checksum: 1e32b2645
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2616.262 ; gain = 0.000 ; free physical = 4167 ; free virtual = 31144
+INFO: [Common 17-83] Releasing license: Implementation
+30 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2648.277 ; gain = 24.012 ; free physical = 4161 ; free virtual = 31138
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_opt.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx
+Command: report_drc -file TopModule_drc_opted.rpt -pb TopModule_drc_opted.pb -rpx TopModule_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/prasic/game/game.runs/impl_1/TopModule_drc_opted.rpt.
+report_drc completed successfully
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+Starting Placer Task
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 15c9b26e4
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4145 ; free virtual = 31122
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 105221de9
+
+Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.19 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4127 ; free virtual = 31105
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.49 ; elapsed = 00:00:00.32 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.5 ; elapsed = 00:00:00.32 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+Phase 1 Placer Initialization | Checksum: 12e30e91e
+
+Time (s): cpu = 00:00:00.5 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4142 ; free virtual = 31120
+
+Phase 2 Global Placement
+
+Phase 2.1 Floorplanning
+Phase 2.1 Floorplanning | Checksum: 1aac329f3
+
+Time (s): cpu = 00:00:00.65 ; elapsed = 00:00:00.38 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4138 ; free virtual = 31116
+
+Phase 2.2 Update Timing before SLR Path Opt
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 160e19f20
+
+Time (s): cpu = 00:00:00.7 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4139 ; free virtual = 31116
+
+Phase 2.3 Post-Processing in Floorplanning
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 160e19f20
+
+Time (s): cpu = 00:00:00.71 ; elapsed = 00:00:00.42 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4139 ; free virtual = 31116
+
+Phase 2.4 Global Placement Core
+
+Phase 2.4.1 UpdateTiming Before Physical Synthesis
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 17a45fbe4
+
+Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4112 ; free virtual = 31089
+
+Phase 2.4.2 Physical Synthesis In Placer
+INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 3 LUT instances to create LUTNM shape
+INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
+INFO: [Physopt 32-1138] End 1 Pass. Optimized 1 net or LUT. Breaked 0 LUT, combined 1 existing LUT and moved 0 existing LUT
+INFO: [Physopt 32-65] No nets found for high-fanout optimization.
+INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance.
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+INFO: [Physopt 32-670] No setup violation found.  DSP Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register to Pipeline Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
+INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Summary of Physical Synthesis Optimizations
+============================================
+
+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  Optimization                                     |  Added Cells  |  Removed Cells  |  Optimized Cells/Nets  |  Dont Touch  |  Iterations  |  Elapsed   |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  LUT Combining                                    |            0  |              1  |                     1  |           0  |           1  |  00:00:00  |
+|  Retime                                           |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Very High Fanout                                 |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  DSP Register                                     |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register to Pipeline                       |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register                                   |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  BRAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  URAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Dynamic/Static Region Interface Net Replication  |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Total                                            |            0  |              1  |                     1  |           0  |           4  |  00:00:00  |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 1ec4d2c29
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4111 ; free virtual = 31088
+Phase 2.4 Global Placement Core | Checksum: 1697c68ea
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+Phase 2 Global Placement | Checksum: 1697c68ea
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 179bc14ed
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31088
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2070ef4b2
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1b6d58336
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 2647924a7
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4110 ; free virtual = 31087
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 1c3fcab4d
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 1edbbe9db
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 17c18496c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 3 Detail Placement | Checksum: 17c18496c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 109529687
+
+Phase 4.1.1.1 BUFG Insertion
+
+Starting Physical Synthesis Task
+
+Phase 1 Physical Synthesis Initialization
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=26.291 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 14543fbd3
+
+Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to Illegal Netlist: 0.
+Ending Physical Synthesis Task | Checksum: 15d4ad102
+
+Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+Phase 4.1.1.1 BUFG Insertion | Checksum: 109529687
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Phase 4.1.1.2 Post Placement Timing Optimization
+INFO: [Place 30-746] Post Placement Timing Summary WNS=26.291. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31085
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4107 ; free virtual = 31085
+Phase 4.1 Post Commit Optimization | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4107 ; free virtual = 31085
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4.3 Placer Reporting
+
+Phase 4.3.1 Print Estimated Congestion
+INFO: [Place 30-612] Post-Placement Estimated Congestion 
+ ____________________________________________________
+|           | Global Congestion | Short Congestion  |
+| Direction | Region Size       | Region Size       |
+|___________|___________________|___________________|
+|      North|                1x1|                1x1|
+|___________|___________________|___________________|
+|      South|                1x1|                1x1|
+|___________|___________________|___________________|
+|       East|                1x1|                1x1|
+|___________|___________________|___________________|
+|       West|                1x1|                1x1|
+|___________|___________________|___________________|
+
+Phase 4.3.1 Print Estimated Congestion | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 4.3 Placer Reporting | Checksum: 1084ee129
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Phase 4.4 Final Placement Cleanup
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: b64880c0
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+Ending Placer Task | Checksum: 84b47138
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:02 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4108 ; free virtual = 31086
+INFO: [Common 17-83] Releasing license: Implementation
+65 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4134 ; free virtual = 31112
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_placed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_io -file TopModule_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.17 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4125 ; free virtual = 31103
+INFO: [runtcl-4] Executing : report_utilization -file TopModule_utilization_placed.rpt -pb TopModule_utilization_placed.pb
+INFO: [runtcl-4] Executing : report_control_sets -verbose -file TopModule_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4133 ; free virtual = 31111
+Command: phys_opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+
+Starting Initial Update Timing Task
+
+Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4104 ; free virtual = 31081
+INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. Skipping all physical synthesis optimizations.
+INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified.
+INFO: [Common 17-83] Releasing license: Implementation
+74 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+phys_opt_design completed successfully
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2720.312 ; gain = 0.000 ; free physical = 4099 ; free virtual = 31078
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_physopt.dcp' has been generated.
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs
+
+Phase 1 Build RT Design
+Checksum: PlaceDB: 4a9e9a15 ConstDB: 0 ShapeSum: 3a15d723 RouteDB: 0
+Post Restoration Checksum: NetGraph: fcfe40d0 NumContArr: ada2d337 Constraints: 0 Timing: 0
+Phase 1 Build RT Design | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2777.801 ; gain = 54.957 ; free physical = 3955 ; free virtual = 30934
+
+Phase 2 Router Initialization
+
+Phase 2.1 Fix Topology Constraints
+Phase 2.1 Fix Topology Constraints | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2810.801 ; gain = 87.957 ; free physical = 3921 ; free virtual = 30899
+
+Phase 2.2 Pre Route Cleanup
+Phase 2.2 Pre Route Cleanup | Checksum: 1aaa11407
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2810.801 ; gain = 87.957 ; free physical = 3921 ; free virtual = 30899
+ Number of Nodes with overlaps = 0
+
+Phase 2.3 Update Timing
+Phase 2.3 Update Timing | Checksum: 1be465fec
+
+Time (s): cpu = 00:00:26 ; elapsed = 00:00:22 . Memory (MB): peak = 2826.098 ; gain = 103.254 ; free physical = 3910 ; free virtual = 30889
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=26.479 | TNS=0.000  | WHS=-0.254 | THS=-14.153|
+
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0 %
+  Global Horizontal Routing Utilization  = 0 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 381
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 381
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 2 Router Initialization | Checksum: 2470b9ba4
+
+Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3907 ; free virtual = 30885
+
+Phase 3 Initial Routing
+
+Phase 3.1 Global Routing
+Phase 3.1 Global Routing | Checksum: 2470b9ba4
+
+Time (s): cpu = 00:00:27 ; elapsed = 00:00:22 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3907 ; free virtual = 30885
+Phase 3 Initial Routing | Checksum: 19684a300
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3906 ; free virtual = 30884
+
+Phase 4 Rip-up And Reroute
+
+Phase 4.1 Global Iteration 0
+ Number of Nodes with overlaps = 21
+ Number of Nodes with overlaps = 0
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=24.829 | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 4.1 Global Iteration 0 | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 4 Rip-up And Reroute | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 5 Delay and Skew Optimization
+
+Phase 5.1 Delay CleanUp
+Phase 5.1 Delay CleanUp | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 5.2 Clock Skew Optimization
+Phase 5.2 Clock Skew Optimization | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 5 Delay and Skew Optimization | Checksum: be565e86
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 6 Post Hold Fix
+
+Phase 6.1 Hold Fix Iter
+
+Phase 6.1.1 Update Timing
+Phase 6.1.1 Update Timing | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=24.908 | TNS=0.000  | WHS=0.057  | THS=0.000  |
+
+Phase 6.1 Hold Fix Iter | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+Phase 6 Post Hold Fix | Checksum: baf05697
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 7 Route finalize
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.0907864 %
+  Global Horizontal Routing Utilization  = 0.0622336 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 0
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 0
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 7 Route finalize | Checksum: ae742c66
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3905 ; free virtual = 30884
+
+Phase 8 Verifying routed nets
+
+ Verification completed successfully
+Phase 8 Verifying routed nets | Checksum: ae742c66
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2831.129 ; gain = 108.285 ; free physical = 3904 ; free virtual = 30883
+
+Phase 9 Depositing Routes
+Phase 9 Depositing Routes | Checksum: e93cd8e7
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3904 ; free virtual = 30883
+
+Phase 10 Post Router Timing
+INFO: [Route 35-57] Estimated Timing Summary | WNS=24.908 | TNS=0.000  | WHS=0.057  | THS=0.000  |
+
+INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
+Phase 10 Post Router Timing | Checksum: e93cd8e7
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3905 ; free virtual = 30884
+INFO: [Route 35-16] Router Completed Successfully
+
+Time (s): cpu = 00:00:28 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 124.293 ; free physical = 3944 ; free virtual = 30922
+
+Routing Is Done.
+INFO: [Common 17-83] Releasing license: Implementation
+88 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+route_design completed successfully
+route_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:23 . Memory (MB): peak = 2847.137 ; gain = 126.824 ; free physical = 3944 ; free virtual = 30922
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Write XDEF Complete: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.06 . Memory (MB): peak = 2847.137 ; gain = 0.000 ; free physical = 3939 ; free virtual = 30919
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/impl_1/TopModule_routed.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx
+Command: report_drc -file TopModule_drc_routed.rpt -pb TopModule_drc_routed.pb -rpx TopModule_drc_routed.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/prasic/game/game.runs/impl_1/TopModule_drc_routed.rpt.
+report_drc completed successfully
+INFO: [runtcl-4] Executing : report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx
+Command: report_methodology -file TopModule_methodology_drc_routed.rpt -pb TopModule_methodology_drc_routed.pb -rpx TopModule_methodology_drc_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [DRC 23-133] Running Methodology with 8 threads
+INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/prasic/game/game.runs/impl_1/TopModule_methodology_drc_routed.rpt.
+report_methodology completed successfully
+INFO: [runtcl-4] Executing : report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx
+Command: report_power -file TopModule_power_routed.rpt -pb TopModule_power_summary_routed.pb -rpx TopModule_power_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Running Vector-less Activity Propagation...
+
+Finished Running Vector-less Activity Propagation
+100 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
+report_power completed successfully
+INFO: [runtcl-4] Executing : report_route_status -file TopModule_route_status.rpt -pb TopModule_route_status.pb
+INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file TopModule_timing_summary_routed.rpt -pb TopModule_timing_summary_routed.pb -rpx TopModule_timing_summary_routed.rpx -warn_on_violation 
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+INFO: [runtcl-4] Executing : report_incremental_reuse -file TopModule_incremental_reuse_routed.rpt
+INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [runtcl-4] Executing : report_clock_utilization -file TopModule_clock_utilization_routed.rpt
+INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file TopModule_bus_skew_routed.rpt -pb TopModule_bus_skew_routed.pb -rpx TopModule_bus_skew_routed.rpx
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs
+Command: write_bitstream -force TopModule.bit
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a100t'
+Running DRC as a precondition to command write_bitstream
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 8 threads
+WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings
+INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
+INFO: [Designutils 20-2272] Running write_bitstream with 8 threads.
+Loading data files...
+Loading site data...
+Loading route data...
+Processing options...
+Creating bitmap...
+Creating bitstream...
+Writing bitstream ./TopModule.bit...
+INFO: [Vivado 12-1842] Bitgen Completed Successfully.
+INFO: [Common 17-83] Releasing license: Implementation
+11 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered.
+write_bitstream completed successfully
+write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 3175.145 ; gain = 233.242 ; free physical = 3916 ; free virtual = 30901
+INFO: [Common 17-206] Exiting Vivado at Tue Feb 28 17:03:00 2023...
diff --git a/game.runs/impl_1/runme.sh b/game.runs/impl_1/runme.sh
new file mode 100755
index 0000000..1ec2fa2
--- /dev/null
+++ b/game.runs/impl_1/runme.sh
@@ -0,0 +1,43 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin
+else
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/prasic/game/game.runs/impl_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+# pre-commands:
+/bin/touch .init_design.begin.rst
+EAStep vivado -log TopModule.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace
+
+
diff --git a/game.runs/impl_1/vivado.jou b/game.runs/impl_1/vivado.jou
new file mode 100644
index 0000000..578a1b8
--- /dev/null
+++ b/game.runs/impl_1/vivado.jou
@@ -0,0 +1,13 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Tue Feb 28 17:01:47 2023
+# Process ID: 238972
+# Current directory: /home/prasic/game/game.runs/impl_1
+# Command line: vivado -log TopModule.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source TopModule.tcl -notrace
+# Log file: /home/prasic/game/game.runs/impl_1/TopModule.vdi
+# Journal file: /home/prasic/game/game.runs/impl_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 3077.891 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source TopModule.tcl -notrace
diff --git a/game.runs/impl_1/vivado.pb b/game.runs/impl_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..8ed3be766b9e3055f422e72d60c1a93d142df5f0
GIT binary patch
literal 149
zcmd;TVB`{Ut;j6N%u82LEmlY@QBW<`)6?S;V3lGvGBDF(OU}>D&CfHE;$jQSEK5wu
zH)2moO-n4zDY1evjC8mpLP}E=+)|Sij4Tuk&8-ZKtqcqlj0}v7F;z#g8Jg=F8JJ}-
e6tGsXPGDpZVB+HA;&RPPQ3y&+&M!(4U<3e#xF)jz

literal 0
HcmV?d00001

diff --git a/game.runs/impl_1/write_bitstream.pb b/game.runs/impl_1/write_bitstream.pb
new file mode 100644
index 0000000000000000000000000000000000000000..9e20f7dbb93f60679d0a2534396144ca00aac232
GIT binary patch
literal 3219
zcmd<e<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZvfxrFFUl-QjZexfDK05W
zP0Ur$P0KGzPE`oWFYwJzDa}dMOUf)UVoym;ODxSPv4Sz8SWR>d4UIDx3Ro&wCNMGx
zFmWwm<Z^H<DM`&OD9OxAS18F>NKY+MNL0wlOis-!PE|<DFH%TLO)M!bN>xx-Eml`Z
z%uCVFFH%TJEz3-X2!UM4Y;0hm#g?3(o133!q{PMNnOl&Pnwy$el30?NpNHuZBN;A^
zie&RdLjwbB?qoAG*EKdVM|S8GMlJ*Q{1Va}#wx{ZY-m7rhgoJY6tGsXLPD^DODCu_
zFAo%YE<w%;iNy+u3I#=}$@zIHnIO-D!W0xRiFql|=wvZ8HnG&=EXYaBbIeFhOfgdC
z;zx^6%n*xWH#E`(X+#bbJ1$Aj00rm7lEj?+bcM`fh0+3$bt#D@sd@seQY?l>#ztD~
z$@xX8>8W{9?1q-Qh8D&st`FdnL3Vw4W=V#EYOz8|Mp0^FN->uJs}z%wi57E8QL>RJ
z7o!Chcd;57>l%Tg3LYY-*|?h6d^3xSL1E(T=I#_03`#Z%&i;OGp6>Bs{yrg&?yd?h
zsl}P;c?tnV`30#(C7G$kRtkQpnI##iMG7SusR~eydHF@?8q4x?N)ppk6$&6aD?uJF
zPAyT$%mZsoE-flb%`1sd0h_O<px~UJmzJ4cS_Dpu3Q381*$OZtic@n^lS>pdAeLz=
z<dznfC?usSfQ&22R|s=<_E*R+QgHWk(ZS{gH02;?C+8Oxfs9N>u@q{b4#<u9MJXUJ
z<}0M6mZTQtX6B`Wo$aabk8oCLK|y{|2{`wG3@ymaD+Xx=yWK!fLBX>G?CH|<^wi>#
z)D%#p7Z;=^XQowx%qmV*fCgkKI1WHYsJo_QmMFMDvMnOa)fLi8^OC{o6dHW)p`IWw
zr(}Y>ky8n>7NkGI#aSUOGbdF6VPsl<PELL~D3XgS^GXscthl&90T&OC7f7folqKer
zrWz_lqee_Dmx6M6Mru(iOg^(1=4zy1$1oB#4S|g|B4D%;D6Bw!fW`tS;gn^jK!Oc5
zo*)6t#TAsA28kn(HfULgs<N~=wMZeoG&2QKM&{-hr7C3RrR5jpf|Hq^YOw&D7PCu`
zvylcDgMgfrfJ=~bO+aRzLO@O;xU|5`N*0V!9FQQ>HOycrV5?w*lrU*rqM(u`Ei*5(
zI3qPh0h&ocnUlrP(!dnCDAC{&F;H+VD#|Y^)=@B22u~~ml}yE$mVwI?V?#@n(jb$|
zDIg~`u{c$s2sr>iwil&>Q=|rjj!!8{)&wU{43EQ{WrpM|h-F3w2FL|xCYL6x=s_#}
zU_OKuLwXQ1%}ouoxFNZ^v?Md97*~nJZe*ZqWMpoHY?(cmm`{FU3MjFrB$gzClX9`1
zo*t<3Vlgx_H$*WR>|R4dGgH*URupDLab`)X0>~UB6O6H%04f;al`WDZit<Y_jWEJ$
zgc-6U?774Oit>|FA%$yx0VwAp{9$Z{%?MLN6J#T7xP+aHQWL@TeNtvgZejt#7bce2
zoL~Y<j&NU?bBQ7AhqOSTMlhOdal%_AQ7k3~x+npVz$Fo0lnJvLqEkT?=6)taLnPgx
zT2W7*aEr!3*AT^dZd~$CnI-9|c?!<?pjHT|cnmI01_fDKX--ZhG%=YOU?fmO3lo$y
z6U8MKlnTlYpi~2G5J8$`tWr!C#-ubWqF4>hbuB<GFL*6^jFHP-wOGM3FD<`V2UO?6
z@+z3)3<{>?#2k1I23J3-#n8O1keZjAUz%5vT9ldsPQy$_7RXIRF)k)UL(EJM$}u>E
z4X~@mA{@nHqKld$61k*QixraL!Cj0L+@K7`WMql#IHH<6AWJ~80Z(?%nYfBni$gMV
zQx!CdHLVnq3rZDiLE&btkeZWNP@I|q;p!>)rsn1sRVrxsI%!%d6r?6*LnN#f(i1cD
zVC=M_)KrCnjLPCnP$)wU0t=R97L}AH=71G)3GhfUn^+*4kg8mQXoZ!P0+x`I;o>kb
zure^P!Ws(*Su71IP}A7h(A-qd(8Lsr$<kcxM#jc^MkYpBBqg|5j4ch#u*gVqu^Jm#
l8eob1C{SbD+z1rbJQX~U8i$XI%QY`WAt*IDzbHk35ddaW!~_5U

literal 0
HcmV?d00001

diff --git a/game.runs/synth_1/.Vivado_Synthesis.queue.rst b/game.runs/synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/synth_1/.Xil/TopModule_propImpl.xdc b/game.runs/synth_1/.Xil/TopModule_propImpl.xdc
new file mode 100644
index 0000000..5600970
--- /dev/null
+++ b/game.runs/synth_1/.Xil/TopModule_propImpl.xdc
@@ -0,0 +1,67 @@
+set_property SRC_FILE_INFO {cfile:/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc rfile:../../../game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc id:1} [current_design]
+set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property src_info {type:XDC file:1 line:66 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T8 [get_ports {led[0]}]
+set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V9 [get_ports {led[1]}]
+set_property src_info {type:XDC file:1 line:72 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN R8 [get_ports {led[2]}]
+set_property src_info {type:XDC file:1 line:75 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T6 [get_ports {led[3]}]
+set_property src_info {type:XDC file:1 line:78 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T5 [get_ports {led[4]}]
+set_property src_info {type:XDC file:1 line:81 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN T4 [get_ports {led[5]}]
+set_property src_info {type:XDC file:1 line:84 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN U7 [get_ports {led[6]}]
+set_property src_info {type:XDC file:1 line:87 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN U6 [get_ports {led[7]}]
+set_property src_info {type:XDC file:1 line:90 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V4 [get_ports {led[8]}]
+set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN U3 [get_ports {led[9]}]
+set_property src_info {type:XDC file:1 line:96 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN V1 [get_ports {led[10]}]
+set_property src_info {type:XDC file:1 line:99 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN R1 [get_ports {led[11]}]
+set_property src_info {type:XDC file:1 line:102 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN P5 [get_ports {led[12]}]
+set_property src_info {type:XDC file:1 line:105 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN U1 [get_ports {led[13]}]
+set_property src_info {type:XDC file:1 line:108 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN R2 [get_ports {led[14]}]
+set_property src_info {type:XDC file:1 line:111 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN P2 [get_ports {led[15]}]
+set_property src_info {type:XDC file:1 line:191 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
+set_property src_info {type:XDC file:1 line:197 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN F15 [get_ports btnU]
+set_property src_info {type:XDC file:1 line:353 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN A3 [get_ports {vgaRed[0]}]
+set_property src_info {type:XDC file:1 line:356 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN B4 [get_ports {vgaRed[1]}]
+set_property src_info {type:XDC file:1 line:359 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN C5 [get_ports {vgaRed[2]}]
+set_property src_info {type:XDC file:1 line:362 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN A4 [get_ports {vgaRed[3]}]
+set_property src_info {type:XDC file:1 line:365 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN B7 [get_ports {vgaBlue[0]}]
+set_property src_info {type:XDC file:1 line:368 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN C7 [get_ports {vgaBlue[1]}]
+set_property src_info {type:XDC file:1 line:371 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN D7 [get_ports {vgaBlue[2]}]
+set_property src_info {type:XDC file:1 line:374 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN D8 [get_ports {vgaBlue[3]}]
+set_property src_info {type:XDC file:1 line:377 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN C6 [get_ports {vgaGreen[0]}]
+set_property src_info {type:XDC file:1 line:380 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN A5 [get_ports {vgaGreen[1]}]
+set_property src_info {type:XDC file:1 line:383 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN B6 [get_ports {vgaGreen[2]}]
+set_property src_info {type:XDC file:1 line:386 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN A6 [get_ports {vgaGreen[3]}]
+set_property src_info {type:XDC file:1 line:389 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN B11 [get_ports Hsync]
+set_property src_info {type:XDC file:1 line:392 export:INPUT save:INPUT read:READ} [current_design]
+set_property PACKAGE_PIN B12 [get_ports Vsync]
diff --git a/game.runs/synth_1/.vivado.begin.rst b/game.runs/synth_1/.vivado.begin.rst
new file mode 100644
index 0000000..3a302b6
--- /dev/null
+++ b/game.runs/synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="prasic" Host="LikeUE06" Pid="238709" HostCore="8" HostMemory="16307816">
+    </Process>
+</ProcessHandle>
diff --git a/game.runs/synth_1/.vivado.end.rst b/game.runs/synth_1/.vivado.end.rst
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/synth_1/ISEWrap.js b/game.runs/synth_1/ISEWrap.js
new file mode 100755
index 0000000..db0a510
--- /dev/null
+++ b/game.runs/synth_1/ISEWrap.js
@@ -0,0 +1,269 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/game.runs/synth_1/ISEWrap.sh b/game.runs/synth_1/ISEWrap.sh
new file mode 100755
index 0000000..c2fbbb6
--- /dev/null
+++ b/game.runs/synth_1/ISEWrap.sh
@@ -0,0 +1,84 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/game.runs/synth_1/TopModule.dcp b/game.runs/synth_1/TopModule.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b35d7f037deed1c41aa380343059967096c24e1c
GIT binary patch
literal 114930
zcmWIWW@Zs#U|`^2Fzkp43lg~(vV@U=!GeW>ft`VYAtkv$uOc_6cgpF!M+OqD*AE9r
zM4r`|WtG_w#3<UiaLFmwr<YD^8Fd<0sNK*g)TsU4_GnwyWy#d^pL0I{75A2lm*2*E
zWAdg&b9_a7TTgx6V!q8x<?rstQ#cF0uj>_g5OnNxC)3o-_s4Ja<g%;Ay_xlyp`yZD
z?bOkP6}@_<PyejYPde@y=NrWPzA^H$67wZC3+C=gO!lG<#tbIwel1?Tk1<AsQTEj@
z&dppkBGD65?p(AzXK_QmG?d+*{n*V}UGbN?ycKKPOFB$BT%-Q(yU=TVGw4WpP`;nu
z`BzPISX{LC=$%+2cIVq!tMjv0E)||9Q>Xjq&Lf`*hogB{vY75x?3ofV!AEP;9ig>c
zIz@4L_UdwB>yl?5)Tx;A{j6(}qW8OAF&3BeyB}})e4y;lY?Yp*;GefDgF36$zMCEX
zFw!OdG+*0c#+HIB`ID!e_^F>ZS@f>Q!=i1owrgZ<J!pNY*TDVTB3^~ad%FJ~Y(30=
zO*gwb?1EqLv}t!gG0xihIJIr}(tPLp-us+Yc%A+Rf9wmsvpE0T_ba9K4;PE;-v0S&
zX6IRZ&c~no?Gtak-pha*ky%cPS92H`7?v?GFz_=lFofh6_~xgS=A`NsSLT&uaDPZk
z6HH7<c#v@6eFtZ?RpmP~O$C3Z4%bEtWBr{PJB<A8RrVh+w_j?a9;$Dv@A`X*`-=AE
z?=0tkKB!)D$i#0R|8<M!)z6+dtF<xYyQvAL-8t6Cpe&G|$+B^Sl-z@}r`Q6Iop`{r
zr1sz}G>0v&d-1T5je+5l2m=Ezio=Rh66Z!l7vFXfQCoO<l4@(>ZN3LHjndfKZXen8
z>zby>@jmaU_#o@XX{R$%GL!WuZk2j_s`TZTiSrM}KhXbhD*jRL;;D}<R)*fJ^H}8Z
zyykc9?)!3cLl28>yPC4_YW9^`a@BT<i5nLzl0De8$6f8n>A#;vZ&{`}&fYoEy@<7B
zx$ED}Y!6FbME{=mb`I~2DFrp`dxbA(etdOL@?PN{<JXpd4+j<hzw7$`qxe1v)0OU4
z-z1cxGotk$Ejz@t&}OOJ*X5`BOOkf`TnvrXf6>J~M?}HN)-0srg~mkx<J*Ldmfo{{
zDI@=7&Es8H*Ili?dx*vS;<km4-kUpS&)?Gb+(P1Q*`klEr{5+88tLX9`|_YO^!>K}
zti8=YIU3S3Ze}Q7w{Cw_a%SoT4r>`9#g|!Amq#sSF#N%I>(Yn4B?j+WOavzA3Eg2i
z_scL%m9ftuM$+EP-9!AkO-|X`W1ee6^Gjd%KWDnF5OAD-v%qH7Z>k61Uzpan`ejBY
zSHGP7l%M^M39Rx<m{U03*uRdskt4rF@<$z;1n-nggT8v5<E2v~UcT9NK>df^Eu#WU
zStZvAhmScXmF(TM@M88?ZdK-hq(|{n1*L^mIdc7EQ#qzh-_Mo!q}Vkt!aJDD;9SlG
z+q)~Kbia!0o;Pta^H!GCY1K_mw`$f$$G9|CJllI?&NHiLOZF)&%&(s2Y}3ztvf5!&
z$61j{3Va@$G)g$wXW3P*>*;tqDPZ02+AX=Oc5JE269^Iu`skD<elbjN_3GgJ_L*|b
z58pQwaE6D;moQ8%me_Qn{OJaT8&|Fg@^C*r&+@-vo7awcem}S7PT%q9PtroQ8g8vq
z2^XhETAcZOV9A;3FH;2;Cg*<ra`M8oPX3EwlJD2AU2rW!C(1gqdhw=nw>C41Z+kqk
z_-EQ4=kRm$_KT-h3Fn3u<O+-ao&1+o@S|49^@W9cPSan81|%jaHy?Lexhvv7d&ce+
zPG@87J}teqAar%x6-L*FU(Vj|{@m!g%DZOA{ncC7);-Qh6l&;-jG4?OzHVuzYVkVP
zXki78<4K3sCY)QaMU7!i^ZQ$go0ccDeU#3TZIEnEF?+Z)dD_FJoB0~#dmoBEa4_7b
zkhsQ^DKEk-<jBuuE0*jkv}{lacDz|rQ{4RVA>&+q=ThH>nd*~ETlRSeUw^e*%(wDJ
z<&9b23mVEeGHxvsv)`9e_+t8&ufLX_jxAUnovm}gasOh;n}7YZ?zjfqG8>ySRPTL1
zH8e3geDmwyo31@ADm)UqAoNIkq{sS;QTH}7ZFP6>J>08vAoa>Rv9%K3+NsI9>f3MR
zs@=N~{=v;^z4yMO><(*t<Wmmrb$fg2wQ1YR9Hz|$muC6ynrIui@{!oKiFf+7_Y_S_
zjLnoUV9%KOquqDGwA_<-^qe*seQnjPcz6Hxi*t?X{1F-4k4`zO&DmY;|7+*|^^2u@
z|4n4Q^dWf5(nodwQZL;rW#2FCuEF?NZ1VJL+}f5pQ+2W;U#%8p-Wp!{$9Pws)T$`M
z4}oRV>`tHJVW~Lqk15vN#CQF)k9YrgXT0KjT=(xv*@Kb;i+<diwZbTXT_|d2%-RR~
zpY?y<__pWWn(|1N`R5MkKM#2<qhB{+E_2J5RZ$l&arRC+kgu>INUK@aey`HD$oZ~W
zPZ>pxcCZ><-Ly`s;=h-{mxDT6CPhEHv@^O#!)uG&0?Dgck~-z3dXpY}-j>JrW7&e!
zGmc7p{uHw`VV|bPL6gLP-b=Mgl;YEWJI?(X6m_T})jPiNatiB~8`DK)HR?AC{aIb}
zu6T=e$9|i)n_n)hKXqxJ?cYk?w`yw}we7<bd-8(nURWzi3di4&Yumf6JMT*a|D2S^
z|Lq)hA6K@xwaZ4n@KKV*F+1n$2^oF!-<&P8TQE0h{*#COvXzhS?TxQd(~%QspBMD#
z-?aPP_Vb=TPjCJcm(Z>>f4)^}ba3dy4+|I0u8xws@ASUS#mGi!Ve|Txtf4}y*e_Zx
zj1~_{J#+ht{ZaFaecsM9#H|#6ti2O|`^C3Ke%U@OTN-5UyUKqOjA*lMzw}91bn&bP
z_GS-(J$siQRqoZ`ynQy$=8|tovO~MTiKmBUcHLEMIv$xjBYJ!0ZylkN#xqn`gnzo3
z?9^oO+xW}L8BO-B#!7i-OMhQnAT6L#s`ICFSJD)fq%GVjx4xM;PX2D!*0|_nr~D+v
zrw_Ov$!!#1V+wfi;KrPi85wT#t|#`U9810RJHtJ%z3zqT4SB^g53SU$D$nT<lMYg^
zo3y+0UaL{|!B~%H|Cs|&T0^x4*V>qw85qKJ(Ce!5l(f51Z}aXb2>iLf+;B~#-95FB
zk}K<_R!;r(XKrM?Uf`4!wl2;a^wcG*XU;X;u)E;r-v58T{(b3Qct0fhg6#YS(Hl?8
zxNeSf>dpT0s>D5d>!V+b^ww2`mGVc&6sR9~HUGDWsELhT<TWM7Ejrx)?4~!r;*wkF
zAtL$2Uhm8MmUHbtzJJjda{IacyM4+RWgo-u*97-%u9}f8Xn*Lpm~+fg$K6K?|J6>^
z$(&PvaF4X{ulD~_3)3I`=I_~^H>dv0Ka;dSuRp(<tXOESwW+V9(`JWy;oj4~>rd>_
z)%o@8o*SF&=6vnux}V#pI<Dur^JsrOU*5-i#@yR~yr12ETk^}PBX4=Co<1sL+q<dI
zx;gIWj=7HOd+r=sknfk5@WD*`aiM{Nze39D1cQ!<3`Umwx>6-miuwz0JXmq)`_Xw9
z>YCr4(_-Q{ZLECY7k7j9@wSH69SQFiWF0QBJ>gv8vOmkK^`y_s(2u+a_SR^se%my8
zCd;1ezL#&RHZ{(1?GIqKI&XJA=jeqqK1x0n>K6|_Ipx8<Ik!mkP1Ve}*2BC(DSxfD
z3Ngn`Otx7#!>lk>J3TM9U2}oteBoW|4gJ@3d=*gIA{ok%R#KL8I5thtbhDKJPeUh5
zjVAZ<uqPZ@E{89&>J@SJ$Ir8DxU@B5n^9Ed^A7GCs=jtwJ-1`*lQ!H;livMNCM7F1
zZP)xP){Tj!-@e>jk<=X!|9az!vv1R9)w-^9<+gRyby0p7c;3k9MbVYA(|4>)H096R
z?am9GJu}vy_l#@Wk-jtQ@A*~x3GDvA?3>MMAKgzg=XohNPhWiO!ts|^_geRDUfs35
zI8@rQH$;40sqp3o+nLeIosY_xOw%{i<=L|RDGDk)7o{~(?7_xx7xQiFs%wg!9-C}G
z<Z<hY#m`qsGAtI4PkgaHeqv^r^7&JYiJN=p-hZR2*iy-mu%)(q>BiRVeG}F%dEX%{
zzq0CN)_aXzf76fIK6w`+^z+I@F{?vg>w0q+o_JIqsq(Y+{T04=yNPE%9^!L)+I)G<
zZT)M%gLQ>ujLy!Q{2MK|1RghC9L&JL(8s{Qz=zVZ%gjqI;y!ui>>2O=a!(!4p7PWB
zUEj}m>v^5^J*(|`rttJheSL3TqbtVdMnM{Wx~F{3oLu9jbMnmj&6~V*yw07_@eVaG
z2{#BZU`|pv#MT>FA#o{V0ykR2QiR3&uFgRQ28n|V3_K{-SL89UJN(!mky8<7XyNLl
zprBxsW|WewprD|TY-nKMzBAr<*_9TH)k*ww0z<F-`o3qr<5utR<C}MDC#ifCy}om%
z{hK2$b?YXpPq*9rwD+cz%)*Zg%j-KhmtX4H_c-$Hz1=%xVkEV5^3~<?bqqpcEjh~)
zdybr#x_0#kF1^Io5)aRA&wgWLONPSeuSKc}+|y5(e!I=zIrC=xW3CO{7eDpiX1#VW
z{OHDZ*05FEW|&>CTyrgY!}FAvo7(s<tjUl${Ni!xnZ;~TrNtEjdAB@f+-MB=@Y;s`
zfvobbsA-A+jvT#l{?x~djTU!u?p#ifW2-nBd+qw0TTeO~-CIjeW<E)cVRG2CYW)iC
z_jCKEeq+9rXOa>Z_<e<(--MXbOF2BfCu5GX?)v#G@9Wgh7CU!Ld*3Uz_V&wDCDpTo
z^Q>y7=3KLXW$SY=c^}WBIf)JoFKVUC&um^b<@Avd@r0fKSDl~!V!qG4%(r^Xe&R)w
z91Y*pvTn&*>A0kAXS3R(`pFK*ieg$NV!m6gc#}N&_0@ajzssb3CRiFT+I0N?P5w<n
z$$wU`i|D$aGfbE{*Trmmq}7c-4t6R59D)i=s;e6^E!Mo+cm3nCk8{m0cV{@B&~bA!
z{_MBKD4H`W+(RNHzM#fq!_}8cecdcKTe9X^&szMn$lxk_lr(n_2h+nz^A}00S-d|`
zwf)A?6;`)jm-$Uh+cI6P(NXn6y@GVqrPXzBCid4qeS0FlC*$gN!Sd-^<$5R2%NO4K
zI7M*YrzgKn^=6!Wt^3q_*WIL+^UoO1TfZ=UanIf5rpDF&?k_<}w&e*0C!}3JbZPe=
zmGp31ZNqQUzCHPlD%X*VI{Voi1bQw%a#z_hK{lH6$j+3mrALE&c)xfuN=?_=f8p}V
zyyY!?_cfzjtGhi__KVLIU1)FoPWb5_=c>DFcWUbv)lNJ7`teM|O#bX`7wa1WGIl-E
zFEo$r^WXn9>~-J@Cmtag>92<?k7YmZdgu9nh0ETMrCq_Mjyw4uajMB*S-Ic(U4!kF
z$jkZ-@Bf|k5PH2k$IR9uEm4_!a-ZH@i9b{Mx+d@W-r{`kvioP{iL)0pYz|=evo6uy
zwYA2gYg_*NDWCK^qju#!`cSOOzS3PYPt)Q0?xL@fRfe2C3VT1a+<SH>#LDa2&8wdr
z6iRgGzS{rhp7a}^kEwe-f0i0_@NKCPnB3Ovb9d3BnT3zSHn695OP*~r(D`S&QBl-z
zW!b|Tb?qbPn;V^@IvPBloO@Dt+`8mz^o%qoS7Y0gw_eqmA4zD-pAvP-zRc^%xlen7
z=Ir5e?AWs-F^b13Z_@n-|6KG7kEW>V>)iIqJp2CX+boXFJP+kq`q!QKp&PjOm*!oU
zhJvRp^B2f$Hd*#@OEPbL%A%9O)%HiHSDvnCNjwuRApGiU%EArRLGS+j=PkX%aFh4r
z69$n9sa3{C)}e~8EIOypv+Y0h@3p?cyp>+70=~`Iv*6plIPndIjkh{Na!LiJy#Mu7
zev!gCwb1>?_bFOM_9uSd$GV|H+mYXD;<u2?k6-@xJ`ud0Z_WePI93_%%?r+3&G}cJ
z(3}xzTyCt}nH_jZ)MLdQ(cbBo&WWyW(cROguw<pst2ZxR-gi<HTTtV==JU?(Ua#nx
zq3w5z7MZOTkV#jY<-a~)>CG?&jrw52dZ&x_9qW%SRLh?BJ8E~`q__O;TlyVSmUT3j
zYMwc$@uFr&!)4v36HinvVjh2=Jonp@b;h%oxxa1IoqBDibqe>AQ#<M}-gaGod)xg>
zhPg+Jnx=g5h|xU5nRrmr<(BsKUo*GQv^u||{-*Go*}EB9?oJBMtcy+WaZY@+LZm3k
za@mVxCxrHO9r}CV!eu3e<4N~dvd{W=$*|Q>Yx_ybJx&wnl*C?E2-#*B6QlK(>9p+v
z;VYLuObNUr7;t`}WI=%St`g>uXQt&m)4mpof0;aKF>n33t9t}jzNtP@D;%s8$g2NK
zYsK4n%CiJcByPSmO<=={Q?*%J8@z9_elTrX5mj-<gyW1<#RQ#zC#iP2Ygre(D+~4s
zck$u;`LHAY-jbri8TCgJk3A4MrDJ-oi?dt&=8S1`)+FCN+s6I1G4k`1b0`0*NGxn}
zl&Us5Y$>+gR)5N|5++BhB^Ot^Dzv-g^-kC|_wSAkcLfzwpH`hR$yz?oBT+H;=c3C&
zF4oij=yd&bf62>yXSrVd(x8{~w<|2l%zkk!B{==!1lKzWsm^cwH;F{Ww|`shqFR3c
zLe#|_bJHhiz6<4yN|#8k@7nWmi_@jR%t)22ucjMr_FsQu@#CFbYE9aexJfGFaRnle
zzb<)XwKMD9+`C5m!yULqx3lg38?d3{tjxr(i?zP7ZuQMj_0iMW>mOPh{mkH`uw8i2
z>^Bt!*X#C5csJEYq#d2R{H=vT>78?IQ_inga4}@A0ng0M9bVdo8L6Q!pR3G%R4_HZ
zHNrA^vCPy%dyiyKu)VgrrsCH>@!h}LE7#}wI<m~w>)PqwCH>F9KcY9mQ2lUt<vOAM
zRr|lFdg%V^eKYIBT%R`!CTJFgaxIElEphSm>~$8}DLZDr6AcjUS4xwza0>F@|9S=U
zvFPpY*K7l%+S)rAkNI)@EWEsWVQ%K;hhJaHH_kAPnIG|2XyMbXT@q(lPo=ZYbe5dg
zXSSp2#$Lu{uWMf{T65ya#A6;!l_~9IOnwzdAAjTue{RHIo8wo;-)ns6%!e;$uIvjh
zGW|a@g^~Tb#R<-5iv>39iFhs{`j~m=n^g~eYEmbiumA8#!sycCrSb=Rt*!3O{5`*b
zS#g$3h{oC@3oI{pXap>Y@V1^2GkNMZgN18Y<$76XeAfEfSJTYDcEfV5Lq!k7CaC>A
zC6_$=WUR^b*@0g@jE{s@@g=X-IiM7`fBTA!Ql8~M&Z^6oGHO5npjM>V?tIILoAvMn
zp^nzrqg%c|{Cr4lF2|2AYi}IPuufv+6x+F6sJC#vz^7k9lF>rfvka|QSs91hA9%Nh
zr)(ih#Oa3B_Yd^tuv~q4ebvbVsfNwxe#WevWxS{Pt;=tDyW4YHIifZ`^47fYmq+ZU
zzRvZRvKq3QJchqzbYE`w6`G=BG)ZXv{c=UN7gze`EVvvac4}VL9A&mEDn1u@;{J!-
zIlj1urMtm9+PpeoV}l-}Um<^5a?p?8etL7?Y=8UvzV`Ncb8@72AJ&*vrWz5nQ(yJr
z*SD8EYTwtn>Mr_b@@}2<ksqFtLiv;LiF~R08@SxWSLBB5=}p(!AM9VLB)xyzk=YRp
z_g}ZpHM$TfnJdT0JJqkU&#HI&^l-z&XD9PqN{D54-x)XWSyuF$uTQssUDV&e$L+b?
z?B4QiC2PNwC|}|EaB<xMCdu5k+41vl`P<wIe|*_YzA5v3L*ggC@ZcC>4(lX~hwTz~
z57cz1FI-`3dn0|x?ucdjAFg-B_W0E8d;C~TbIbD6S_N~Xc78v$?XM!I&HaX0<D_(n
zFya2XF#8u5Y-eA#;pYic@tPpr>b>X5(In<z<0m>Zr^VVGPyF)Gd{-`)+x=;s+0u4)
zZTlMiysy_RYhnN7*E(BRBy9c<g(bPxmB*JlPqn{tA?o8iySUA+3H~!*?enxa(EYMm
z#re`%E;gP=2M${O4NRRBy2Eoj_kZ($DJCMJv0r|_VqW&)oV7G(ACJ@v^MH9VyQ3%m
zX`1*T$v`tnxa;1*vQK5l4j<p(X{8fZeKIrr`h!0~Kb$iE?ss>I)7(6NzTT0Rt9kL8
z?^qsTSn~4Z`BP>I5nanH?&{Yk8M|J*YV&KAT(rwd*&2~AUsY=6<O%Jz*`uG$_M}br
zmFvz!4$2nigKphRKc#vtIAOMoQM;_tp0#ox_i0uCR<!R+<Vw!{aBtGb*F9XEp)a;R
zc>V3e(hFkY6JGf^IDNe~ja6@<(Eb@a&cBn|Rkrn`bn%pDn~Zl9RNYc`vC_YG?tWs?
z<l86jrdINQ(4Ci5abwN&HFvdDH+=2lT>b9Rxws9yPop*K|KB^vaOvn?=Kaxf8yEL#
z%$`5(@WF_WFGcKCrI&B+l{)a|p92faRC(<w^)auX%Lw0V=-%X?Jty)i%Z0KJRpsw~
zG%MZs@NZRQ?_&iOp|+|^TKeZ6J>s}sE%dkRjJV34i)KsqHdTsTZ?s%o^1j1mwd~c!
zUj^RgeyFd@*JX&;t9V%GJ}d0q^Tx|9(ce|`8ilsi20bXQj_isrFPv&$Uo-!^Lo3fF
zR%Yi`CAI%w4qE75J#*dWOu^Z|iiu8aC(@4BGB0TR9dEO2!i0lY_9mLmwhWQ~_;TLQ
zEeAdwHoWnm@rP^cjS0@mewLL+S0_x0x#RiZh-+i!aYLu2cMk0Mv-2_g#JxtPdo$<s
z%W*uowYPWPKHuJN_nzN9W~OZTVe`>-d#{JEKRk17FK=qXohdqe+bnvM-5Xu6E@Y9K
ztMW9e{okdpl9H?m6Miq*`RP>Mmq|yy`0O~_c=ul$_p+nmH=Vf8EEk&KyQ}8z_n8?2
z|NCZeZIh2UBfzZv`}Upd$3yPE>YDhlwLt#bF@u{=Ki)H0%fUN+=|r;x0sk3mKR>yx
zeQAP~=6#9ON<qQ<LObrHee~*F_A&NSM@*MR-GL$n#i_4r6ery~P;l#euK%a`>fcoR
zBQKcB7XJ)?y`(sIl49J;Rhw-;{@vIyMLXT3_MYL#CT^*_1=jlP26pnQVc*X9TZ(?U
zabw1h)rRfN+)w9xKX7FA@hI1SZKbLayV9$pyef^@+H~F@UAFeh_Qu~o@?XmppZA!?
zESx*nl6A(8yQh>5O;u;EKWTFRS@sm;<ty{wOu5<W*}Fc}Yxjg(=0Uf4{?54c&*f#@
zT!ofhTTN#z{SkKa^PzNqw^si<^|p=b7WdxD1o@gXHAW?DT6^}`vJ=x6+Hc>|`?F|=
zV4U*2^BbA@tu>A=@cTEN>&}Ya#p;#O62C5G&HUW#R(N8zl{nX0>D}{pOKvn3E);xr
zOI&64r@V&k(vkO%f9|`mWzx>pxeuPDGjH*q^^)uGLIuxr{^3*q*>=piYkyq6W;0XQ
zVv&nac3#^kboWZ%$!1MO->Q^P-!;q46}30XbYyqbdcG@An|*IBxBs_1hbPxd*hH<3
z6Mj8N4r_h4|H_8DCyuklnc3?v$aG5OySO8{^k0wff!Ttc`pIGYIbtiUe4Wc=Z@dpL
z<jT{q(i7#MeyRMt(}b#UZ-&c-|2yIs*jU>2kIBkcrcDUmUs?2E+pmR_rS>~?rl&t#
zc=v_TCYF{L(Yo*VPFA!iv`M#Eojv*ZhC5TE{A11MlvD()-SO*S>gVMgd6$>!?z!3a
z&-z8erXAjw-^JeumgCivW`87^x_B9@SgzaJ*y{4t$JH~>7ez*Xo;P`;#Hz*0`(_7k
zRTTJf$Mz>j;YT)$II*3}iY0hI=YH$rKhGAdoiy>n(fM~IO1|3YowTg*npY^+z4Z5F
zy~MX%44lhCI$aL0+on@k{IpPVv&}Y+t^36G|LC|Zx{vR#%GQZ9;v?TD_Pp91QhPEq
zi0kn}sj~g%uS+)UxVm)C1K;2OWvY(9n`<y_%bAl)x{rzU@VWHPt$S;oCu4e&TWoRH
zoKmCQ@Q*<PA8-A;7wYyp_|VaB#~7vum0hd<X1vZ<Dk!eELACCymNA>;+WwSp1$EBZ
z96xp!XG=V^mJRxIS$y7_`fZckxpm9yv~?FAzHvOQ<x10eE|C@TV*c%M_g~)MAQ!(k
zOy<k-TQmCIWf)|5LpRS;s%cqu?6B=jX_==PGtJtaujch7-|PM=XnX$4+NH8r=Ei^V
z_vZUr&UbIlZM&L9-fc@SWlT@k$Zb?L`1#qcqxX{_`{EGmroLUQi<`IYbtsm}^4;^)
z>K4Q5hXVC+Gt^#nbN^1PyzcQppf{f-a<lfYDY5T1-k9UGsAWQbtg7SryRjC#q<;r}
zyKrO<-&5nOM^hM@6Qci4U!TBf$1~|?cJ22Yy(f1iY?xVo_*r#dgwqwnP*;E6moM%-
zi9EgO;~dV}QmZ_er*y6iv&w2ZDjt6Rsebg1gL%5s;{S#uhps#4@%hl#kEgX(ruqC^
zF!@WZlI&*Pm6p}Nx%V91t$6#9azPENy}iWkQxEpFKlEDAd;DH=w7E>b8SBolxKH!?
z?>=syYWYm=m*}**bEkJd7rE7XU0LkYtCQEXo*Gu4Ty*d#)1AW?gnyfDs9I3f<X_qS
z<5KJ5kD|JF?rw~0ah!O2*5cYFyI&O_iU|B+KG%rhJ<HtB%CA1RE~wMCoXJ#_s@d5*
z^&~f=!2h%Yz4L5V2UpHL|HnA_)}fe%@huyce{Yg_vRLKi=R;u&voylk_3zooNv6+V
z)MmCX;q~IDHq~WED-Zu(#TK}+k|~h)%stk}ExtW!nyq(N`Y;Ffxb4-LWiqFx`$1?_
z$8PQ^=bkHz7o62@cBrZ<xRYtJ;$}lxgN?V+>hBxOKgmx?IsBhN$wB?m+U55ynal|6
z;&YJD@;i9mV&@)i?}Kk1&A3$&t+iuGPqAQU#MYN?&zg-T*EX+syEdA4{{A;j&OiS;
zDB7lQT>t1<x%t@ZMzM66Xx>(?-NtK|n7#118N8t(cq#wHSN753B1coat)5=9=9as(
zS<9gMzAJ0jHJK9<Gm;FS6&S7vX^XvAU}{wsYt(7?Bfl?4eyUP_okP<XiQG+AmzEv~
zoZQk9<iIcbeTgGut>1#Ty}S)ay#LR0mtau*Vt7^aQiMj0_sR#aYJ}2mn7FrdiQewq
zUzqg8W1fMt(EI4)n=EfNKD@@X>GE}kZ_}=>W8L>yyFTGjVKmc}HCOw+^*hTJ8iff6
zr}{GAH*nulx-9WUtIW^qyt);#^^r_x?B7*7@;5zZbnMu6>&HjeMRC&7pYF-%#jJ_?
zc$xDc%j5l14ry_Gwq9oz#4*8l(@f=ShF{d2d-{$XzaJ!FwDgow^Mz}@k2})@0vB;z
zjC>n@?aEah@d$1C7n%!Z<=DU5_vzb8%O_I0FAIcJ7SCE)UHw`5)m*(xCc=-DKL~Eg
z;K`o-Cdwt>@<)hmv$E#V0)?FaK3qaA*Sa5``FWK8<w0k?{o1AhOE2Z#{mHz1|L#79
zr*YTnWuj${EWUp)>4A~(y#V?2UH7(K6LoHww%S%`uFo&6c!v1x6S4#@-;TZ|d}V);
zoaQ^Ttx;0B8lKh%juvMfJmjJDRd(A0&u@Dy*=hp{svK3X#D993@_=n)$glbiQI&8(
z(JzVTr(fDAv%K&$>#RMDwQa9!^&K^*tUY{to2^Ws_s8&x*}<vl{kxsEyyo6h*CF)c
z;rt_elQzkRomm)?bJkkcsXeSz{2OQ9S|8mqk?zUdtCj^=b02g%-u!vmr3yE_b^DT~
zU6O7(l;=p9PM()`Me}fur^w}lkDXGDcq^`7`TlluV#c-)E^J9xCOp%5wd!>0nH<4e
z-?y*cG%<Pin#Rc4zuxH_vC(@!*=K{oo|u(+;k&0>cuh{c(QG#Lqibcp?VhsrQ*N4`
ziF?H1<NC_kz0uB3TIO4PN7S~A;!ewHY=ys%{RlEY(SNBch9N%7NHv6g3%}Ch{f1XF
z`_dTsnX)?{oRzPb$GvEW@00WK^U{rK-2?xBX}eOiKmE;IW4SLvr*3$}o!-OuOz5;m
zI+Joh%AS`0AEekWFP<}<dF{nBRt?uqZVdGQ$gu8<t>(>DUSBizuH3k9s{TVez1F?U
zl_SLDeh8kLW2NCN5a5uf!XcI9oOSP6b?xroo{5Q)Ax~EawLW9MzdSi(vU^Wc<dga%
zx8MEC@>!$Xv-;lQ{s3>A;H(MjTO4%e8%;hQ{kOt6ndkG&=$TH9PKmw$-O?V^_0Kl?
z(bT`beDg6`?vGOMeRPucsjla*SafE$%JmgF;%ACBf9+`hvi`0^?egefCJvQLr#}&3
zJLJZ<My>5s;QW(vscDaPF#b3xIx(#wwdlv;YcCb&dF+?T?3nCV;;-TGy`25|gW{9+
zr$4jRxUEY_e$De_?eWO?S9~rKiR@ECaztk{Nvc<UEKRGkPWmdomv@`)rjreGRJ8VP
za=h`X=~v;=i5E8(C_G9m`_I?6w5ptaP4QIOM-$nrJ5A1&U)nN%%ioDdq8^4jMP4{v
zcj?0xt!13c|8^{9_%mDdvcKO!`=%Xl_G~)QC=e#r6xsgBBu%R3uw!6iNY}@iTTi>j
z{{MbjPI~IKb#sb&C(if~dVfvUMJwgIIVukd^3p4m|1++?*D7%(Q!!Fwm*j)V{=I<@
zPkng#;{UG5ri5RQkDf}Nr@H+px1deZq(zPYyY4w(yp!&`p}{LU)o6njzu}hGTm3W{
zXJ6{^eA4)3>is+x@w~sU8`kp3&y$(%Y$?98=4I@w1M_shHr-LqFXMaRCAl@Id->#y
zHSu%({AM>ApA~7o(et}uuUTPBHO~>#&&|^o@vH5~bTkrAIXiXpn_VTJUPl;)SpHbO
z|803|0PDrKXBUUPOI~HEc6P?x-@5XL5>1<2FSb5D;byz%WSVZrqe!=>0;XRWvvm6|
zJox3jhigY;rOaA2M+@W3Ct)9XIP!DFLYF>UCH+stc)I4|jce7X$;d|X`^|djRo*sp
z9fRd$U)!y#ey@JQ(J}R%@h2PZYmfBwmf8qQ7B=usK73>nXW?zHeF;sg4=?pk{-F18
z_sn&NV-;d{JNy^SdAy|U5#xpq1vaG#{FNy&CS~#_JlVI>x&`+x2wUF$(^kd8eZw0y
zc9Yv}X3aAui3l8QFt}cLX+>1W-z|^In0gM)e_qlQt(kCGY5u3%b@w@UuuOJVb9noD
zn{{|mY(Tr_8vd#-i(QPbMJ8;TUamNG<0g5-!lo5Qr)IV$1~6^gExOh|xxGG}>4BqF
zZs879hkZwvF!%~{co*DK?q{5HD60CVjsp+lzWouMuhVX%K60E{=Kf<(_N>6#%;PVF
zl3rA=bpF1%lhs~vQLXKF-k|QU=XCqCe?2vxC3SWC>;j9W%HKP(H5WbYU6S7Ow&u{9
zqt`y2^}7?zsmoUBbB$*~fwXYKwOyZ7Px~fbHqh&SE~S3zwufrd*YM(r4x;VNdOS<6
zuW*0i^`lMJ<lDxAci$#*?qryCS4`vJ!DNGNLIwNl^ZSoH%kIcnzM^C1f98Bifd?V0
z3Vt`bC7=0h@$kcBxAn7Uv{<WGooNh86x#Eo<^{{Tr_9@!S}xkWJy2V|Hed35qI><W
zuL^;mAD>w3d6;=|n%jlMX@3?rep#CP`h?fMgvlKtk|M3Q%5rSNu9OAO*g3;mey@(e
z->Z`+`cHHCqt=)$rgLF?rq=TkJDu+?Vs6qaPa6ugeD$-srF$~z(u?Px6SP*OFWq-F
zZASC~Z@opDojD@&3|pM-_x65l%Qf~_HhRZ)_Sd~Mzwi97CWQZX^Zd>r%<HDa7NQsQ
z|8HfXu7=p<iMMXBG&B7>X*>PhPq}7h>m9{M%t|INKYaSm;o3DbL`0t+vF`7GRdDTn
z<VB%>UhJIxSF$g?$dz#3C;aa)<6@%*wnuxTrmIf5`1$(TecLwOh?xFEL#5C92$S@h
zA5mRA6C}NsR(@ytKX1Kh&)2E~=eyf(IX&(YoVVjb0NZA+MItfjn#ucxLRY(Ztl!&p
zz3yAXd1<xh?w^#G-<rfLs(<XFc~{n(8|xxwbiHV8G3op(v!_=@f4`Nv>HodYj;=q+
z-+iX<i_7Wju2cN|roEI+wpzGp<MSsG*5Z#tw`#7ank6r^M_c>IBe#eb$5^HA=DHaq
zmF@c8GRt<y?v=k*H29o|5`Xa9;`m9c*Z+S{S*f{8W~t?&crL+5Wv98C;}_meo9!dP
zxnW<Mu+n12MOWKxCl$6BC~v;+H)C@8jdu=OoGE*`d|C>WpS5Rf<G*GeG;i;UDHnd!
zwr}J(beQYhA<hUEC7bPQo0qK(n)-w7OGV`lfn7$OTf*L2J^wdjiG_iF7<c{6im9`t
zU+(_(*B~`LME&*bBL|MP1nv*}mB=c-;_uv&(*OVYf8Wej;SXKsoNj*op6=a_Wp_K8
z7wuO1=@8xcTRS(pT25)=`el9!@pgjEJU=^{x5kCUc4_O_oK8A=x^l%97Eysmm#>~>
zk3D13#{BkIk^h!khrfKC&vAdcCeyumhy417=Ucem6dI|X=$*i4zz|z@&B*(doYLpz
zDo#Bb$+xd<z03LH=+*gIawSO)O*d{%Yx`cw5&BKyXsp@X7tY0(h0L_eCpXQ$$R2q|
zA!NVakC-mWqcal(&Mt7wU2LwoZ*lXU(Bya@(RkrWQ-aR(-#1{pe2+!7TrJ|;=DUvZ
z&T}OA?x%<=t}=MsWAP=`pZVDj(=D5#PEX%!Tl*-;?cLW*<%w+lpPcp|e4g)QYN68b
zT{>U!YnOA~v6Dg%zdk$D$?>66aBlQoF2PAbMPVvGS3F$%mowHr==Irl?xvvU2Q-RK
zoaXOw`@r)(eaoMF8|OT~IDcvM7KJT7De2y;&%8SqD}U9!-Z<`*`Igy-Y7gE{-&K@y
z>zeYpE$^m2_qtoS*nP(Z?iqi|7wwp3X|>$0TH^*&_!o<{4?TP~O=7ArF>0w~u-R!4
zsW)TFmIs<~J0?5`)nwzjX@7Qt6MKWhokg?7xIPyhzs+tK>(3W1y{#-*OL6($DNSKg
zIhO7*a^YTFIR{eKd0xm^S}(2@n>^>k2dB<uRtsmm<}>ZruJ#vE3V-F9DWJP%dcr!%
zkL$Z9zdyAgyD-YB=GFS0Qo@plS8uku<ffb_X#Q(~-l-GPPIm6r`2yFhm)R%nsBioF
zpWCe>s$;>iUEBI|YtL>zY;o<6qCodvwwbcWpT&qyvAK9+@8w$YI|^pkWKW&wTrvI5
zyWhVqYM$7;lJ8*6FR??<lJ@Qmnx3Tl)@b?U^0fy%b9ZItntDHe>b99LXv6h~MgMkX
z)GXn9I4}NQ=!|ZmTiaTAq&G=@zZ0n`$8w#$DCXQ6NxxHC1|G{eAO2chG3Vh*7r(^K
zB^&3+-d1%<c{*{z{cmwcKlOb&S{@ap)oT2$ySCD-_Bn%gSHtNayM-Jkm-?0(H|alB
z+Pvw?jgH0-P9JphXS@>?Ilfo-dX(R`vX!Nk%MR4+JU7RwVfNyO&+a@t(6fzkjm*B}
zwE2@WLuWni&*<;}vR_|e>f37O=)V5$w8@WW|KIyVx@*;?iM%4*b*a<#>ekf1oSBi{
ze=(`aHt~6{YE6_?zN(kTuZym;PnZTazjP3Oexp&w$HQeyd5vUst-FC=j_8bDqXX_u
zFO;@TWLzizq%-10bzVougu}JFnmwFvUv#@>p<6mJaOQjCl_gVKqcnGZZFhD&p`96W
zF7UkC%w*x>)y>CrC(T^crpR;R`ooJ^4=oBE90K;u>pm8eXKW@h<r&YjSEp+x`xi_o
ziaO%9L~vck^Sil=x!knk&9bgdG(45C;PD6J?Ku~?u5?X^2&q4?<3qG$mtu$gUyTb^
zjj_gItDcG8U#|OS4_lI0zK>8q<9xQZ7*_E@Z>B)ShPcHqmi_Q#7B2a5V8epW2VdXU
ze$6wUmTR#>MX21VrS`l|M$5h6ko14Yrc7g)kaxBr@x;b{R?(EA_#^KPJ`^qWn#{3f
zP3p8e%Mx>6CD}fj!D4s*%A~9nS1il_u5S8n-|%IBMX2f8inq&(#TYO2c-YBY-W(_2
zGBqG-&5M|Bk-4I5+XSwxPW-s8$TQ*9`ExH>4TA&jrf!UUY8g?U%%mUnKuC;t5=%u~
z%YW8Y$puP>S#r8oyH)LM`#kIGBgVu+sn5??=KEQ6iF|p&?QqcKvY}uBSHOfB4=?8K
zt$e-S#LzJ3+WFa@+S11N%>oR+l>|$CcyJ+n&4u&ZgF`ZBEP0Z*c+c(ox6jN76k3%r
zJHvQI*;z$FA=S(qya(TWG<h5Rp2K|NSG8HUq)L<hER<AJYcng>?_AL@JL^-#o33vk
zJ4ALYsuEt^IN_&N%k9#Ip1YYjjU_+*c4&$GJS(2RWe2m&(<?kr<{E$Bob%*tr|_SU
zta*AyZ#QV%Eqt00Zn@9r#|9IDC$B?R$1yzEl|F-w>*1w;mt>21+$`QGE&c1Pv$fL8
zXg$k1(-Pn5VJdefK5JbO)qF4M*E>^&M|CHceh$!*KDRQJL1+^1+t#+zidS;JFZ1tT
zvipD8lV5H{_7*d%-!wjXFEabpT@A^gP2ZK$Lo+6Z%7422BxYgGOY@BHr!Ml}<FSk=
zRyxAU-EI6kYisK9c*TevFaC!-EGV3PBW)E6gNxGpyKibwzBjYnEwFCi(!NsVwgq3M
ztM6IPvz&Cf!1h_{w|7yO69k(3({>+;2wJt^!<9*zuY#{iUc2&3G0R8P?S0|<D9H+I
zhCVr-($nu)(%<?_yD5A>;Rt8p9NCmPtPA6PrTI^3#63U#=I)c6@?R-R*~_%cosH{O
zU5ph<HL^dZCeWX>bLqXng&ww(7j5C|EU8$vk>lanpUdxvasO33(&d&f#kZ-PVFUNI
z3m2<589uPoay|dy`_&twB}}5;7b<Ep%$^+Yeg8A{o8ZZHYcA@>dLKP$?{<FWsqcQj
z?jM->l8<GoPg~@KpC5OKMnrovm<F71Q|R<QB6;F={=BHrsk;n6&ulvEz^LC)8)DYq
z756tmGf2$I>QvszmZ;F@)^V>EtvN3i*({d+kMEhsZ>j%tD>4O^f7v>Lo3EvD=9HV!
zUY+@;de28!h;wmTaDTT7mlZizo&9%Fa>4B`6Gw)<jcsoV#Osc&NLnGhDRD&@XV%A1
z_G`0Oe3<u`Ax<Z6&dN@|tDiYD7p+-)*eEZ^zV~SS!Ku~Zr&b6{xvpBihxJF)l;!da
zasOUPxAHGZP?WiJwrTRK)Rt5)p@JNSP$f@pfl~Pid2KUAf-7%-wYk39;mR@dE0&jT
zPOSO;=}G5{^?{imQhR3Z-E;P21nbSeB1LJ!e;DOV%y;!F|I*6I`O;{1bDAFa^>*!p
z3nuPl+LSl*g`Uoptv)VZap|A!A9SW~$h^*8_EOg)*vf#T@n_{RVXZ3-p~o`b%n0xl
z`*1D5LhNDq^T!4PyiV3GB8uCe-+Z~+sMO-asUYD!7IT=3_GRle+Fs*5dsZY?V2;kK
zm_vLY0#suarZaE7HD|5b>l|I@m-lDnKL7h%|IOw-)wj;x|M=YWK-y)lIG1B<?y)~e
zyEWJM#L5hHg-wUIahnUx3f}5<x|I19$D&WNdpI_y^R#4aj##xdV_Wpe&%c-5oS0~G
z&z5)c!|V3{#O==p1ZA#&B=q#Kmi09D@4;(MOqD)ir@yZE+`|>GwD&ZBZVUK%aq|A@
zXO{duap;q^c<`22H!oi+c$s)cZm+O>&P$C_^?hbL=bT;sVtHK0%RK9o@)qZIU4N=r
zIqSx(8yUAI?pn;uWbipeCUM*A2?w7lWh#i=VR*;<mS<weax?v9Yi>8OZcMKzlQL@j
zw(Ak&LG!yiCj6~WR=xT(LSHuEZTwp4gJ~B-j=DIdot*pANXTc0<>~{?)vd<G2dvJq
zDX2VLD5P?`fce92bG2T%f2XD^2h4cZ*Y@F!(w2gB)h&14y*T2_sloK_nnl?H+10bd
zUe^g-;|{r4ef86h4`t55g84Eo%vIK6w`>cglul*2@2$#Te|2r^QWegMr;Bg)Ci_}H
zNIJBBd40>CO<7N#Z2WH<yywg*4bhTs3fmhCjs&ba<Id%$cVqL7YmeHuuQ~F3>UoQ-
zA2;^19xngB(6u5t+WvVJqhQKS|J<L2KfbIg?=?JH6g%bVY@=)6%)dk`FJ7FSJiSc!
zSAd>xqFc;+4vDJ&U!&U2pFe*82kVCVmgA9$Z`ZzO6Uzy%_;Kd_f}0y6`5EV53!OT%
zxW9Aa<TtgaxJBG9FzfIg$vzix<m!Sz@q)RQbru^IeR@!;FuQRI%d)rc7FitE%V%wW
zzIn^s@&eoKH6JHGeHvEtY`;>-yOe_l>FE;tdfu-xoWDRzHFo*ApS|b0YBPj?tiQA0
zuPWfPMe_6`s!boKy!3h_e3CtVy7Q~ELSixtgeD7GF{ItxIFsjVT<h7p!6G{H3bLi$
z4(<Xys>-q7ch_s2TK_WDV2k**B`hnv6gjLmF~xb68-HDG75^hj?deaBoXM6<F3AiJ
zJ{^p7kI_x`)^T67SG(o6<C7U4;Y);GOv*Ye!Q+<`z`o&U)W$E*SgMj)U*1i6ZoxQf
zR<R#bu+jU^7VgumLc~nj*bQDk<_de4^TyQWYlJ-anl`7YG2J)6eA7JW^5N}7&i9jh
z{R+Qln3VQj5V*RlJF+_F#ug#|IE}`|%WZu3POuJjQYm}YSdm-M>U6>2OO$u_!o%WB
z(xoB){-kjQO!&g%JSSH-dW*rtUy4z(N{=4?%bWe_S>|fV>iY|)F<O>$=+=Ca+Wczr
z>w7z*3|{|oY`1iOet75Ur#%YA8xrhJ*uIGLuAlcN#<V$zZSf(6tgzIhb1w+qj6dLG
zBawEr=7Yh+MyG>o=l-nt@UCXh<liT>oDLifO45+dO?kXM@KU+Z{5h*0IjwawU({MI
zzB7eU_v3}+3)YoZuI0XsN*T-kGA2Fv&$why!Ax7d-d01~ySxYXU)p`?X2O?3{mI?o
zYgYWStz}SC)=Twe6FTZO(|OABOV>*5BmcGLD><J09pJ5|zu}w7{8NUu6_>xx-DYpJ
za5~F^MI6dzbH3%hOWM}0TmGeW3A^b_iS7Fz?qP9Bb!IeD7yTt<sK2K5@bcnoyC>uX
zf30!dm;bXwNQ2>)KilDdDHefF_hnw`=~Z!m{Z_qd2cwtRoNbaJhN17Tr60Q<H`}mZ
zX13JZ_qW+j*RPwqFHFi^C)q5HcjHmfN#A%K0wtW|HGbIM<272=_gq*su<{1SyZbxR
z_7!d}y>Md5XXap4-C3tLndR6pxjhyu5YRO~c*;Tljo`A5u&M>GBriAXnwn(<{5r;e
zMub@+Zuia2B?r>0*2sBRct|EFFAt~;eD+9qyXuTj@1%<3*5An9EO78W^W3k(7r%Wo
za0}jXa;ekG(_(M=>IL5GzOqyAo1O95c1_Q*<c*P&zo@)l{Oo{j{_?*Hm-=2l=y>$Z
zVR}Z&ru$D?H&xcIWT`$NQ<P(OoHcZsIq$XmJ;&B$`!TNiarD#jRK@C&l+A8JD_-e6
z-!t?0SN%JkFCE$LS-M2)Rs4OP_;2Btq&s_KF8F-?`ff%_lS10=Wo9R??B+WbW_;T5
zLM(s0{WF`%!IPIceld*Uj;p_J5fQyhmzTFNpwckk@ZM>5Pbq(OZH9!2Vas;zoMb0I
zD|Ca{gXeadK61;1-9O&0R@q{|-|$$EXR_kGw>uPzRD|cspS$lCoPO-h*ICxWjLa^A
zXOx&vX=?Pp)pXqMVEWJVkIL*98PSHSiQcAqzmzxH`7``Y{IPpgqqVuc=dsd1Yg|)x
zK3K08+_X=+gHdG8>z8h!+#k+tI~lY1ZF|(-u78c$r`SrK*?B)m5?s5&Il`IU>u|p2
zA-S9r_d-P2<N2D3T6Rot)VXKrX~o<VVKb|dd$wh#th(A4HQB@u>MQCZWNtNnm)!S_
ziQoCAi+yD1YBq*rYwZdHw};1^IbSyW^PG)J$Ct1=-6}u9$8*soJp9U=nXTp0>km4A
zydWzUr@G6z?ZifVCAUS>ZXI8-=%s*j^nqRFIcnB=QtY#$SHC~umVGFtFYW11mF_bf
zr!KGi+jn|mpi-~TXZgtP?vlSBit-9)ZFe}_am0D;`g>+Jlm4u5zNGwW;hA|)FTK?7
zsw*`RWSV<#o3o29S8ag&kC?|^nJ4FL-mGzrcMHpgpw1Jss@`9&@p-L&_)6VUkHaYv
z%U3-Au|M&1W9u}<1NWE4%v~pNdijAh0?DTsCcf{>)D+<tJ|TXB?Q&?w9q&`zhc{F_
zttg+9Sa-Ne>g7*o?xp#RGh$af@8JmewEslPgX#}odDyhPbG_$TGwkX#nl?8^NK96A
z=h<GifB9eUDW0Dhr!>=Qt;+L1$tr9f)88iETcNqca^sSjPKJl(UD9_y`5??y#_Z%b
zrM=?4J&Icz8d!hNUwDHz*x^CP{wSTkBNbOGZ>C;jI2CRZRKH?f`Qwd3iTk+hN+znl
zG!!gdowbbN)YJJAP69vY+o#*|uzy;%Y4saUnUG1JAMbf@eDvr$+s_$W--!B!fBtp%
zD}Vd?Xs@GEeF3g+PNz=@ORGBT2NX=oIm(v*tzgoeA9X);zpVLUV&c*8sPaJh-49pR
zF74=v%(}CVrRt!#+w)?_=HxZ29>qWA+1Af@^7Zc0oU83s57`r!*6Qlr{kZv7W<rI@
z4Y}K2_*r)FoN6{^480t3N;Z09GmDr3)48VQ$F-sqCWkHf^3rPEU&fZG^T&m(wugF!
z8OE&sop52-n&g#RUfI7EWC%L$`?qGA72D4Z5j)emedmU_ehlcTJa?sKd(v@MRb%do
zy0ap?MQzQG2Va=q!7UYZ@Je53)bf*iO}*vy6n}r?_FGl`ul>kQQK8MNOl23$Nm?rt
zxb3H8k5sORx2E=P!LSW!Z3mY(Pc2=sDp_ZlO>E(NE3s=m{RQmB{<#)<j?1nE*6e#|
z@!*{H(|>nQ<~H1@YO2;Px^qm`<o%R4N(xICtzB?n?~83}byH{T+Hu9GsVu*L&a>y@
zd~!E0y>wm3Ub<FIW#)~x06rPb?n#ey9Vh5cit!D)bLGD0VUwg?Ulwl;)vZ1KQ$*ai
z=If#3M>fb$&ElFNb}Zndzx9e}!@mmU8Y>)cJ_z}=|9*bh%vo_amTKgg9DMiUu;b4u
z9^e1w*<{3qD$P$=@t)1IrSoL?nV=AsFIA~$-hN%Vr}4z=J;oO!k`1+EQctKYoo{!&
zW#s|y#jEQ|SI>I(oA04l*1mvOGw*QORm$HxeY=S1rnBJfT~ChWtGT~ktRiciQujGf
zUdT;*V}gwS9+77SyqsaVC$1cp-QG4uI$P&_kX!=)R`0vsjX4Wi4rT9unf+LY|0LT<
zDLthV4p|oj6A#!qpKH&*cc$iTsn}O_OV=kCrBXYOdhC7SVtCzM@?XwmHP@3Fx4rXB
zXU9(1rTMHhE9OMO{C+)&Qc3R=ZKt13QG8pE%zpMv=}z6nsPi&Wng<h<3u<`(+Q<lH
z7k|Dl^0POz`*M(&@tlaHa@I@6bJH6<GCTwiRPJNB#~~@DyryQ;mygyTHg2xj;rmtK
zbJrIYnLxGOUv|07{+w{T^oWDE{VL(<;(3=9tM@RzDyojQ)Q?xI`V;VO^Yx@R|1<CK
z{O=DxdEl7NdRHM!^U22&o;<qI@L=}o<`>JSth_Gyr?D^Du$=eM6q9gnovY$HHx@bX
zS+TuYM@0W|YTubCKi$fSGu-qU*>Zj<JmB3`KHX%d?Q7x1N|US~X1V4^M+&yC`lp)N
zuz<Dc>{HvX?o!X00tK=vS3G{1ai;Bltj4BU@9VxQoaLX%^MRXn@|CBeoQGdNKREfK
z$C1Z9|Mz;WR@7<z?pq_YYqi$oZN_2B(SHvmt)Dg3w25cmrGtE2-liA+<rx+-`7$?L
zPjx@$-+v}JujWxp{^jBYlkD<re%*E1T)N4<tLj<KnY<fECJC(C(Q;cYit(4=1@3Lp
zAK%ORUKY0ADt$Qlil0T}zZV_0hMC?R&zg6a&z*F6rt=C--bV8lcPsN%Q;Jv5pOs%&
zs9xi>#>OLZ!A{YFh5qG?dvpB~k30w{iFH~mtB~zb|Kac-7A@}cKVKK~B%Rb-ckbY)
zps9PmRU4l^_=YpgeCsa7tZ>I=`z*HxHeC%ptm%GTYxeF#%cl2wv4?dX@%ptsDCu19
z=cFkCTjJDi{9d8&z59>aU!RR~SM9<cp0fY>@5-X2;$zc}{++#H<)hNYfwP06CJ5+f
z*<WSq+be1(S76zZkznam(|+D(>f+l!Li!`FGap@NzkvVp)mIst6%v20IO*f#tfp`3
zEhWEH<?>shDHkPqiWjwPExxf$bbh;C$W()cTT7RyES!CZ;l5k)W}fE8)brW*dOyy}
z+I8%!Xw|n1^V|>epLoBduD9UI{P2`b7uuJtuCzGzZfz;U#>^L%Glgq!ZK*twshK$C
z>&5a5b9tAz7clu~Ukdy9Gfb_L;pn3Y3H~jQbd&xlpa03TabnWh#ojA5LfBqDxvyTv
z>{*|#ulBEJ-Q6{w%VTyfpTH-+P5tQ!oB1VryACA2Te>xt>zYZ@%rCnI#qHfLxqpfj
zt5aT=_-MtSSu*oXFEh>3vRcIAb-pTBVnr(Zp>qc=q)lN{W4*YL-{!&Eh39t2)OL4F
zSN<++Aj5NO?Z$`wb<F)IYCLr;mohx5DP?asfBn_DMw$HyLN4s}36^<UEz{ldy<gcV
zM3{?Ry5!?yFD<ZafsWy?soR}aI!oG#t0;Y3GuK+B&^268;ABw7NiN6pN>;ljEc-IA
z@LR{;MS2yBrT;6~9^aQW<H$YX7yZu58pIEMVw^Z@P3Mxr%Ko-?nfsH)M9niV{hi3U
zj%$60e&qW}$>mCw){QM|!X~|8IvN%2@HpbjLR)5;ooQKJ@?TOv7RmU0iFQ?aQn@YS
zU9SJfPPHxnja2KGf46-$c`=*c^}qg~IX^pIaXqGBmv@fq=gvvGRu{Be;tW?ZetO${
zR785-B5sH2Zy$II>9R#DOxtQuw@!QZblu{0sm9N*ZQ=2XS;V~kq}KV$w`-VZ-L&Ug
z-MsvqcCq>0TgNQsEdIUK<A&RY1ck@ie5wqYqRkAO{b&A{<TXnYeSP89OC8-r<KElb
zFUy8(Vb=fiUSgW&q?^ruWEU^tmE{wy&pjR^a(gRh_1l*VuWpx=|F0FkCi8_C=cL2w
zaywo07JgQ8oGG$;jf%?kCJ7}SmM;wHtn0&=vgfJJyCB`ga@JqD%8$>|Uz*=!ZF$B`
zv)76hyW~;|r{q{(5jS}Cl4q(@#T>i6>TV5RKI~{^e)u^5@mqo7ZaE|OmNP;BQ%rjc
z3Lh)YR8@{_Vqe1?y6A@2K^1kDr-|3vq_{0NO{&hBA5$@9TjH_c*WNl4nU~KMWh{OE
z+c|qlQpV9Q7q4e@6jh!HnqBmMUDDy$bwA3#G|L*!DT=r*S^0&La}}$LOkDP!>U$Xt
zmpE=&Nlz?|R{FqR;asPCdQaTisq0L(TzhdnxkuSo=E9F>lPV_MT0h%Kuven<UfUC|
zBR`#gB$WLMj(2Ffv&`{i-WnELgLkWEYt{+n^-S8^^yvC;g`B4So}1sqAN$y0UwTV4
z_v`(;A6;b^3om}dIAddN?=>R{hHoO9(~o)>UQ?}A+kgJ2`?-Z>k8j@ptG4=}@#3s%
zS??oFb|*K-EVi(e5O-d#)i`1P?<W=O<6bn#9ozoSvp4NQ);<l7If02+w|UNau{(uh
z@{FpU$wDks4@!2g-pKA2EtYkBq4eZ$cOtU0njJYzWX}kD=EQTlc<r(*xUxDsfz`V4
z+{6P>zB6t=zIk}vmm5116IT5H8kMWC?R@zaThCmR$(P^TALZ&UO!(mQ?fLq3b86c=
zVuH07I?Q>;WEA$%UG2%S{<@Wu(;psLI_*HgYdz<JYuw#0Pm~y)Gn9@ub9dkW)G>8t
z$U(MC`$}eQdwKTNwp^{n0&Z3tTUjT*k4wCM?6;ZPt(B5mlh_abXk}^fo9Z)B#Wa5M
zjpZy;PtQo?-R8PM)1u}^m4`-YameAaLT15-t8BRPmjzCYJ-+3|YD0z=#m(DgV>otJ
zm3~_8;_ECo!~gF#uQxAdT}piW@0oSM%2Sinm;Su)Ww+hMICimpLe)<*XPELIz4aq1
zmU-KTjoF_+{W<>BreNN8Kl}O0ZDERA%0gnIEe@IW2pBkRJYue^Zno!&M393l`yBuH
zDxUgZmS@x__O=-qOPb{EXoz>?*IFd-FZK4EwD>^JkKKC@?u<PyHJdlUQ2AfPYMB=?
z-=!<>@bDj;R{8ecgtzM2O5dhF5BS=1E^LL%lE&1vvw78Xr6PArvo-&YT|Mi;L+-!3
z|MBs9Dj$0}p*i`oOY8ZIdtCB7YG#~1cHccJt3;%yG?Zy|Asc_z+rN@oJWis`Zh3lZ
zZf!7~Q?_mSLb0!p_+BdH3(S_ysD3reRGOpWqWq2X0y87odyY&~3tLcNvOw!r)t+w$
zq_0*aEf(1Rt9Rw~UQK)TG=T|~vkXHx|M5$k-mZAnbSdw>u`usXMXQ~>es$+uy_cWR
zchg=oZQjMg)1ea#Pvv~=dYPrbSA8kr7W*ZeGb~I&Vml*rw#OY?bt%)^)NWz;t9WlN
z9?L+_8Iw}II2;_}vw7WY^rNeP3Gd(gb*oy@FN;@tAKC0?ibcpd<diSDvxD2ytxx)e
z{;hSdBH!+p;#9O0Rnadzl<D$Zi`neA_gtH$2ZL|2e*A8Cul3-wDGJ?Jz2sEqA6{26
zapu3(QAh4|Eid-!jz4>|tciJ1is$XkYJVzK7~D@Rc;&_O@Yc>)&G706NB7(czx$(L
zmtp#+zYjm^KCziQYkI5gnK%>c4(AsiV-xloz55X3Dii!MWMSwodydoJycWrCdQw)*
z&XzB9UdAWFHN?ouU{6f;g=On}O^=4(TmItW#^iwZbS+Eaq&t^IN+yR+wfpY4FnjHu
zogsgWt@eLCbi0o|@@I<Y)Rxe5eit7a_pGa2Bhael>m?Td{6l8F?6hXN`#&9StkS)?
zL2t6jv*O#4L59wHRzHmA3kp9v5TKy-W6K||_kY-anx3$9=RNsxLs-YT8#blh@wYdy
zWi&AUisDE;>CM5ld)p>UC5c0gC6Sd!9_ATczO<~FbJNal_aC}UWr6;`R~^2m<5zgJ
zYv1aY*el-8e5Y%y6H&7d2#ZxvZ!GuQ^))7woBPYXj!9e^Tc%FyKfdJ7KZm@?ewDR;
zhH**%*w?>V<14+9K`udBtMl2fB^E0q_{$$&UZ-PiA;HRZdFHx>M_D`U!=jEJ@xP&&
zdBfQ}<^Z$V^dJ9z%_w)#J?0#LIKNWCsUs^#&S>T}p9<#nHq&m-y32X*%DKH?BAoqX
z3@bkSJ#z}@di(TGcCm1Eu3g9XY59i}Rs?Ll(_s1YtB=ub-77Njd_t#^vh?=<DB5+~
z!SQ6~Z6D+7=^6aL-5xRB|Kd<Mg^y`!-6K=J=q;wNCw<GP?GoLvuQhu49rJ#*<K{ED
z1YRb&nkMu%M)p-bVOzLx%l|DAZ|nEwzS+8ZzQf0de6fE&OgP}xoo=r1=!m56w(KUK
z4k@4Itk?Gl9k+1&w#EPNuF{|XTpx<R)ee$Q$zj@XV%nBW-Y045g~ikVn_Y^PYO&2`
zI&CPt@7%=eAK!g>%;mkjj%Q9$N^|p}&)2%W+&>?im@IWld|Qv<1p(RYnfzw2_nLj1
zFZPk|+QOoePhHz*oNQg6r)<t<xBvcyzw*bTZ~we0bh9woj_Zen&LOT&ri0D~)^Bv!
zm#<SjUcy^?YIEOf@h!hj2(2`_p&)cSVpindTmRow>gd_9D4q*{^m3VY?CY|drLKn-
zcy4&lyK3@D-;Eh%r74%<TsoHCv~dy8R{qKUL(7Us^^BtX#uc|EG;RgG3VR*@d-><%
z6MOg*pO_z*Debz?-bmzC!iI$#qTIhUO?dpNXu+<=wno|XpMN)RIl2G%<g`bv$!j^I
zm+Uaz*?iKSdHQ^n=^LF6=Sm-+ko+;SB>OGX#KM^R6Z;nLpWU;)mZ?JQmy>PBIp(__
z&hqE=U-_t3CeCR3d#rk&gI-d)PxcexS-}pwmUDI(MXM~mU2`QqRN-xu+*9X(>rE0{
zqwnr*6!rb5e_74z>C&y{QO`cK2lA*%mB_d}+-fdVcuVzH;SbMJ0hKv?MlTDKGcMir
zxOwb!%(dPL`QMBl^*sD#cP(b>*FBkr0t>crg*vUgl{dpR^XnUz^BfgxG)owQ3nc1l
zryUgj&&*ISzu?KPu8LQ(73!r|zAobxYWN}{lpo;DJzM;g`rY!`U(MoPWlgeIoO;KX
zZH{DY*gdhmrm{I&5l3F{KC1ZWPwigwGYJcNe;b9JOPX||vwd~zhEKniuYKyM^-enY
zmpb3#ig_<)ESa+G(A-y_8vNI7+;n`u%RANAcg&yPO*qG@(sYacv54uSnvK5<_IK6X
zF+6-oJG-E~XvJs2E&ILA*nFhY&hEXRZ8h88eA;6^|4xRC-|v1EPrVY!cJCL{wVOwn
z!&=Tae&xMmu;1Z(<UEEsjn1#cxoggfF6Yp((%IO%y`!aA>g(=3S)J0)ToYbxf3->W
z>R!3fXHqG>3bE;CXImfC^_O>RPs~0ctNP}(dUff=K>26Si+m2gS$|{ls=ltX2Jcy_
zjGx@G>7T(`E|w;7+gSI&i(QA4#lnB}`PHwz?H0RDG`eeV--=bIOP5YqmbPM^h)R2p
zO0iBr@gJ*X+g*IA@#5x!=MTS5vGMb?GUfaCX7|o;ju0h=S&<v&xm(Mg`1bi?@X@cl
zu6#PLOG{W@>CKl~@Q+=-wJSaG^hWJ#3E9$z>tsbV7k9Vy)tp<kn8DBH%(bs4czAR2
zA~&!FpPKgI7~4FjH?QA^9Qkas?*CnmIG4EZerGn%t&Mn^xIEGKlJDc4M$fnoWX;~U
zp(`Qk%_4=lJ)ul<9i}n9{>Qy{X?YySvva3=?p!sI{CMKAo9+_5XR{acUwpdbF4x>2
zGwv8wZ;44Pmh(uQvGUvc*$li6pZ33=Zuw2xeZ^L<cNSi@EQRZ)l}{>aS*VabW6At$
ze<Eu(J?vPt>S&z$FJ`8{Ck=Os#7Z*h2shd-{N2R5AlXpiDA$2pqsuCmFK&OFWm9kH
zoua3D_-{|IzUb1)&4DZGJT@KN*^;o%EBB>Qkcx<C>GHKXXO~Z{-=yOvvg@V45U=LD
zREZCn^ZDm|-}ZjN$<y=d-Y=RiFk@$c+l{}9X(#ya<?Um3a#|34VOp-7>|3^iC-)og
zgvn?w;9In}#chtpafff=Cr${w?=Y~eJUVaR!fy+f=9qG<aZJ{X-cVrn?I%y-n*%k|
zUd9G`yo}iR=|1Bt(`(z0P40V@?q?B^7=7Has_jzXZ2LpU+U|$B?=V}*vUSV+yKg(A
z!Y@2x_B{R2*v8n8{cUr;;wI~sjI^8AbZZZ*&-&lGT4-V7r1E#>??0XSEyBuLYjlqP
zvGl`~Ye7pd%{5zC^}TZc)_siTMc2hWWX?q-TDYt~b-3nVD_dy`clxqr`{u9nFBc0}
zys(60smq3Utwq`ns~@v?z3^RlXgh<5dQV^17wvww`0u|T&8a;gqMV&^>UW96?Z|J*
z#&6V5Ji2K!)7(k-@O<a{8{3@|qSU^=SXgrCy9CQEeQ5@E_t;fm3-e!043ypd;adKN
z>ffc0wk;BET>fUG$GK^f<~{np)pOgI9>J82QZ1`@DU>g-?%gt5E^klU3sIY`ZW@QK
zl~tdWTct0vsyncs^JB#Mvx<M$?NC?Fh@A4_{RjK{Ysm>*GG>96@j92K3@qJ0@GIZ{
zI^EMqXrraJpv#)Nbw*{Jj*HWr7mIm#%yhW=!0steZDORDio^<EmEF|`FDwz={wPe4
zQ`26lb`t*{$174Q^Zs9BXJuHP!){-~)40LSfcN#sZQmZ9=3Xd&#(d+tj}EhD@$s(z
z*(<In<#X{)XmR(XV+;9yt!>WP;j>FR`mK`2hK6bSEBEESU%BuQfAK8Q*%qytx|IU^
zS{^w(zkS^Ob}^f#mG~XwU{?L;$XD?bZ0<Eb-}dzS;=*M*nOmA}F8KCDY4x+If0i(x
z`J(=>)N8?#ciht%{uy39dnReE&4G6b0;%E?>XTNO2kdCyp#F1)vHX3upADbqeN%RJ
zxXyk&WS8U2r~Yyo^M5GJ-6U<Gc3ih6hkXL0ou<C@!b^KorWDv@m#|g;Y}u}1FS|hh
zb58TQD&b>|$0YpzYCBtKvMgmUuyR^uwA%D{dfejWv(IUt*>|w0f0a;pKyT$b>9#+W
zv5CcrLPw7$ePmi7^F5^Yt?kx@QQD4MD?);fe7b5N`Ce{emF)MYH!m$tE5GxGJ+#DG
zLn4{y-fQuhsjcVNFuwR~qJOvco8NEk>AHc*j0gJNg{Ftz&r7&^#69h}825o7ZWg_r
z{mj*At6s0+n2_j_UUuSTyT^<<FCXnG4O%U@S#FDK1C!>yiC)KSwqD7|R=mg`#1+t=
za64d|xgt~Y)BMxFx4U}=s;R8W<xEkK{PKM1q5UgsSjuE)ZO%$tu5xF|>0R4zdZ{Q0
zetw*wbmHQ}2D8E+?0j$UBwye!6-+AodU*Dv&up?j>v<l$xU}WU>djelZRzzgzuB1^
ztUo_Ke#&Hq@8qB7?xy`@+4M|THu!nd->}~N&-YBCUxx*3cxfDDB<SKbyY%qUpDkD2
znIh&+u{Q7X2<h9&ExK+evr(tY<MY2adbQWjoa<woV>#unq~bo~Lpkby|K3ZucI8Ce
z>By^3ZtWBF$T@pz$}fgf*XEWh@px`c`tT)d)#t<VsXN_5=dV}Y)HUzkj2N%J%4PHR
zZx6ktnpnd3fH#h7%3HolU%|bejRmh`W|V4QEqluUuQv7dtD9y*>Wz_mTW>YJ%}QFH
z+??27qrUW3(_c&9v)8vsTkRBGuc{RJQSyIj==1p9Z?3(N-5ip`Gc)SY96^K2#p1DC
ztdomZZZX?d@zF5-_$<x<4d&u3Ehz<sU8l6$6aPfNV$WTmQ1)-b{tE^b>hA(pvtPgJ
zZhq^<qs!4P&l40<x^JA6b`Q*IXHyC-zOsrzAaCEJsYQ49%{jho^UKu_(lsvTC@EwX
zXuUEzd5`DumrX@86;4X7+IBWxNu7U{q}k!%wf$MIZ+}f#SNr@vPiM!ZW1r6CR|?MR
zo@COwNUQowE$`iXdoq?KWqSIw>b*(yo|q|;%NpY1Brcv19`DE-zNSrML9^t>*3H@`
zZEJ1C-UrKcF@$^wGt4;280W<CwKz$8ahCd>%hM0;+j8cC&su%mz>@;!AC}FOeB|Ks
z`t_?V3j%nat6UfUr}HV+XWKN#?OWE~E0VJ7U9M92SXut;J-)vRN7=7C)qeQJ&7Ynr
zaxX?lpLbiw1mC}gjdq41`yVfHGt*c2%<$7cboFGeXWF5EI%alO`oD@|vkm?uoU%b#
zGkuO*@ygQMAJas>J_(KtOTKJ8?afQW>CARtn=YT(oFgc8cJGn(g?pzT-FdWO8uyml
z2^U1tvR~|y_qw@!Yw}#7Pp_rZ*B|9(y6$?x-M=$qcG}LXiN~gw*?6D+IqOyEvBlwP
z>(ADD__&r{->!1tvP$5T+l#bhE7U6YZ&UB+-STPITE4TH66S1C>ytmJ@l3W@CT7X7
zA#PKe=pFW0J+_MmH+lM(KCzemdFP|`X8G4{3|G`w_1~MUvw(}g<g|G9Y0=QT`70&g
zwy`i(^}jBuUdAy&k-I?0PvNZZRKJyNyE-N%rERf){^XSj)A`;dH<RT}o>qr>to%`Z
zYo>;E(7pB6`#KkAFIu?j)U!nU-;-|nE&kH`Z|ic8*y^U3DIPQV7yK#`ymME2-p!)D
zGhQf$y^4}PwsFgCv*Z6{eq4}!t?IG+)&91<JP-FaGryU!%Jul1Ws`L5BtILP#N3%T
zF*D`w$^RWZQj1?_`mj~FA7k%-J3;)%My+bQ30x(;nwgbB4;wD*+<Bubv*#;Q_L3dz
zBOBtY%6GKh`4oECD_@B3M3%@=EsInW+1Q2cs&gN#u{kn@!KdYuiQVs&o+@Fhx>9P}
zT9)1Pyz9Rx^>O*X3m(SzPP}-<`?5Va`&9U?OXinXZ`ye5r_d_5%$2cU4=lQ(@ptna
zF_DbS3jYRE!P}b7mK#j$Y_2J2PCowL;@<W{5%c*k9+`Y*&%V>Si<9O}>bo+reU`L}
zQ=(q2;kgUl4;s!mY*M#>lycT=!<UeL31)7S*EekZlg@5-dvI`<w&2sOkJcQCF6aI(
zTzEtw=bwa_aRX1vT&|cShg$5HU0RyFXP^4jop-Do49z}2>)OQrknv92$5YL5OB$LO
zOQlx(e4Qk=y<*9#oqM0oeE6r=(k!~l+3Q5~mmPESR~`PdHGHn4l=sz8pMdj66Capx
z2IOv!k(u2yQ}SU%+!r=+)>-F`l-C~(GU8m!Aztxb;r3F|o0repGf78iy3{YfY0o}g
zz2~?0BgfpS>NeBjJLXJ%<uhZi@V>HNXRh5>y5NyJH^OCpc3-*M^wV<ph0hjENzV#P
zwVoX^zml`gH$TdIzG1_I3okq7oZqSyayKBJajm-SyQQA;AJ5pUE&jLN{hC65oTWqG
zv_~BQ@-5x@Gj6Wa((rwrzNy01vp;XEna9J<G;t9nugeP#xbBnNo36P%(=WntHGA5w
z?b|*tklp^<f@iB<Zr!|D%|F$Tn7=;S#CiFbqR`@!8kJxA^ES&aT2a^=SQaJB5c9J6
z)x;Bu>_1k0Rdu*zUinqe^JdY#7h)4tnqn7B@3~P~-tSYs-+J5f)jTX5Upw+<omi-4
zbyhk5Ve5DPh&GpZsk1cxK4j;bvp<ei{Fod2)l}|gVfKgB&%#8U7cO7&fIU>*yCv@j
zThP5kJxA-y+r3VTJ>T6^qsmsh=cZ(@<xzePzDwD!Tf+9SMMNIm@O}S@?JSk^n*^`F
zQR(@tGB<B`j8PG{<AgPn<s&wKsN3H1&a1;l@~H2GuUYl=UnKq2w7inGX@^`=GwWWq
zVdd3K5liK(dm4q)uB~Lf5Ie)~m`tMw-<24zKP8<1L+3p)^9~PDk`k`<n`)IY-$U(o
z_yqmH*vf6kc78h8${Lwnu9U#HGo$fXiA`E_<ZStar(R5cEykI$U&!BeipiJuKV4nd
z+@2dotQA%Wd|bEdUzvHp)d$zt^!6}!?pt<A^~UWkRu=y0oR>G&aa8){PMTzR_SB^9
z;iVH<1D9@mV|i}(YKH3-K{tN-m{tCtr)2!??~-rplGrzY`66oL5aY0WhEI@l#6_<r
z{cXEf&(JhWUX`HyZrbX3^JB&P-=){ic{ORz>046{E7vq_Gkf|aH|&4?dDc~rcb=;M
zutQ+?jGnTd$@&6ImIob|oLt~^B50T7{}8dyE!PvIt_!<r3q4qVg46V1^8rKmW1{WC
zJAQur^ho<f$Sj_kMJh}W?@XD=D3avYQyU-k&EJ}7)#e#2FL^RHZIxf!ZZiE>IM?GZ
zE@AdhZd)xl`bS9j%!%}Wa`$5|yFRH%2<a(5cSG>K)$1_PiH2W)J-fK|h?%Bi>(d)=
z&%RmtwKPYmA%2(t#N7JC*E;8f7V%VEI#3n#@LX;8Y!;43^+s26_MT!Ak7?54jF6h_
z&6V`|pJ&p#W833j&HcV@R$P3A{@iP??>iZ>Fbn4euh`yIb;tHr<=KvEu?N$6e{G-M
z7_;qrTD$A<1uxP?*F8QJUfyMKVx2OhO!}1Cc_;eI-4Aa&netV-<I~&7<k%e>yc}=d
zbWfX7JpJy|h-AUz)0TxW>pV?8zW5^F6)UFw>#v<QTB{XU_3y==0_%P6>|D)e7HxS^
z8grrYz{H2YHnr8PGME2%`KoSZ@a}w}8NX^S|J|UVHenw7EWZP*GK0=fPYrK5@BVZB
z)FYbvI_eI64-F6TzWuT0Tibkt>$5Hwu>EFbI<dX#*t%0IKZLSAk!P9xb;(pd(-h?+
z+GlQ_Uny|b=wzh0NPlmt4A+UK%e+m|$-h1ZTly9L+*y8KD9*Z;bIXA=C%K$k((Bu5
z%I(=_l^tJUt28~R_(aYLj@q*M?s;!k8Eia#?pnR3_QXBOe|v<DN>r|O*?j8$v3c#v
zIISi8yE;_AU6A485}frcq%EjFs<!iSz)K_R1&36)mdXe$G0}ft91;;+?8Ter{&JGW
zO*f^*x{I$QZ`?1mnEl79PDcGJlYRKlIMiGUXLWOuy*{b;%?G!4zp@seR$ksw5h3d`
z>%o&tIlqo<jndro;Bw`4>1!K;s!Vme%|A4U-1YdeCQamwf$a*1h31=b%_3$tsk-lr
zTphMC*X3nL>Y84Gn}<!0y?<MJ?0!&b*jHiSTD3>*Q{r|>h<JTxI<#*6c0Qljo!fdJ
zPBEO)&DFH=fyFd$&FJZ3S2x%9El#m{T(xI<aOIXGi&`$1Zcct}CNpnunuhbKQ1cx*
zF}?rNcCtPZ`rw;)I)UNBU9OG!Ha30N1&RWNS!ZSbysFER*uCS`d0tL~39BAuoHdp_
zvwaayxH8{-|6eDHy&oB+W+d0LbR}rdIzP#Z`TN&r>(4&+Q4apS@Q50_!QpD@JoYP@
zy8}Nw?)g3QLFSX2_uiZ`;||O^)-}Cd(mY}A%<1Z>GnX>H6pvAGTcEh7(nPkBU9O?Y
zoa45@i5Uyr+_tXTIcJ;I0`Al+LXQO=Zd>O&oyqw3l1~e78+Z81S*rN8Z(NZlQ?+(V
z{>7i};d}EBcGU&CFA3Y)YGjok%((Q1rjNQ-(2fl{VZT@2Xb-wDe-*QfNX~z^OQvb}
ze*M0&Z~O7QcW06wTHb!Z&}*yzSK~=AQ%t3jC^K)dOWS3il(msN_?v5G-!d+Z4t@I0
zc-v#2u&->+dy_(+E&eF;EPidw5@nf9bw7J2c&i3qGtqp!JEH%6&JzD$JG#=IOw^3+
zmN<Mvd_h*D!6~(Gy>2?QZBOquS}AC(Y0I$tzfa)5SN9(7^jYR!Ut68IE%wUSWZP5A
zCoJ`1vpMOm-g<k*6;;JemCi!ycZA=`WXzj>s!w^{y}f%TFuk5#QgBY-!=)^%C95u;
zVEoV^8S49h_vo?I|J4`1Y`l2aRL5qe;OXU8UI_TL?s!po=V46lr$bZcNm;SyuG;f!
zx|+1V{{$hSC8bKg_~dl^xPQM5-+M(QdEvt;&Z`5g_f6l-7&@zK5&z`RMcQYC*Ew8$
z`ox(xbCN^cgB6ob_471IFZ;JZ=by)q$2K>=dYg9NVh~}Qq%RVExQtCcsWo@n>jf%B
zUlUdrtvoCLe2<*!CF#${=b1>I`OQ16@?5gw-p{KNiVjFDvrE+aGyA)w+uiHm4!+Rc
zzs7rl6#ts|yi0fNt#pnqFxVf^uyWouhZQ1nEVVC>&W-ZECVBc++zRc49B1_tXSZ82
z)F-&VFH;hE^7)2#&DYdzyPgLeImz;MOZriJjie$|-s|lvcM0zZ^A_p6yx<(4xVyTN
z<emCZ{a4S0!sE8ge)H)V*F&TIVSf*nY!8i3)^m_u$a_77ld0P<^w#O^4Bd*y41X-V
z?QwBqMyuhr`~RkJyk;-tnte%lj^u%3+A>oErZ?U@vZJ@mV~6MskA5wq-+>vm@8(=K
zIbix?<yU5}kjlho>l4o$l5x(M%=}5+|KgrYN3Qa*wC8WP$Xq%5NCERdWuvpazDGPH
zKUjG;MX$Ka&U8e}*LR~hpXz?~>NVT>Tb5YKE>7xfz2w-kW6jLTCtHeF#$7qiHl=@!
zMuzXAM~T%RnxuS}#Cn}v;r8gyRP{+Y9|ZQcs*0_uKXBrAyn2G?^tLzuoxHA8|KDn0
zKQ|@g(2Rs-6ZQ9RNO*O0#fiU@1Ve*7k2lZ#k@RK*>#@lv``YaoHGL<QKUi0%^yFl@
z{pYj#;@t^%ZO-n!dQbD~7t4^V{W5t~uGhEk5$ZNwQ4#;iz0{0<O54c`a$74m-4a}L
zb!TUT;e<lIn!o>7PkJt7b>op$qMeSx_m+K6w@!~(b}&!#gK&F+QSH2qk9)V+>^OB<
z+%<P`^QZoax`m1wvx^N?It70`JXwER?L<_IprP1D(QiRQ)Ae?p35>4#!n2jFsW11e
z-1&fMf(AwjDvar8@4lV4g^_2q@2(Cfh0D)*K22S)a?`Haw|`Wo2V8LY@xtGc_vd3S
z_TT-{=l8e^ggnp|a9qSE%N_gW_@0{`i&u%RjrPwEWZH04WUtMuzsjE-r*D-{yy8`Q
zEIp;>_!Z9|-d_)Wm_OnC#5;dhIH@b}$4jyQ+POz-zJliWH2rt?4lj4qSYp3)LGWSS
z+v<}n=k3m`nb*5Y*Qj)A>m=`|M~?Jsn<}sQCT};b=|%plNgE{J{XX(3@nhHB3E2l$
z`R>m%nfrd#;vZXVd?p=Yk*!+rS<a;ATh;&NpDccuM3rj?X9+(Q;0<?Vb3D6s+s3Sh
z2L<|me^xm#EPE+>GUoB^Tiu1$hZ1vUeGs|0ewUwTy}*idg}G`c55H;UuRPMrwsEHT
z9eduTI(i?P4%V8!o!KCEM)FwZ`w#vsh0FK#20gO<7wq&>qaosY`{D5alP#o}xuPU`
z&OG|Ze|$M_=B(33EKkm+R_C4jR2=BIX}hi0KmN17-(>F2p04{Q<XfVo(lNE$&pH-|
z)unE?Gu(Tp%{L@rYkG5ikD7bq^+&6cFK@ap{nNpE&JyuBKiP=lS1f<_OPR$)dKK%L
zmMI;NkvV_j(riAX38&_An?z3E%M+{I$hBhUy)C&(H?$<=toR%H*(Oi%dN8}sCupKw
zHQ(3v^{;zsK0mhN-Vx%&&AUTjPUB8pW|1E!<&WQRu;dI+QQX2-dN6j@&9_%yC%rN_
z$hUUgniJb5?-1>5i3mA#d#=aH7cbrT-|f75aLL~5Or~muAAGl;`;h1Hy~xfZdvVE=
z^19nm``DFkaukV+9pDwnJUU~_ngX4`e8Z^ZHlKa-7P>7hzYu!UQDEt(laG6kZg^5H
ze#P-y!dZp=Uv4p7cz*YxvZv*eTMyzqDt<rVcRIG_U6$Rl2$noQo>#Ts(#}WLn}q8Z
zwjE2Exbfy+;k`xYFZj7ezs^aSA$T&@_CK4AVvoPDXvWL;dn37AUZ-!d@!wxNHPrr;
zs7NFG<<xc2_dfAlogntQtIT)i)hL7X_r^u1&m5J$@b}I)?Uj-u>|TpL?y=tBmG9d#
z_h+WbI)$<W{wtG(bwBC~vs_C~Sm3|XGkL}ww~$vCghNWVXm|DPI-mDh@l!}0^K-xR
z%+;%M_trPHs!fq!r`PIwV?W2$e}!w*C!U+}_T${P{?)ZX;a^H`?@wB}d57Oxk20Hu
ze7r#?f4`j3ANVryQiFSTXl=!M_Dix_w-4Cu)Sb3wep0%Vg^c5Uw&%a2l(U`h%~1A8
zJ#QxSJNs_tss-+em$nHXs&t&#9PjKBwk(igX;^M@%vHbCNr!j6X=VwJ{dE7#eXn{C
zR%`CV>u%0eWntg&yt8M9>!$ab(+Zg`Jlk_qFLLQBf5F%crlT)%EVnT{^D(}&z>(`G
zuVQ94&$rckza`8*zb#j$IBAaL@1$=P8l23Frg}QOzMA76HRItA1;2o~>hfO4^PXS(
z^=sF;bydP={R;QRs#`6o)?aHavtD4&yfy{_ZMRfThP;DcLiu7Vj${a3<oof2>DKL(
z-Ts!_?~Cl?dHct}{EB+R9_2_K<=OXJH5<89>Rz+xd{<Ai2>euipkUtX<(bt>q~$J6
ztvI{>+T%#G{qLF|Ke`mSe0_C__3z@-rH`(>3anyku-0I^?fA=fna=_4XU{H(|8?1w
z8ykJP<mQ}>>r!;uGn_?hKTY0y_TQyq0l$e}ze~MR1uqr6ZpfLb9{2Clk*m?q?Mz<I
zD!BjPpUpKn-x+-BJQ)iu?c?0kGjcde>gDY3eOj|vBKZFMdY%hnPiMW~z}NEa=i=2H
zk2B6}IeIE;&$N<R?>5)%k=f(Y>)I7J<*j0${oGu)j;8QKpBtjLu3uSxXCb$a@e%tP
z+vc-7Ooc3GxEU|t>+V~%JoyS+c++hs?>3ET@6H;&=$4#qbNt=%@cU(3reFQrRrG#`
zP~eKAoQ5AZE}G32Df&=xok_sMqN)6ESzT{uIz?D2%y=%8?%_KrF@1H%#g*48FR1G|
zdFSt)Qc)uR=-^A|ZF4It6#8rK-BtO1u`u4|S;4ku1^Mk7;$`s)J7fGVykPFrJ8|Wy
zvA3Geyd}S)dD2h6tusk7JiH|Tf;HR5jlQ?`|I25*qkPUlY~QEPXQs!7SVRO1*UPSW
zSMXy(SN^dj8*hI|SG)K#|ESWv$zS#VN>^!?zhBjI#$l$Z52NU7|LneLiy!Mb96bB>
z+K02Vcezdxs_s5nP#fA6wa-;+a>XX0FfN9bY0<LVy4cMQ?BjO#ay`;^$!^ZA0RKv@
zRZ4eWY{`DuF0Nbff72Jixrc9TYWGurR{NgUUP69}gU6@9OS1d77({NIe26)sa4Emf
z)s1Y&Kb>c1i(laP=$L<`|EyADqx0?xCDSC<>VJuoGMr!Zwt<srOIVr66FC!?>%qDw
zESwwGzId#*@ydc}bI$Q?-*0HT-=T=xoYlnZdC-@J2h%yy4<0)8^VR)1h7NKU;&rZ6
zWEqRSGE~b;IP<S?=5IE?N0();tV&t8bH!sf$!W8tiY|0*&-?lN7Sma`n`O&uUS!{I
zD_K&t^;3btrelk;Ki>KE!1A)Zk;mI(miI!Qg+C5EV>i`TQToS({9i3RH6Qi%JW9|G
zV{bUOhMCpUyyYoJhS6qaEmz-F&Abz<g{rrti?mKY`Y2$1W{*PWD&x1)Pu9r)<9X}$
zWZPpewtB};d&A3ao+&Ao%`yJZ8t`U%RjI>+q{wOY&rbhZV8<t-#b4iQ!MN^4z_uH;
zy}3@Y=Qqr3^@uIpQ0X!6&aD-(f%E1huc%*mm`|tneL7oQ-@im(@%)7ei+%^@eq))I
z8ouy@MXTI=<5d=pt~_z?I*L_UnreM}-<h=}DK`Gj>CxCD+kQ-gkN@iFt@pGFCcEmy
z_2|W}*}N~Js#Wfz$7079cfzAKGwK%$ids5EZm>Pde2R&Ahx(ce7QCY0f3o)Tx!tor
zp&j&d<E{l0&ud5bGyA_bN_i2U@LWjnbC~ouZRT#3&ZxdyHMz_8U)kR~M^pB6{NyW-
zC-}GR_1dDhto7)<fWG65T)PtHweOtsXosW5{pO{Qch@i9aG)YP*`BYKP13r}V!^(P
z8`}7HUaQ#<Vt!IjHMEW2++h8pzmJ})&6zrJf@P*q>i6lhxsrvVH*TtEQ}q4FY&Y#`
z(^?6!<}Sw#vUzVhr~Ny5R#!_Tw)1qr{o`dpIeiZ|mtWemVJV~E<_GIy{k<la+-8&Q
za#j2~@vCvjJGBG#@8=zG_PzWrH)BGb$C?9M*+UY{{4USSYS6gSvv&T03zeeLoR1QA
zt(|6f??}Yt=R3|`ztealsKJx*pq+@|?<t-;ivvSMohQe4D`m5`meyr8|LNPHq4+X?
zzL|~E-pE;O2}b7ObMl$ytuA{N{xR-YUzF7jqit7GA7`ake?FVwou?G@&aLoKW@_XT
zu1E8?&Z-O6b3g9AHK0je=V?dgyENqs*WKq|5ZB&YaXsjM!0l$A8}}l-ot<3PX)jC9
zt$NvS#-cTo|Lvuis9vrmmn%GN`t4d0S^{+<oEyro|CKdc;PYK|&ey1kV&}?*Sd93s
z=I1Z{e|o}x)(Ll%HaeDGJr-B?ddJzVN1N)EJp8$)@pvqIc>Kh_ePwrCOM62kviElz
z1vo6+aZHJiC(h4Dd-)-I^}C@Z`=?pwh}7+vQS0GY(XJi0mh*s*s{?mZPx-IxuGp#i
z+x?zIT(YW;GyHqu4X0@08sG1cjFo33Sgx-(dsi?~;`f`whxX4}`j?MmPv6lU*E>$C
zzT3AmTFJR8+I`Q@oC8uT7fWuDz0D$*d3n+V=CgYiEPUf(c5fbQ$->_U*43w0@}Ird
z^<l=|?@z2(JxIUqb^oWK{n|F>!}rwfmN<V{^;3}h;q&iHr#@2p6|${epSz4@ZDg>Z
zVm`;0F6Q_5F1~zz{cL*D8TW@4N95<O)9GH2>6&{~sg-3?miU<qzsvtL^2Ix<338WM
zPnVe%^e=HkiVq8$Ug@@c%L`WNiLvhMo(9SJE>5}By`<_@|GO6Mj$|Jzv6dvMQ@+u%
zYx=#G#w=Gio;B_LyN9Vp4HqU=s(-C{yV1blv$&_(&3)%J{+GQFH<{6z_t)IAWBVfY
zca32Ocr{xuuoQjE*rD<IzGuo-ZQC&ad7K=nE@8Wuc%1O+{1tvbEPmVZG^v#7Tf953
z9<ey@@*!<KNA6~=&HDvBQa1`X*#60l_;9}Xnw-xQDa||kwp8x?pI5QBLF&YxJKozg
z8MrF$6?#-WSe*TYkM%zLq#7%u37UzKZ+5ny+PGIz<NWOogAX!(N^AYkru=8KV!D^Q
zqH;$?;Bpzy%TK;Pp3UF%?Z(SVH>Kw~a@>j0UR0gaVR`&=w)4*7kJa2W%Ivzl|L00O
zv@ia5p{ecL^8LH++FRy&MQ!-AvHJOTqbCz$+pjJ<UcGW&TcZ`1z8U|V#fLX9l5Kcl
zGg)`Xt5o58_Rr1>HW!vHy}a2ePjJDW)E&q6`%f~jvOH?M{G?3M)o+ZB-u08WRTV0K
z@!FMk_xXewR~sz18QLYB4JbLKkm&Bv!>l~hZN1W5Q>!?sRgw=^-TAvtZl3a_g_9*7
zC{2~(<jWU0+cHl*Okkzd%S$bXZ!m_2WcVn1YM30fDlk%!cJjLNS(WQx;%kGI*XxTH
ze~CWiqiioc|DEb<VZGpL(bAo5ES(>Wd|&U{^S|3{txC#^2>ma87tR|;m5O(z9a__U
zLbA^M_oY>}2bNqj3YorsmCI-5;1^O;?_L&|Ei+@Qv?5o-zo2ETVe)4*O>V!L-M`@&
z^W6n2^7WH<#Vk3ZS|292Pc`s>(bd4(-Wxg3dmmQ*f8t-L;H&p))xmS?R?W&uUZ5D6
za$iHuoc9d-$*BU}l6KE-DC`O<S-I)sfi}nT&&7Lw2Q((VQ+uCxUYsFurw9MX(+8fE
z1|3rWRW#em;O4)hRuPK#UHGC+G-Wp?UOp=2F2S8Rebsz3`Dr31vQLD<von4z;&W-J
zTC<4huHQ?+*8h7&|6km8{EDi~$($hD7t4(Q8M~Iv-;lZB#AKOO;s?WfCM%!r`*G&j
zj849$E%v|tcO3aT@!nexwL%AG@4T8>mrkw{S6mW*^5O*7tGsMid)Rh;mI~fF<?<yz
z_a_0{jkLo*p9x*EGcV$tP;JrTdGp0~Y+3!fsJZoH+xk~3E^=$X8$P+suu?64H}6!o
zL$@?$GR{(15ZB&ueWlU;Gu`gn1mYfsi(NU|lzCR?g_&^H=Yp<4#gc<Erm+d#8vO3s
zio2)H?%DoJ^x}`baT&Q=I49=j%1H8b=g71?{e0ulPL}kh&DKsE@2EUWcxS*ZXl0*#
z<g)>%NqzLSjKA~rXQnMU9HPwr_@DBroqD#{Z*4ew<>J}yPVRYYC-z5I`I*}M(AxNL
zzTE!rN4tJV*lO1G<W`)neUkkDX%Cm6^zZpbQmy;LbsqJ#`HCex(_nk7kr}Yl#8Ifz
zK$BVg#G^}@jOP@D(h46hdo3HjjQ3srz15oy{=J`Du(?`ie|U^t)0s~`AG8lKfB7}%
zQ2w&l{~SW3E;fD%ZTNdFJK{U<18%j#OG4I9dnUhrfAaXAy(_+LFx}SQXMBFDr7-jK
zoaYx;UfuuH@%=~fMvk*WhTKbi4%^*jivO_k;?v?2x{t)2e|<NcXOZoZ-f%lVNat<V
z((@OyK6Xqwn9NZ4_iDYH$Hx;}E1XYld-3qX?9bwz2mhavt@98vP4;@&-aIvBRZhoC
zm9)uMB95-!^GU<T|EIw5>-@($_AFa=+x@b}sb%+>C+J-L8El+ALHzu_Ew=S}+*|B7
z%S5gG%|5AHbo*2G?eSM@PUls}bv#=2Zn;*Cq3NdTIWqcA=i}AA*1fd%opJZn1G(1y
zY^m@2rI^;=x5+LI%6MFxVjDX-d&!m4BDGIf+VAgO`t0KC_M6ils%-3F%qlzb!}UYB
zMY7qgquvENn=9P(=8Ny(oqOx%yn9a-S5+?DpOnAKuINFT_?Ng-7i0^UM{Ilj|G^r?
z=8*Lkg>TY2Coa|8wwzt-<))8McgO$COL+CvX=BZwZ_QsSBwg+WD_nhPvvEnL@Kx7z
z-{896{0r39W|VI)wBBVDdEuVNp8us>7sMnMx7lkaFS>H}YSg6zoojZ#nN?hL@A8sF
z+q-)|H=o=2CfrHOgdw;0ik~KT-Clw2nbATwBNoie*<q*V;&<j7>yDI7@pd0v!oR+L
zz16_z$d8DS`C^)10$jX)h2$S`aGbp)(0-S3qvY$RWu2Q|yphqKEYc!8XZrE}%yQ#*
zhh_;_oL<%U+(%wycYu$wM(s;&>Ap5k-Hl%tJu2bqJ7m$kO6ORX*zxwM7mu^gKHn&E
zFFaB@#C!9(((YAxnKvV9SN=|pJ?dqWBQoQK&Sa+ShLtM%nICzYwsVTP2<hE_x!of4
zZB&5kIUPUwwU2h?KjE2Kb-TBI)4p|cSwqYp@8k&Hb2WH|(|fJQVmE4;5BqCfO1X9Q
z#l|InD!zoQalbz)#E#qBr?zlDi)Pk++m43^CCc*@wNk}nt6$00AKznjkyBSf{$rTo
zv{ugK;BH%=5K9e_wG(?*2snB0NBYJno0dgdsT;47Z=I$$`Jles(R*ju(ihc!cv3Jc
zwd22)t6iK5&rjxm?QhrYIe##kY5A(8Kb!51(ij)%hX#GHzj$!@mepl>&UV*6723Gm
z@~v7rIZWqk*M~=^uUjs?AXvEfhfMHZ<}XWB76+d2%xh#m6!Gc4^<3v-_sfd*Rzgjg
zEH-I+9Is}tw=jD4Hg3M$j-aEP*X4`tyEyH}tsSE7myfUDyx+fAYTEJNpZdSd|F?3<
z=1DuIT{JY<kYHKevo6YViTZYHE|bJ$#h;CGSJn6Xb`|VoD}7q48rpRt+Vpi%m-VZc
zQ(n)qy)VM}IQ^;0d;f!%JwARtP|dlH%f`J^$b9eQ1b3nE3DR<AuDaU}PG7O{QCvvI
zsUtV+&-Lk=ZS!<;^lr6g6MBC?N#~Nv6YCKD3zL@g`7XYG=iRwE|DGv2sK4NxCwcn6
z8GF6G*XdJ}y$p}8`197cS5A~|i{I>@lU4n{-_h(_ztZbt@cn67GJ?f92|hZP@0bUx
z2`|?@&wW$#^OtMIU%AiRHZHuvS5c{bj-mJD&X;qpcRI}K;(zP(?DN;(4m`IDm#mpI
zec7UY+1y^{QVZ-BNmYC-msHMTpI62Hv~)$=55I=Juea`AyJTX*x!ub`R!iw`eNn2D
z_ExLdcVDwx@v?Jsyu5B47P4D*ak59z)a5hsD(|Itr&X{wo6idPADzx}dC4ugMyV;c
zf~-`SmahD3$STI+v3t+b?rC0^)1T*a$z|CeQ9mnh7$Lm>-*tv~O|NxUbJkiMkw4w(
z$ZWPl#Nfz*`AdE;3y-YLX!PfL^V^K~;oQ%!f2|1pcRYI4HxDTRO=q^OPA|4U@7dDS
zCjC!3oBwFfFYUZvlFp*x8$11G^u3sPR_|r*o@H!@#ojSb>Yp*`YW2G7c_yNQ7Eb;B
zS~g4Sb-8U%JnnF+FWbnLxc|bHzk<h>@dQ;@EZ@D*?R9Vio1oF^EcL@>+&@lrKF<w0
z`e(K2p5ykH*<M!7(ail)w1?NtDQ&{GW3P?p3-Sj}-S|h5`zl+0!jt_Et~WGJsYw#w
zIF*w_Sx(V<S%-jRr=P`+Dw(fKt}L8s8ov39U&7RYZOd#TtZtum6s&cb!~122?A5nt
z&Yh4t`uo80){=}6-w%p*Is329nY=z?#ov``VbikD@N~ScO;hzKsb+P2SeRrhEO7Y1
z=c2k-ro5XjZFb+$Rhuq(^1jmP?aM>@-e~Z1wRt!n7Q1htwSUHAizQnFmH)NwcsDmu
z{?X1ozIw~AhFJzSU-midG{f=3292b<l@pG=eDG5#GeJvb+03>J<wpg7e}A!S`qjB#
zc}%<-&S!Fcy7|E(U9)U*x@M4P@~6Y`eNq?By<Nm2Hgye`!nvi>lQaZNE^PU|pa0x!
z8RZ4l>K<J-YQ|^3KAha8{Ej_*LjLcLpDNC8*X#|`T(G$B_bSeZFN*)^bjI0lbW~sX
zE>I<c&!(#On)t(0Uk(Jt$e1wR6JPJi!K|<;$^P`euc;sVd**&QXZhv0*Nkn}s#+iR
zm_3#3>}U7xy>R)2_JI#GmvCC$iQN+3CwHuPm6qJ!qq9xI%UUxT-bL-+^L<ai9^dlN
zoNZtFJxWc_Z<n3%rbXu5E{FYLzx(<&m0#F%e6`%{V>873^Vc1Z;qLtP!8*CeuAuGy
zLe<qur<xW?9s0^QIkb3p+vA9%Y1OG|t3$FY&G$EM*thEOid%P+Oq!PbOUt_bZsEGa
ziQbKwQ~b>|e@^l%PkNij7nq&FQ{tERVJ4sc`q~fvN<UfWvKMY+zH@em%hj5XooTI^
zZHBJTbJqz!S9<?>*`>Oe8%0bDCyM9aSY2t;#Be*-RX9oE8G~PyLXx^pkIa&X(r(Yz
z$IqK$J?Uf2tG_=q-$#_3IiPL$+Hn4g2m4!BTsrD*|MP0}%F~=8AMR{<cks}O9oua}
zrk%c|ZDwYoxA&IrW2Z(LVdvA!&aM1^U}C`cKT%yTrUc#Ew#v_DN4-v)^r1B48O#AG
z*EgoFJGWZ<c^cz3!Np;7tJW-R*Ew~vRKV?S-PEf=%WJZe?XUXGldCaqP)M@;G4qS$
zPshsM)iQIZU6k2m%)dnCDA(zh#Em6<|39Tg9sE)KT!YK^rbJqKjDTUjLDo~}uh)Ci
z;%r|}wa>KBvIwX+p(eMmAz@LO+ozWPInzxP?KhdMxpA$<uIG@0FQ-g{)Rsyq4uP%C
zkF;0aJS(bQzScot3+tVqx3=?Ma=to6;l1<U$CKuFtBcC4nZwu18RXcyrtVFBwPX6{
zZ62>*Ox2BHe0`|F@PgIftdE-~FW0}g;h)v)+nN&(sOxLDNPT9{u{XW!Q_pXo-1L0X
zs@eb90#@7dTSr|p*nRW+E_37b+85LIY^pqR=cHAn#r~5*)oVj#SeDB1e7kV`d%F0;
zHxu65eJe9{oiXj`^5fH|?<<vCc%@7Avu4i8v(Nssl!!0bGKqnSdFw{S>3?b}q{<(3
z@Kye5dole>aeZdt8e7IZDI-_s3p;w>pVwWq=*n)^XUpH*sb6{U_Dj(n0q>7Y(Nt47
zo$=u)XLS3KSZ)DD-q~@7d-nX_QdK%D^4T)?4X&v&jj<jR_dNRKn)qw+vd+nJ3@x)h
zZ~B~c@?v+uOP<SH+Wsur*;Zi^ea)wSdVi#!ePpNNxxUB0i^ZesnB)@$DnvXU?&{y%
zf98KrvnHR-S-XFn3{$cM+L#Vb`Mt3~!YgBsoNGBtW|Cmj;lHn$MeDl#6PMmu|M+#q
zNxAzjOPpe#Y)aOjm%H5XN?!Iy#Y?Lzxc}}o4K}E*RR7nS?l`GE)9qd7?7bgc7BPnx
zI+<r(tWDORvFwo6SJ~ZOnx2dw+qN#-H2G*^wVd<wSjS~6O@bnNd?(fB#b#Favj(T7
z1W5A<-B6iPmbUWGao3q<t-ET4SUH|v{wXt|W@h)By~PW6*>B05?N<LTGV;K;Je?0M
z{xiOKd)?@&oD^urfA7`}oj=msBv#!{OP(ZHs1T>WZpPx>EQuWlML#h*Zed$$u)eXR
zX=Cv9Es|<%WizgX&RVv;d~LBsK*e*VW14^5Fa4=g5?h&BHKC_B%I25pi88%q&TB0C
z?l&Jcn;<c5=0|2b4d)Y`*^gJ-d~md8V^>@D;^42`pC)(Q=A6FV68U$Xluo_m#nb9j
zS4a3{Z!<V(#?(@^C2sQr-FfQOCw;E`S{bIM@`$b9%xDpF`1-%cZatg+npd|y?yeUH
zQ;y}E=|31Urv@8WdQFf@`JX1&u!~Kl_4a>Pt=jdbGWDyq6&PG^n17W!JZrs711F>5
zxt6%ISEk&1D1W+bXP1xF?o(_JbiI{p$`@_8e08^m>uXk#M+ak`drkQlu`qt2U32<+
z)%5jGG9#Ax_v~4ES^h7Zu14*8mAI9UDg?Jqna&asKhK{(qCUyzLXN2BE2X>LGk!}<
zTY5i=d#Uqn|6g8vKWm0XcIDodn`OM~&X0{BDykREo4!VVn)+;S1^XzG>_;&#J7)Y}
zl~!VET;CsZKBf1?gT5>8!`M9&?Ef5`%^#Ai>1e^dHgQ6bLHqN)vsZ__f57^x#?N)m
z?a(Pk%>U{bxjGzWQh#oGu%PJej=2?$4;x>ck-acepyI-t+1Gz3t()SKwRZNpmvhY=
z)_K|)ZR5BUqTRv8@^w;-qMefVyMs%jejLAVxA)HqZVioJc0YAWew?Y8Xu~EsVMjvP
z(!*Uo@{!_ZCs)bOe5Cw(zsQQf+QVC(F%-t^7dohQ{%vE&*9%?263K^yeLsr&G_-_&
zlwQ|zEwB2m@b63evQ&b2if*cYXm<N5uw~o2MJ5-D`rho+U}H`)y8F|Wzv;Nmhp5(x
zbGGfVZNGD;=~O|P)8se(hG#CfM&7uwYE#|1CBGD3=qKb__Gt2OoqFW5_HxYR!0i_;
zi#D#@{^yfJzRtTSt>?@k(miY|1P|HW7U@w^c6qVu!tZ6DGB4cnQ`F~fzFb_;A2rF?
zZijEOs(NvvOdq@Ar=<lZJoi0km5E<!Kd$Oyk)c}b))jGGl3`Ac{_@yICwoLxZ*SAz
z6`^ge;U2iC(K1rL{MnNWzm^~2ib>u7{n8ov6I=Ncw9Sfc&DcM=Z^u_p*5hlJ<d~fj
zUF-d@q(rT^cb+oO(NNhtTknQ9{Fy0mZMA#!^y71EnQkkJRk{XvFKM=z`P!y9u!pBG
z$JAHLO-r$)u)aO5Bi=$Lb%U@={L^6HMNu8o*+c%$sJOIPQOwgp!`#YyW!sm2yVX*w
z{-<vid2&kn{o*yd!x(PgcYQsdp+oe`hUc^66k`lmy~wRUDA*9Q{kW>H%)Kq*2}k;-
zZC-EdxW{izRMmE$kQs|6nolVe^8coHEbkxNl&z1~9_!YuDXE|T`XM*Nek1cRpBKxV
zMZ=x<#5#*w+V3!%+EJIp%J=upKcBq74|mTLNaV-(KkGWbeY&$rf&R@EUng+qSz2ye
zuy^<K1^=FFMcw;j#b8{Md+YkM^reLhf1a~rP--;mxYd4Tl2zH?3zhYAu6JiHNbrwc
zc=7PT>(%})Udue@wqKJhkzvfz<XG0bzek*BW}Dj9RV%MczrXlnm*<+Dj@`1qm+IRF
zbN=C6{Y8UuV#$gCp|xES-5n3seGtF@|H|9wn;WLe`<1c@e6{V==vyYMU-8`V_$EGy
zicd4@K79!1SK^(&dBIih_EUoAtQ>2iZM`pBTAUJb{;vN`vT|M1^^3|XYbGbU%{zTW
zASK#h=4r-VhBGC0vAH{%yo#^76L4S-m$Ri>X<*Ikw?z{iFYP)kxuAY4_hlw~fw@mg
zS)JHc^6yErZY=3}zqdn`Yt}|Jp1rcK8Ux+CVi`Mq*EDWw`@NlA<e#mjoo}u8s>z4K
zC#<P1nbI0@CR*W9?dpy9(?bPh_=OIvIGV-y<LRf#RX;4+C13de4g3*#P0lH>ERD~n
zs;o!)gzNN0UUR3{<=$4w4fqp3Z$^FXip+_Yu_;TU<8K{3CjYK`pMAuuNqbg3{bIkN
zp|xYy<6}F{`5WvFy;pMisS3yTFrDQaU;W+oJ?OV#ifu;Rf0iFhX3Q5nlj&EWe1T`n
zt;2h-KKqtr^oR54Nn6jI(p!1&Ye;UNKCf-{)~SD2@aBF`mpDDod}ivF$9g)EFZS`i
zG57zn@A3EL%cZZJz1^7PoNq00@X$&z2a&UT8rB@V))H1R!}ssy$39n7@@Ey-l?2bY
zJuhneL`j3|le_JY=_G#2Y2WgD;&U#?)m_I$*Zk-*eR@wnWkSfZ6bHA!iLIy2dj+3$
zr5OH*U#oRBbL!k{>(z3m-Mnk|S*$hU{JRsgaxz(5BvbbHpKr*h>rr6;@%Z5NPl-lh
zFO_yq()=x0$#yPoVo>e>&+(Qqb*_%fdF{LlO_h5XcbpH^zHqIkQMp6MPGCV`rfOgG
zhSrP)jSL=Vu61fZ&!5Mxcp=bQKI?h_cYKLPS*N_Kc9VR<t**R@CRZfR1tw2E5}~2H
zC23X1q%eWE_m!XBnY8e3_Wmu&ip#WfH*vla-{LlZ>3hrLUfKU6MT~Q@l-Put=U)xm
z{=a*^-yD&Px5CnuQZv|i4;B@ft&$be((nFg!*cs{TBku?%$zE2Hz~K*E6aZd8+9p}
z9bISJ)vf+hGcn(A|E0FnM-nWR55B!=&C$t!b77(Sj<t<~F2Aq73OJ=FQ?9gX%F0El
z@mKck4*RXF?pN?jlC$o5=MMJ1-}Tui{oeaL^lG^|GuSHc%H{mJ2;l=$CeHqrx@zx*
zRQDZab#9J_t4luWv#xP<uJfN*z&d4*a^IOusah9>OM6ycJ*b_qVe{LX+sOttk{UO3
zgDv}i^VwD#z0G}CHASw8!`fCbI`|U{_x6off?eG9m2J}Se6sS?-t3Z3GMX*#e`$z3
zoONEk^Y>cbJB@epgjIZ1zFjTU`mQ|l=*m;8{8}?UPg%>&b;xWnQ;%ASSH;nX+1kfU
z+wwnNyUJ_m@Lumtac1C$RLy(uD#I-wGn!gfh@E6F?m5-+WPbqHhC5gJm#wV0@W_zQ
z-hG;?(~|C+A2ycm%FtQL`O5U*zSAB}Vcx%HvOl}N^iDymTf>*juYx}I_e<W7ztM4N
z(%SUTt3_;%F)N>Nl^5sP@zC6E>35&gY}X$MHD+!3bfHG7#^zJ*IsJrQv&0Pz@2U@!
zub6WEx_o85+(#(^%l(@i3|c3sb~VeU%ssCB>R!=|Ae)B&X?tEyH#vR&#>bvHmqV<p
z{MA-=X<kg!&Ff?i%xqz=x}f~~{`JE8+Y!kYy}x-sWE*{*5W2e1@lW#prp+mPUluH0
z8<3Ofe7*4Y2HE<GHEW;e^u85qoO$cxk_-3jx=yS%C~@-c>!{0ok}~6I{F0*@E7og&
zp4IZgC+&LGRPl2!pZQfLNIaa;aaw#|;lfl|&)~=L)sOagPEjat-ag^-vR2do*{MP6
zRQPxb8&=v~`TXWb$%?Pm?`vhh3Vc7?uIAAA?I_RpkD`T+?+k?9ZmMxLp37B{G)r3f
zKPq3xewE~#_m0{%=D!=y{(n0|$6S6(x7Xfn7w+=Dj-B-;J~DCkqr<CY4wc=C7T>F;
z&+g>RF8*2UUaqA^qtJ|NiGjvb0z345Zm^tTj|utI5gW*7^xUGo=#u>6QnTsH+^hmF
zsO+?!dF6G*{XcJK?W%NLn|8`iZC2Cz<~F92ErBh+YuZ$JyOke(d8Oqa$lR^t%e<}W
zlglsFOA+rGF0<~uWpkh7+g5g#bUyu1+i5M<k_wqmPd|y&W!ja#IoamZ+C>wmZ49ZK
z&b0R0k!`%<1$7q{O4YyostD0H{SxV8@j3KJ)?T-Eohf|1E2X`zO`T-^E2FDpD*vaP
z&)pOC9j{*%zv3}v-k*tG<?|-{?UyL6ju+nb_2FaX4;#C3L%Cv4xaJD4XXfJjuUK}+
z<LzFR6`M}H+axt9Gk@v3Ugfj{r=LGPb+liyTdrnm$Ck~jqnFr6{gY?URLVNT!xH?I
zRraII_Ws>gQOt9q%%{Gu+@NIeI^+|h{gmuV{q3=zjic+eMDCd{H<}@TLDRoH`jcG4
zzn<mW18=lXs<=My*bImJcjd+SgH#$Ae1E3vmp%%9DfzBuHY10Y?}-aDx9rTfIj}Oh
zpuswG%dwU(QtU3?N{@TQ&;Fe(aBzitrt@aMTC+?pyCb(eBAC^m?R+TU^sm<Ep?Je#
z-g|9k(*j=##(v&#_QHnx^i^-u>jD%`d-+Z{9d~NwKe3kk%7Hp3O&4BX?NC1VTEV(m
z+fPoBc=CSH&5s`^cCEFWFIO~s{>wLORJSi$_|Rp>X}`;tc5{@UV+-!84L6+VyWjWX
z%)>{U@{%XUKheH+@^yZx!Lf4F?qKP6$N1)k|Gqz$OCVfj$8q`J3btaek38VD@zv>{
zI>q8vH%GdBp~cbAMgL;1wJEXgdUJteu8c3YcW=YBBcTj(PCXVLbAqg;f(=%R&S)>O
z4c=Vs_<Pkpb`^*Hs%INhy0hoJyDxhoZqr!~rS|1440<6EyJq(<n=|!-+#?YwL&f=R
z+Z|^uTN4$`xJmk28+Y`XZ|cXB{n!1tl=vX#jUVq80ZZoD{F&(%z6tM`jWnb!L(hH7
z`1R?Rtjmw4>?)n24XtbT+F1NbQ@ZYzUHkC5Yu^013=DJc#YerpkR%uVM6IvIUs+{0
z>y}$FKT}qlR$RR8Tz1(}&qS%VHq~<%W4jcS_q=1XTxVrZjjA~(^wGg{@<i9_+yA6q
zD@@N6GiSWZ_-4MZ+{#0R{Os(P&p97vY`_2RGLz%)`_JsQPk6idn|{#KgAZRMojh@3
z>kHk7ooqX<J^TGSqor+@r2oaT4=W?grDF^K$;5DeJ}~F;FR`qc)7Ln(w0=n4{KLI^
z!`B}^Gu~ZbI=}hh4xN}C#*-)Rt*hwBX@1*3&E$E->9szF3ophNZm<ly_+?|fQs&le
zuO#XOm_C17UHG7+D(By&WMl2$H>R4sNvYk@AN9-Syvh~HH*>Z}t~1=QXm#w#7Mt@e
z^|?AWUf;u87dUEZe7X4L+D*Ctuaj1Z`^Zn4V-lP7^8!OgQRDsl^KRZ;5}j*yw!o`m
z-R{b;SLPGUm6f-qTtAt-a8~ToeNz{mZacBz@1(7&XQFMo`4hwfE(cDn|Ga(ukA(}k
zHC|r39JOZEw@tfdy$KLf=$L-MRDX-K*}2{)>L*OQ_G~G1+7Nz4@5`*S*3V2ts@2-(
zRkG|^xF(}v{nAOdDrUAu3xusUbByTEpBAXGWVLRVx0?9%)W^Rb7G!eBo}A;vG;>1u
z*X_M4cg#NM{!@8VC8sslhNUK_)OIwN>pZ)0?8x&d6_d1sw^e^yJes-eZ))a>i?P1P
zJhS3TT=`Y<vc7f7vb!Z%9g@&8=woU~PdvC|(nlw!ea96-47l2l)hm={*FH;s@}z0r
zbh)3BCLx)}eywZt$Z<(_`#eGPjPy_bsSPP}IC%@dSN*un>a{KP`4o5d2fL(ubx!I&
zXPC`rwY$t#rMPiM?7FuqC%3vriuoKbIc;hhcE#w)ZB4hP(rs@~?EfZGtj2hGjrwD&
zCygb0<rdn!XWFEnwr0osFO>lgcdfE``$nwgeA(TrksD^c(%t_mv7lEmx#2`Y-pyls
zWnOP?H?Cfj;F~tx!@)=5oHWC-DT`muIJH|~UbNlY%FPqzW+|E-f8W&+@Xw(1fydpc
z!G2Q}f4<l@`P>1cRc~e~JhVP~n%C~=gcch%j((Z%XRfVSCvI7KAlWy>V)6F(r{^7B
zn8VhzLipM9D{uH$EWEcNA%7ifb5ZV&q>NcJ?2OLTtK1IFnQH0voM)TY1Fc&VwC_A!
z8{mFgPdaRsy7~Lhi@p2ZIrHDmx+NzNxN7;70GARg;m^hivCr=tEt?;%$bJ1_g~;w%
zR|}d2uI!GO<=;B#=r#uHWYr3hqmK6uJpS_I>a3{^BC+99-BgSU=G_ZgdfVfB>tw~P
zmJcVH6*F)-eBFPP^|hInOv85_=NF#LGD#owuHDoVnc^u~xl8&hQ_-q`-<$TgZj<S9
z)GnT$(t6-d{_fxHu{)kU*tD;0@*Jh@M=sfyZMGIQE1Z7WOeyyD*AAUm+1DN@pI-EE
zU+(OcHedAaZ8)`N@yy6wU%wb#xp?^hasQ>&t7mOcTlP=zuk`KqDQB|RAG>}=Na6i_
z(F|Ya$&+mV1gk3+%BTLQx?lcz#@Ww*vOfJO{<BYW9sjvYKjP*d>*8OsZR(v&U9Q8r
zmfikS<4dmQJ>s6|J4<;}JA3D<tkgqCB~8<Gy;kp<9O4(rQ)O?LUHWy^+{3qDY;=`q
z*zwM6WnD?%HTKZgavAeKMed9K;jwB0Q=Sf!0qbHb4c7<SUxO|Q?b+d|%b^!?!tiY~
zzhB4~P99GG)~LYti5^q#p8sqpf3)jHg6?eHhm&2UV>9_4o%hkcb7p~I%;P!6`=+~H
z+9mI@y5s86Pp`c8bc%-O+GxC7bos=pm-U<p+=-C}%h&16-P6N7W4`e2w7x~k(T80V
zJ7VmFC+{x~ef>p$rS#QJE0$@m)i&XI=b7JpzWjgK13@;|6|<^CG<6L1jo#Vmy`1R3
zA=3BQf<l8vpX9}97OI^F&%SppR^2GgF1yiFN^_HXYrID-Q$*X=@S2|UvWMahG`DQ}
zt*AV;uIzBvhuD9sO54MPJ0DqI*lBE?v-QFO-e2NVL|Uy<%3pYTl<^&ycw$ww{?o$!
zi%w7L)qUFddH-qw%`Z=crB@`raY-yYrdk;I{!-S(mIWo7DwGt%pA~l2)wDL%oOg)6
z>fUR%_o&bcW1CZ!l?5jamc~b_D;#y^cJ*{iyZ82P_KZ>wMxMuqe@caG$*y92%(J?(
z*CvzMWNMU&TeFK<#pH4cV-D-PAuna`gl$^wbA3(ps&jXamR;&ro;CG;{lqI$y^Fqv
zeErI5@HxWCJo9Em#raQf7@x=1=F7%g8|waau6&wmKV>qP&}REHGuO%9iGTiy{lL#J
zo~|_xI~XSG>^jgUTi|b9fB47o9ml$2=1%^m?;$3UH<9Czt6JsKRrh=CI(8Uduou|0
z@>O~9hR0QlRhwJ0J}@W*PdkuV+gKBL!}!mPcicr)6PJBTZ#k~<qBXc*f4%aqW9NA{
zI_!~q`gzl$6H)Bz<a!SLxaOR&Jm&V-Srr%K;xC_NIux^hmlC)C7okalJLlc{ZOYT}
zdY}4<Hw)R{$%xEb!Oy2DaNQ!#+$5@;JL6zV(~iaK*G`>Z`eoVT2gl5_m3}OGz4o}R
z{wdaH{6*0}C;q+oKal@d=)8G`cP~nAV*a~u;>7Fb6Is_}6gn{+apm6bG~rC{^Ri1e
z@skujZQID37ZZKY_vHj#<=YomZh7!X@g2hw>x3lN=R0SwSr=Q?!!#>3hG7clo#YI*
zC(nM*(@;9NK29`NJob;@*_`b?TqijNwB}!^(tWy6N?^t9%Zvh6hB8crle7)hf871|
zRy%f5oki8u-Q8EpoNDehbh~W2l%H?)vAFx<-llKm3%RaeKB(^UvES%1r{8vuzMj1y
zg?T(%7a65AE#0;}bEC}4rDvob82$L~=-wE-=qodaX}ipH(O*^JB?mq)IaE69p2Oj6
zWxY*>vbk3UL)kyfnZItKRK%6H1@RZ}KNQ{4-_YCRXm^LnrkzdViWi^Kre%?eS$k|=
zYbAbe_Foms%W@+=c-G{3zY4l`{bx8;?6-(>=D|bqUvr&pEF!dOzS|!;Hlt2dR7E3G
z^YmS&=fOdm3R1~Q&F;pc@t=d=csie0zdfm;ENJ`f)Z1Uz-+Squ;HSf_^)i3+!VsbP
z>As%3-S2MDR=95XYMqnJ&t;pF&K+!XR$2S5VC!b{%`I|Me{)<DuY0BxzgEijVDa47
zKDH*t@ppQiSZeid3;$gDI3{ZG)bg1Nt&YC#+-h(p=29Eq1oqxbJGN+9$sRTDzL#pS
zDnNNb==0}O0<G2DPYa6ue5+lP&C|Oe)w^q_#D}2Uzqd~RKJ#Ek;Ahih{tLS`(q_$8
zKR5GjHp9)d4FZYb96!H1eoKFC>Y2(X-I*}+@#96TNA?;X6u8H=bn4%Ns6$DqJ*N-t
zD>}3;*f+CwlfnG!(>KX3yUEYFQH<-8P5i=t8@pfke&@QnFjz+HVdqm0{l!P#Z(kI(
zYIkPt&4stsuRhCK^p<n)v2`yBUYQhVx}~qae)dw^!o?f!zukV+v^vB*_T&7P*&Q){
zkwHot+3|0aPn&-;V-T8Ku+eivOeL>Y!qq$9m;XG^()sSDva|HW$|u_lJRSDk)#f|=
zzB=oul+P1+ckd(L)y_P>%ziib*n*gY2OcIqXS&pLl(F%(R@L+$``h>%58Y-8c6y?n
zcS-D<nWpk%#jmM<u06^*z%h+iaZT&)+>JKtSpFuqSLhwMc7|=)Zws#<t5gMxxvGlO
z3mH}~OmQuaoAIIkeNMbmSksh<IXV}O?<8L=X6Sq@!Sw0YE(OuE*_}RL4#d3ssd4p7
zDNCV{i_dXa?$oX26BemIT9EKjx0G$BMOc!(-n_KO^518Rf8Q)(IQ4)vqsS%IoRFn^
z583uz-tp?<@iU)fdRFIg%uXy+(`3p0pYk!eTH^O_*63x-%<q%?rhIQwbqSn!T=*fA
zaM`aT-OQc7Q`Hw3oZNNB!ed42_Z?2V%97{xryi5cQEziBj+2;|c=_1pSh>6zo0D?b
zq}huu{IhwWbDwp^#uq($G6pld&A)kN--(C}H-DRH9i1l5<07T-AZ@Amxwom0n|cnU
z@!Hg#-Yb%EC1B;1?Yma&*O}7lo&A^Xy?5U-9-Ga*OT8|6GZ^N(z0le7D@Q6zF|1ks
z2)E*g{RK-dUyi@(-Z$&<^eK5f-{h}LXqU_LMD5>pORmuA`#SOS4Bc04qggxJ+7@5`
zf8M2Ki_u)cx<z~WX0#nSt@v`T$BwK;!r>2Z25PD$c$7#8THf1z%l5bFv$yM$IL>eF
z6!s8#)LZ^!XF=wb&Y#n)G!x%_7I!sn{=TpLPk*n*gpQPFN#AC9t(dZQ@6CUayFRXA
z-P9vy!ujyA_q@+m4)V9&_)VX7O;3)I<En|lovyCfitd+v6M2gq3X24`=^mf{D^PvW
zj+m`1(@Rz=2KGOj>8!o~-now-PAz7!d3v{GopFfeO_r6j_U-<*Dpkg}eY()i%>{kJ
zX8$s0vw!XUwmmuh;}rQ9F46OjvhI$3?|WiTX=cNre;@Yp3wl(4+`z3>V!Qm}MK$+N
z-QB-p)8(Cok1n*@k@3h*==r8U%l<|epa1lK?)2C-nx<w2PxkGsvW&fDcDn71PxGf>
zk(A7ht5=y!FL%5VqkTauZJOtV{rUT(?)=&^OYY|a72UObsgY-I?byHXvy`K;u<x%F
z`vZHGzqjl<yXXAWJtyO?zWlCcbM}Jsjyaq6F4v8;za@Ne@%3}EtnMd*3uO70%dy<Z
z=Mi<@Bq+Z&w=d+?X61=b>I4%61IiRm9eymER=6usuw6ao-$kFYO$Dy&d^gQs>DrOL
z(As&C*LlnNtTR@6L~mML-?ZnxMbFYzvmB*LPgE|L6UMbQtCe4}<!HjWFBU12Y?iPm
zDumpa_;%$_563G<O0#MeU#&XR$tU&Vy!L_mY@Ny&X=8(ioa@^a+>Gn0If74y*Yf5n
zyC$ojf3`T?+UGCh|8^h6zHR5X2X^i{a_0Q}hkIT=4{2SSs(S3_oYdoS7hfIOBYgID
zZ<&z9(>CkrGt}J`e8S6f{!Zqe?0jyia{<Q&nRxED>v3Hh?xd6&u5i1&IYp=;At!zx
zd#-tx;Dg9pJ7!4P{LGVhXD8&oc&!WP%eK?(&loH$T{mg)<ZXZKxiatigYCDu6PmTl
zv*&GIe#uvb?P<c&$Pa4sQu+OlU-{Q}RNno~i<^3UmtXR*x&B~F(v^H#HK}~X<>}I=
zF8mLFfB8&eC)dTrr#3!u`QLfszfjO@#cR<C)%*vp<=pFuzM22)h@-8{{^z`>E`~W>
z*=n&$`l5c(i_PUmQeE1coR0EdO1*L<@<8t5T^k<+?oyWgUz5;$_OkgF<>S*otPNVN
z$@E@-<1xldA_pSGL#)3?#>w3|eWXdPOg*Ylp}4;E&|Rm+78hF1YKNSTnfm$J6!q<|
z_TBCD=Tm>IVEm-)@ZY5wGxwQ0s%*Mny+bqb^6}$4#DaL*q=d?ka$o0p{fRe4_si8s
zJCcs++|FM%fj{!0D-VmA#81aM^R|y0*ZOd8x&3vwQnv_yk-_!?#rM0W9_v>Yo3Mbf
z@QYE0@$Y`CLn}RZ={fINni;-ZKfI(wrA}^NlYiA|&#LEKXH88b=JptuZu(^Cb7p0&
zNBPAse0jC%*7IihTqt^K=c#LE5__R>fxF$pgf^jR8lLl-4+mS`<L$6DXzl1@-+NA(
zLr&T@&c1D@<i*{dMOtfL9ZI;F=d*6rx*)Gf!FIY^V)^D|3g~BMPPEd>ytVUB&lidF
zhZakA?ECWYJkz;=Ysa&{yfC@qH0yKuF`b?|%i7E{b+dmrEWahcX!!$QhgsSeHY_X_
zT-p~Wa&gO<wNYl>)j1rWPc(G?b4$v7n%OdMwbJtV@A2k!6VK@dE)qQTZRe8mZY$%P
zw@+WKHr~AKW%Yprmk;oU&1ssrk7e?sOPuT)In}Z+Se}(6{)^Oksv`Jg@8c$G_Rm5J
z_hr2dice;~nb+}r^UH%Zo$Re^{<kj%jf-dM89rS)J^HCxgLx?HBllN7>r0zm!&GO4
zwROq1pVq9CwNFTCI3H2{<Ta;2+UxX}eWxXOo!WdH+BXZ$*SPWV+mxLF5{A_umvHH5
z)p)$W`-1HN^TNxP*UZY#9w;+kpZ8&zi&?<xV6V=WyrmBW3Iw_rM*3OW+}bIqSH1S~
z<U*fa6(4rH80u;DK6FSsS-5vi_@RfArA5~?r9JdB7?Q7^7rOl?(Zi}JiQ`M^<87rk
z{3_ZU3V*-fwsnEy)7bT=-Z9U+p~Vm<`?7w${N~`L&vIp3)uRF}zFbqce3KV8mE+f{
z`;%O~7}H}?CpulNy2{q|`}+Mn%N-&N(nbbXZY{GDXK+xq&y8<LVtKP9vfq80_iO+9
zUA2Wi4Rcwq79C3acTj)2$g{0iDoU~p{@;&QX%;G%=AD{7CG%BQ=ZT2vFAA3T{cV<F
zk-WdX?W%mAw&awDE^`ce@0F}!y7Y*BPOtm~18?<&YV(d~LS7A7>%5$99WXOx$<h1x
zDA^!4w6QL+T2O!QLZvB^o%LtW-dgt5^=E>K=_kkDHui&Q2eq^=Sa+;b+p((6f%&)P
zyj9)@EB8z-;8?<88f2h0{bSZGy~UR7^S^4pyp?%Y&1?U{D<3^Wo9)g_bDjQuc|1@4
z1;cY1hvz15D?Jsp*YBiyaM>OSIj#^Mqr2{JM6Z1g?s`5g^xwW3#*fK)hHdvJW++Pk
zs<6!Eu0FSmC8k#F+BRq5?+MMX4i?QQU#t|n@zEuJ#cNALtlT2!Fi)LUsl0pptp&>*
zS7`bq9qpTa<!VOCne9(iB7T2;v!>x(QU<eTgY&Un!XFyL872q^Eev}j?v!%w+S^SF
z70v~IQ;~H&ym_+q$pvcK^E20;kmZZ3wUypD`F`Wngyl>3Hi{Og?JP4B`C+d2r1iw<
zmEu!{!j{Z_J3Cm|GNz}F({{6tlHVrBH4;<qM|_*~q-kc^6b*?R2J_!#Ub2+<6gNYy
z-|m`<$?h=crN{N$rat+oI-hyN!o1&IZ(U9>J8^c3Pggj&Pyesu@#kw#PGwPgcrD<*
zYEb3wb&g8k3vCU5acc;)9DnDU#_;0eiNei$lkXW%F6P#GWo;qYBe~N4te{4X-i34G
zzvq3}^?At+?MW=FxA`1xIQhzT&DUdr<tom6TGwYBJ2fT7(0|{%JL%5_zP(_1bb03+
zf%iK1p2X*yTyLLyeBBnK+{}|}?`+z&=J@TKqDng}=YN<tweOd0$CuZYX&ny22EB$|
z^Zpc;Mn29qpSphSnN17%BPU3+iB@q3@(QOp8#`>zSuY<Gf5mZX`}>c_*PZ%$d&h!}
zo1Xss`z>fw2ea|2y-VJ4zWB&#{K(p37x#|Br08$5j?0Qqn4i#3{Hd_$k7m~;nMLnj
zHCnnRJ=<0N>$UcQ{ogy}Cm#s0P5O29S@oig{h=IHLf<NQR=o6I5_UbwXx8Skn~Oe)
zr|Gxmn><ul|ATu<UWQ{x-AQSIQ-{AbTDl(kuD-@8H(K`8KI5R{nsH_8ww_ID5Eky^
zi?z9URIj=|_x%CoYRl`71^LD5J1bK1cC9J)U1KP}taA0{+ji@I2OUf6vACK)`_O?4
zZu=W<J4V}fEWFy-c2oB3{7Gizx5G5gxkmk3z#01FMU=Ua#`2~uItyK7&4izCdLRAq
zU*#E|kaFEUj4G}Z6Ta<<QJnlU^`^j<M_&rA_xM~rdn9n%*CQ*V&R*?w=u{4D(dK7A
z^}l3$e2mrp>j4Jag~YctA6Iy-JuzP7#|q;%we7x|*S{;*F0-#~&35#i;qfo`<V3eR
zp@IjWcgm;xvFu*4AgE%i@$==EmtNTQKlWsr)WP<!lWm*Y+B}wC6})6ODP+CZ!Pe?M
z?D4;r$nVhFm3nhZ=arz@mu?lNf6bk3X4bGeGWF8YH*sk(HrDRK64%4OKYJW^Z^Nf7
zk!|wEN`LMI-A|5TyZoT_W$?^rOOAQIaAWA~zse`xF8EMbE}-n$OQ%>xBaSDEH>ZC1
z%FJl7XMVl1SLE5Itb>!i=9Dtb(_mC}Ne$amaj&4*VczqSXTr5X)346S&CmH`v9jsB
z3MXrwROmkS6$f(WEZx3#{eg{tPRpAGneFJT%qwmR+rSs9XEjS|;{7AdlOhb>yh^;H
zmHq4B<r!OfR&SrjmEqFS)}}44&>mn@xje4WExG+((iz*rt7pIUxYSl`DWCt}VfFF8
zZGA@?3oos+SgZW2aKh9!f5n8@tuDR4P2%+yd&ZVTr|wd=>a>`~pmUGwwSGgr#Tpgy
z&q}{7_exrG7bMFw#4h=8@1A-5m;KR}g)9EWCI^0)cl`b1f65c4$Gd9IUlXGAi|bk2
zJ*$R)6?s;T+iY`Hcz>jby4=m&9UM?ooo4aRB*4{q@3!EOE6Y#tC#4xA<sA!A47jp*
zx5lHG6<o8P`rO(c^@1Vy;lYwX2BwU2+cGbNG=*DdZsXj=e^xcl!Tote;eMC%Hx&5h
z{8-=We6e?q>AP!UuVeqsn0!opm#Pq_gP=r|d;Wy`W@$Gs2xx!#mcxDAT;4KYY<gBT
z``xvnsXbq8`Ksg`1M>m{YG3RYx%zACl`d93nZRYa$4@ML9lmY~Pu*&9uK$M(Bu*@y
zb648Qr(cTs@N5y8zS3tqwO=oIWYw=$$8d`8&d~$wEI4JY{@iLc<g-$iY7=B$^SD_^
z*yGpEnmg+&eYWggWm~uLt%&H7%$Ui$W~_g;`_pz6x7_KnvYUQQh&^cX#%{_h{gqkI
zxPomn54dL9&A8KBdEn$8iwz0iU;o<1Id#UPj<rgbvmfkGEe=$c&}*0Z#Qv!Je-@vY
z$8H1Wzm=(1zkAQ;sz3iM-R=PIYopV;A<HhUD7Z1lvh)@Ag+E;D_OE(tu;BL3{%-*V
zh1$WY9?Q4?d3?}+-?!Np)Nd==^9USvFH>A(>6f?J=$WEhdXdKiBZ-X1hnZ)j+Z~&}
z+Tw(?d3V*}c{@*(osf}mO%+Kjt(dfO&x5xcP3{>f3Gdpwb$i4IZSkfVZ?nHkJvuG&
zvUi)&AC~&hj=pBbzD3K{%AVFf$d$DtDoNp5b!hO*dEd9RGRb|u@#s_1X`XW;Q5>a4
zwdr@~bT4$vu1c#~&wjuC@%EX{>UUqORKysHskSYx;!8K{(|NwsaAKmU)IKelaGP0C
zTdtV<oqTrK=g0R0uRD(KY3rU6arxg>1@p>DyDx~?a?dN++5f=K<cXk*V_&!Ui3xKi
z%~_VeSzz|z<7Z7DtxuTV>DY5=diUqmN>2~%ShP>(<%_Awzg_iv{@8BTX-yOqm$ulu
zN5`sQ#x5@Rb9&RK@cy)N{=RNCPxPG?l51j8Lhtd2{$}15S)e68u`N?#;r|aU)82&V
z{V+=uNp7-w@xn3Ui$Kw>!@NoBZpIv*a_^JYR}ry)Ka_6l{Q2X|qVg5}&x{Uj%u988
zH}&qUY+jG7Ra*Tyzv8_0#os%f`?L19)(@|_o~O4f<?lT9xOc<WGe>jOU$%d$Vs_iJ
zv$;lQT6m|Ny=n0MTVMUlKEB@+v#c{=!r}{QR-eAE^p-mu<8-4-o+*3(R^798QFc~$
z=kHc+<kw$ez5D)4AD6A)5A(2dXJ=jVyeK})nWxtvdcR>i_uhY5qTZiFch#Pgex=%c
z>3NP!M_A{3+gqB(lP<3I75TW}<eHZ0qBnm?xE21qFEpuo)|t15H8&VdtXrqYTki6p
zTEnn*zQd08x`L#8bNR)$pV?uVQL34jtlyyeTKC{~sVoDX@J|KV2aiVYt*T-9DY@&C
z=l8}bUkrY%-c;-SO2|^QZnapsa_A1;1-I+Y28BmWs(9uu`u2Um{0yP?uPc6dDgD&W
zezLN)Js_exBjn%mdBP878QJ{kj-T@4@aE4^T9@T}B1>ME-Mc;gQQ`IdcLPJXi(YS2
zU$F2<<u12FO*tw7J|BzLcUA;_nAv(%=h@deGp^oP^T{cD-66F{y1&-TAJFXmn0oO^
z!?b04=l|kqOP;d8NvLDivXzW3n|}0NyY|&D>**44Hg>W9jB^si_uMV7;AC1@G1uzn
z`Z<dQbk5yXy#H#R_mkkjJ+Y#l^-(K*m;5uC<^OtCd1i-DmXVoI{3fHfpYJd{|CDUF
zYaUN(bkSb_j@!N|%N!jKTt1lkpNCoNvDg2TZ=Rd$hlR#n^Wl!)5OmV#-TCKDWqubf
zKHOBa?SYQh9RE<QX%jjoJc+ElQo4~zY4U;u5u*e3^-mXZb39WMdw>7>4cUu~TRj6A
zXPe80eJD?KuKU4b^KjAb*Gr$S$T_9q%8|<w>}^xrxo*kSIZWq@9F5*O_|HreJnEBR
z)*+JAf3&n<qL`2Ol&tG=s{DII9y10VoPPWL|9p#ENA#C(S6bfkxaVtHh_(EU1$9q8
z>pwq!SyAL<@Ba@9M{+Hi)_r^Xp|G7%Jtn@#<qpsOMPjcbc0O`d648HlI7rrDr`D;D
z(gK~fjkDU<Xvt4wKP|(Nb;{+M^sTnNHTj3~c>dJMm#-||{y^Mkui=B~TduaRG`4$k
zm}6mBandHQ*N3M$K6o=_8?(*TSh>cCN50Dvx>O>1)<3G+`QYZ~Z|_>-zobT=({1Iq
zo*(myVc&y$(^clW{S5dNFM6e6gUt4+QX8iWB)rx6Szy$6_I2Cl2S0;@n7XFse6`sA
zj5AO<D?YMt<rmX~54Z5;>0S1;7n{fKzhCY;r?S~P?|Se410IVi)~z_IaiX?`=fv57
zVwKmIH?BT*^wsp(-w6}B7Q5fszTr^d{?F@M&;GHj-G9O;$Eq#&fAaa8fxEg(gCBkK
zni;@8k1<2Fe*yc;JCnp>N}}5?uIzVwrE1%yWWZh|Da5_b%~LzMtEh8_>YmsiJJk<*
zd|#;^7=M`GVMfm@kss5zg#s^4Imo5a_Pz9!MPb(b&g{phX7o<Ti(h@nxYoydCU^Uc
z_^yTdox2NKcJ5A%D!I_VUr+kD@SO}X?<u!#t`qTc+ZTBJ^&GbqyUl-T8k#Dfm})=E
z*j)J(-%|Ev!9T3ck1jFqJaW+c`g!pRZi`*_1a3bp+jIEm2SrmI;||-F-S6vebv!k@
zkt;ltN9?c6|Js_<vksTZ{%8B)!Ot=4&_71kf4g?x7Py<6yvTuX(zzg$x9?&m>1m|S
z6P|SNUxmo=AMzP#>sr>HpZDd5pR~}=FSCr-yuZp3|L~5=jTei~bOgutpXey8Y>sNE
zaQfF)S9!Q|@=rG|>9j>_JpSrxo|)Smxarr*o4?ZjI946K7JN^MVaj8s33A(yhlq8i
zpGk20HC1N%MW<Nieoe(K0!bUIs%~Cg&?H#-?|bvZZ6^DcD(a^Fzfj*Jx8aqxiiKuk
z!u9`)JQ;K1x7~Z{|3z-Pouk&h27}C<1p+${S{a$#=s5dJw$X9>>uH)d+tiv^4ku-+
z-emQODO!KvSGnscm&8N6?F8JOEBv1HrtnhD)CjIyf_FdiZg@Z2{`BEn!UaD1ELwXC
z=G`_`i+$PtiS_GJc4nJJPEpNMrH>!wa*(?7*5}1KzmFGfe7bA&9#!y$FWTmOP@lQ3
zwSsZdPbs^pF}I~Zt>;|L<XvU#Jgr4rmf=j9j{8BEBmRL~!<r{c&DOZIWXBWv#ajOa
zA6Q)tZ1!86-kEqpe$6aFeGB&!&!-()xiC{Z&EtvHI>WH`w8j-|cT!c=U0pV0{w_HF
z_mN$1!+*amt)CZ%9Wy#;YnSLYTSj&I+Mg3%n;&Jg_;xRN`qT>%woz85s&~9N!}3dS
zo!Yy(B<)3e^uL)N=B@u{7oF=`*Q)h%@uusgD>()3d8K-Cyj_=Zb&rnry_a9BVqV^r
zDdG^_b6>>d-GkrZZ*G2_IDdx=OL4W{1<7wwoBpUd$Ike6c#>q)hi0XOv#M&PQ^SA%
z|H}H%xbyZ5RhNy^Wa7P?`2@_|Sq*PUyiLC!GvWRsDbfE+54HrvGryI#V!dH=I7egE
zvm;+>!{i=KJ;agkZkX>kpL5T<xf3`{%De4nhaO1js`PMsD6;<Z=Fj(cUy#{$JAhw%
z#-(4<<(Zb1+8lFCmbkZgKG68SoL{Z`%#z9@e`MIMZ7=HAW>G6$d!Q#<^H$FM)7}C)
zPA8Q2`Bw0*-}K`7^Bp!Tl|TKnyWKU7-RfCfO6HVzceoz(dA*rBWgWv@8_WNpKAx$E
z)^)1xVcI)q&f`4+F2(aWbN1ROXW#hcA87dTbwQ<K`gKwJ|Cz@x`f8`__*d<Biu>Po
zRfCh7V&9A|2;G!QwwhKsgU7B&E$6ms=)%Q)i3=wDnR3JWF5{a9XQlh{GenBy1K)|-
zto-`GW@@GDY?-jw9OwO$e>EL6^5<GBpn2%$-ktu*#W7M-yjT}ndKJmvoOw;tNJwvq
z&kkOeyTQAcG+J@ilrIYkPttYoesuKK0crgeX?%Y;QiR)HC9R+1ou}D+Zb{i3$1dMc
zy&J^}fs6^C@4wJ(TjI2!`o_P?GH%7zMeF<etgRj66OTv7?KyH+kePMamZ=?QHI}c|
z;kDem#3s=Camh)h`K|fJOYCO{eETZBQp9);?^L<>KTqAf6j*rCA<=+KQ*$S`V)UG1
zg~V&CcYZvw^3&=kwtEaL9~JHMdCxt==T^+x<Nx+#sTOvo*#5Q@6O~@T!YsT`@_LPR
z-=(l9wPKa(UrW{;*|J|{eN_4?=aZilXI>0w{Mr)4P*EY>qpJKuX5qC1u{(ojsMz?u
zsW;+Jl8WC_aOHldOZB|fflpma*WBP#5PoZOk&E5nTSR%n8#QA^8TplxrSIzfv$b<Y
zd{%5pTe|DPT%Sra{hmoO9hc2ZoW5+AZ7P_=tZTLJPwy`G-2Ad*n=Zfl{Jh?!;P$b|
z!jOa4q_<WsKb=`RO<L~m{XKC@T{6;A=lpH_`zd3^6W<BSXAT#I*NIEsRrr7N@rg{+
z`RD4F#h6#UU3c`#zrAaIg!r#cK3I9w<hlF*yKXPOZddKv5dMLs$;WASv4hpU1#xFH
zPt9{*pYwTg5^HeWd_fmAf87fTw@SYnYn=!(WK(DU$JT0FaNv96`rLehKY99L;!I~J
zTul+r?a4dzQB6FTe@nhdsoL9{F<0lFI=|5>-B>&A=5)!DJ1=Zg_+M{(K6@?iT(6~}
zEz+^k+qFD*I%=(xur}i4xzQhUN#O4#-L8n=3BIqS?71drKjiQ#UwzR_O7&tY%f+KD
zx%>7x-`9VUzNjmxMkhT^!TZJ~TQx(b?7z?UsX6kWIW{?_bkE1^X<?Jy?g?L!yP&Wt
z>-IXuX#Htt@>1eAZcMOG&)cEyvD<F`-P3V%ta_hszPaW7M&Dj8y>HK-A3nQE=w9cO
z-X+VMOQw9jd-bYc`9F<)b-PRJznv^8(&0{;B`n^&j>TKgy-aXcN^jughPvab>Q=$E
zy<Z>ByJBq@ZZE?X?vy*DPrkLKd-XPb>GR>jn`U@lO*!!0=73(;g4l^0A{9RbMjY%(
zSi&^1VpTS$+^e+I=#_^iUGF&SH*dF~%Nm=PhtCL0tdU~b#S)jk^WZXv54Uw}raaha
zelF#eY|;Px$rc%fJhQdmPdeLqa`!~}l7AI^_tU;9WZ(J{`Fw$*Q{UOZsHd!3ie{bp
zeEvYhvgn|1Yi^xx4bJaLH8KkEGEpm>pT21Fj^#U*jqC1a1~FGOYh4!Tytv}rm%H|w
zOkCd{e^?kDaY;h!>a2`n=X2+NsP><`;gczT<r!bWhKS|6o7evks@Y?^yWC#BAmh2V
zLG^~Hzdr?Ue%xPo_?paxIaB}N-=h#I^<`5CTaU{p<IlUQOBQ%DHzu#=%KLis@_ON^
zd;jiQVjxg=J3Y!u-6?oq+4+q}UP@Ib7cI{Gpv$~VNAmIJtA!UCDnkC+7jM7Qp|5+y
zSKcYA$7RP~kIU^R8pMQi!uDBrPWExB{P;XFYZB*+D=|Lb@Ay40cz?3`;OB!m%L^5n
z`MGmvMz!C)raFoFY(wyj%yaxN**CHL58h_?%0pq_ZMm-(_Url|TgrH7)|u#-7O_q1
z?_ZWXRCqd_rEa2tm&Jx3U+Z(OJzV-o()N+f1nCv)ZuD=Le)IZ&jgysjT(E1Z@7uPy
z>l#v8=KK-dldk=IiBPidvTe5KQYt^}f4}+(*ZwJDs*h$E3rsutS=`Xg`8fYcfhULj
z6xc1LB>LxEYZIE>pJ3}y#awvk>Fw>ir(K`>JA7fv5ubCO$$yvxtNy!aIj)`Au}h{k
zDO8AgfzMA-`{Gi`kW@1X){u6UC5?Y4?>UeZ;FaOfr&Zo>yZhYC0$GFlN9Sj1wo0>!
zDrnrYXw+q2-&qjm8f1R%NRv`+i}$?J67lQ5T&;@EuPS?x)vU>Oj4NTzsY7>@vlsKr
zF-`uc+#>kSE51p$Iiu=d^sl8=#-|npo_p-&_1Eje$+He&Dxt?D7Rju=CX#-lQTM9s
z#Jcb;pV{4VE-(mO-~P_W<Vul+Xh!Who%`xV?U#4l+$}H0tY>uKfaU3B)yHzrtU0M>
z{cI-BN@m8Zt0()<n=J4wdCQ}{7xL_GZTOVE?x`4?w%zCY_TX!Cx5UPIZ8Ip?<XOaV
zK5FUq*_$qXeYJJ|?wyfE40*X`mAee~x(i*5kU4C-xI^ZXt?!GcaT>)_`0}^-CInq<
z=Uj85dE2%}0v{MIxgKJu-dv#K;kEy7c*YI08194Vo20IV+%V_fWHZ_IX5gC27lsd}
zdRQ>s;$Y4SXUKXP=pCsk|6<qX5D^2@=H$-#%$H>ZZ6lxfNvb+*D1BdV^hLp0L~}!+
zZQ{?ruJevAjd$>$vd8DYXYqx54tF=)DrPDD@p8U;#G$PBV#dw$Tcz4IrOmW^AkA??
zeo~I+!`zhq6!oq19Co|N<*&Z_e9?_N>z6&Z>nXCl5HeY|T5uzeUrpARvKy{dlNznw
zxfWI0)Q5Q}J*usox6MEE=!|Utg@+FE`X)|2dwR-T;csqtGsJ%Hkn!Gd>|?F<&*>ga
z$6eBD+>Y=byS{eeom|Nd3tnqxKXKLerjt8g1iIudOkz3nT2lK~GSlyOb+5P&TyEi%
zp7$m|({$QtA&m&Tux%5s-qyXbE3a4c>hF|PvCy8>L(l$SE$OhgyP+9X=3eTkc(SXk
zqtjbJ)3hh^<O3I*@CkKs^ZdW~b%tDdxS}@U#`zE1rz=))Cl^<iZ80^G@JSBXP^=*T
z%|yqadDWc<VrQ?-F35jl$91uZ)7Z-@`1=7}%MIZ>Z9WHCatP1<V8O!^Q~x$oE7G8k
zXLi7`H-dq4FB`;iIfSnN%5Pf#pt(i5?5NbyY!P1W9qNx~thw0RWm4wCD|gyj_oCN4
zW_hMNOzW0?aN8gHMS61jni|Qor*p11_pOqyD9wx)ZuUOkdcyKl^en*z4Vqf^e?qv9
zsdG#36bx?p5LUU0n=4|Tpv0HjtreC2_xGHeGP`Tfzj)JC_ivWf+$<5;=C;}0C)YRh
z`I7~Auj%c1{WN!}{i|mumxl4imRpyv`sDN}UE|06=#0Y(pM~Sz9ZYOsDfl&IqThz8
zDKdA258o;)EUoTfl{7x9qWdv$MV-)%z|{+*oj>_Le(_RtDYI|<f|7$iUyp{KJAb#|
zYt7rF11pYt&EEWcc}9}!nO!=8*UoSG>%iDyte-AFU7dY)L8z7CFEQipmD{dn<~g*B
zC{HZge=H+f{P2|Nf=;aLyI<WfSO2=?<VU}!<;ILhe$J_Xvn_1a=0Y2}lV_}!W@Kve
ztZL;xb>IJvxMBR)P49Ju?T#Ib&6?2h!KnLH_2J3RZyXk-J~r#&e`GUf(`KGs>lSZ)
z`sanpsZ+I2N`=@3S3Z50S9fjFx8vbryc6TMNBQrN-P*Hk^Tdnir`Nmxop8QqCc{3D
z7nfJYnTAHpRySC8$^U&w_0rNq9DA#`iRWw(o@x`yo%yxY*JPI3R4>s*Ec>Lmej7cw
z7I>8{eD}_zZu?(dQnPz5z5o1u?dAV3deXWSn%}Ec`o!LeG~4j@WbvK@PcJ+xymY?*
zVDClN#d4PBK6|%!v+bO$zG>0MqE-FoaW3XEg+`$b(rqWhtskdyJm>14#+kplO?&I^
zu9*xMJ0=y}?=N?`QkLXe%C<$J<!{I{kt6BpN3LJoesKG;1wXB#AO2+8Ubkgl+l`iK
z6(_|H_GVvyDRh2MGqbyvf%@M+_JL0)NNv~nrtHa+*8cqNpI3(ic;?TT%5*38!}Emi
zCZDFcKZ}Sv7j<)SFQYp5^g|ue$t%vB6`1g=;Zp;fRC)RSXx+-Uv1k7;TBB01s5SRu
zowl~^-i#%0YaE)^KiY6s{73I^@teO@lvj$Je#7~=*PyCRNdATV6hGMwI)}FXVY%HG
z^-$7gPi<)SJ&S2g`wbM{-}Ecb6kPYt<$5G*<kP9nhu7)L^tafz?OfJ!)0lmlsqv0A
zQ%YBr*T)3>6}H~s$hSyhjp21kc{d5S6DwR!I5Sy0_b|Vl!sKd{zqeB+^-D9e{&92G
zEBE6MZ7h~dP5p7v>d=jgdD8og6WaRDXG^~-&;2BR`sP+WR>!k)CrUJX&jz^FNgZSJ
zy6$vgQBYOn>+H3!Yfc$<wI@o)&Jvp2Gr9f=V*$U3C*uX4jjT7XOcCUp9pdNFlffms
zQzxKcy+us&o2$)DT-WTTnH+l(*~Rs^$>EH}|Fc}~FMpaO-Ql!yJXL>Ktk|%^JU!et
zbsl%Gs7CZ;g_qI4A9((%QNOn6Pt0eI&uNjztb?^Z{k{0N+}ky6F2^@Bv4j5KJ}=;3
z!1U;meb)cB^D9DkPQ7QlQs}{x+p<d*MNYf7ZEKD)%e8z9V-@{5)5X8>l{~sP>5|4)
znKRcO&M_2{-+JqFR=YpXl?&(puhr4rm6*scHCy{`-^wG^B~Ma&qvE#JO_TdO?UI4W
z^L6hSH?4njrgdZB!|x?&Djs(x{?}6#U1QI&@L#m1bjf!K|0cD?&mDwU7XG-N+a1nZ
z?0Q0Kl9Soz8E-%5e0g4;y?2G%o@uYk-d%K!UFT8RcA`+Do^h&U4qNXrw=HFPiXYok
zXX>6Z6N)+Au_;9%NzM7XIP>8L4N6<CFKSnRIpOtvzeiRT%U^i39@-Z4>cqLkOG@9<
zOzl^cO}@fD%UzFkjuzXZ+ah;$zN~gCOaEshepc{AnxKYNLU4#=WORFJ&UM8GhX3Ca
zXWt0uNz?Sa<0BM*cis`N{{a`Xjr{6XUG}cF75+OlTK;21i_U**p$9yo-@o2&yu6R!
z-XmO<d6HCR$eCj1%eS49I7M$AF1{epslBRsN@Zcr?s*El!7tA;e5<b698+QZJJ+wj
zvF%3aPPwb6J7qT*&zup<amBhyAz-=gt0Ij?RleqH><4N;z1z6!(44~EUzc8eXUDeg
z+SJ5fKQ8Yu6qJ~swesP9WA3<X(K<z$Cv;+7?)oy>Ed1M1{!fJ=o0cq$@BVe<Ly>Xl
zfz0dk6}lg3M#|kup2#34ZFt7eYt!EJrKaVq=lSpd%Dl0B*_yev6J~qFKm8lK@Z+lq
zoem5_Me^5P$@3Yg{|J}-;Mciphkds~(IkuO$1=n}U)iox!w?jAJ+iEJ)zLR8`X6RJ
z(@A{wPQT;&-buTZPb}Ek81zJC^MhN`ZqE|-MTk#*axTR+aVdBE!g5E=D0K;2Ywpft
zQ?GV!-F-<pWovkZ%+$T1WluPZPg$=#zht`e1A|v*!u#LFaNUuS-I3MJUJ!NU+LepD
zgE~*C&ifFw!`t|wYSa6KO=SWvo=s!dSbhB0q3wLKD-wgguH1gdbA3mo^^(vn|G(_k
z-cWRX?Z@i@MWQD@ovO?^$zXl_`yuJ9+;=C$w!FC|&oE7D^2tMc3nPL}Lem?A&j&Bt
zZE@$;6v?+As-D^8CTI)ErL^h(w(8~e{gt;y`M9?6xhBiGs$4s(%kHlgWy(^YTUt78
zg6ymBUK)M#Zf>ynGi}F)dc#-#X^#KIw_IFV>+t)pKC_Qz>#1I;eZMFC{Qix(!rjMM
zUfX%=^7}q^I$qkx-+r|GbkaffNL8}o?DPHVo7o<+9nROX-@$ZFeXjPOS)F!kwfDEJ
zxh42$chhOn8+I)3-fp`&c|F^?*66O?%3SC5!~_L9`W7p13bAz02#MV?+p+nM7hl`0
zN3U<`ofC5l+Lt98Qh)r=dO7ZUc`4jo-W(bI8yLlxt>#NhxSx2Dv8RqLx`yNJH>>rZ
z(~qr=IR5#}KCyRuzr<dgQML5781v(YyVTr&3g-SgDKN3QI4hoC%iz9Z;FaI!-%rzh
z#r<*a?YtC|xL!-G%<zA?HYRf-7b}@c{F!)p(e)FZtX2$GGK{yxznrYd;@rD;$A6P+
zYMXadl}EBTDC~0e3Rv-LRqDUP8RycwZhc<U%_sNMbc5_WS)-V{J2v-Rak-MmbR^=C
zUH{3`g*7vIK5X;bd|?e!{B5NxJdqCR8yOFsaMgRfWZCMx^0Y8hZ7$Btd=2@;;&#VL
z&-^nx`R|9y^|Yj&wS6u8T=R{lf%cJ2&p&T4HNIll8O_4~^5mgU%Ny-)OFT>qxv))m
z-kB`79}`W!JPTr-HgSvHTZgwPVcwrD-(KfFymiF^%cDCU7qjjZm@hLqmDl=k;~qJ)
zUsg$#!n=)4_AZH?A@p`d$f~L0k|$>^-?OItrSB5P&`WdDyMABZwK#*d(~Wn==hxza
z-E{`v{Ii;F7Rvl<wut#`-nx9*tv{CKf?lU1Hn;H>+;=w9X%r7O*;W(y>byu$|KwTU
zYZMn|J}P<;Gu7Y3>dRfJ{KVZN9abU-dcMw^wedpZ<HJ*yZJzT{{Q3&pPgRY=Z724g
zHqM^+=|Y?PAs6o1lkchDw!RUf`&uaEu#wiuRo$hp<R7i|^IEXmYb~#K<A2upHPb}>
zww)81_Bn4ssfPdd*3iZCxpsfNbmY(8zu#v0Ce1c{VjR<UH23O*ecpkgdnDtJM;9$&
zT>qu~>Bq&M3GQlJURy4@CuwM;J!wUR`TpLmf9)4<O=My?(*I=lF5Z^3xbRsUrwaa>
zAfNNk!2eKLZU5d1_aB=M2A_Y?`~CX<-nWe}C#b%N*fb;d)@-jsC)eL_JZr|_@;3C^
zyLT<3AJ3RidvqxwMB3brL*m{&?bX~B_t-d7j|5e)sULLgH=gXGb!qSMqeb(6uxnfF
zo09pYQnY{5Ed77hw$~zOznm|7MemMqIRA%$@3Ze#{m2sk@lUnFy=4`L#GHxVf0%gK
z8@3)Pd|1f4t1(Pr0du8ty<@U<!PdE!B5C3iz9mS?UioG7WE1N~wVk>(`)Y1%JhD!7
z;;mhGndWaUSQCHJU)a)VUD@5DB<nd3tP^i*#>%-yzqDm$5Q^`sP80Vj@H?x`nfEVC
z*zj($%jvh$`qG_O6%{PR9k`gb+1@(kV$bUvm_0>IWs;3j<%72;mU7309NHcvDf{~H
ztmFa-g|i>7)ZgCwsy0L>?W<PS3zI2Re;!L)BQoI;qh9u|{bzISmfk&IT-;;T!CV`^
zNpbSyWU0GvZ^>Wm^Vz8GeLep83gg3HjSpD`PkGgBan&d}rd(2WYOi0=(zxv^-p^8>
zm_<FA8r@wHe<e$K)yfKqihozOOFZ2C_{oe*Y$uoR^6i-Dk=C<xN4%)e*Nc6cQK~ii
zp^M76@BY-D^!w@6@~k%B3s=4uR@N$pN5A&D_I8s^t8VY}0J*(dYwj0MzoFgVDK>4Z
zh)w!>#+1LyZ|>g6Tz@l8eM*W;%G@fs>U)8wS9&U}&^BE7mAfZlM&;D4?_OMuKPvEt
zLw1S(y9ssCQe8Xhe?9rrl=%JQB~JHG<qPaqmvtTDe8?;t_xiQpnXiH;WiLA|Vcb+E
zqy6qy>0*Ip75=%eHN1r@>wOb+-n;K*xo8k!>U|_`S?oUp`>nb4^QR^Y{@Gjjz2Zat
z(OX+YbUv}Bl_)$Et=4Ert*hL6>1^6*)@<)E?pHRPUXz87+TItg<vVhu(o-(3`W}Bq
zTY`Wy<Mt`n70M+G=7$UZpY-=#L%&nyy$KmR?O9vbCv6Fk;cW_N)V1w3wccFoc0`JK
zO$%GYlv(l1`kmXv!;@xTkyd@^`~1O-#YUH&-}Uk2zgD*8TY#vi%VQ-bP5$uc`kMyt
z{w%S+5gqd2iuF%bnJsHiUU_v_M=!Wqe}6|xwSCpy)fR68mzk~K_JF@|TVwbXi(g#p
z>?)c*Cy7-&evlE}KD}!7%8B8#%qPu{nIimI?@NJRuk1soRk1tHuAR?cWZCYvNHOn@
zjM3bRgx391&p9XcR~)`@pnZSpw|l2-RoAZZUa(7RzuMVa%cU}suk)vz<3Ii5vy!65
zyoytX5`o8L{!9`+|5h%v?&ayH>s^hEPu&TRJy{`J6q5XQ!_^1*r&te6akTtwsh-;Z
z=;<OOo@q+Dg==nwJI~#x&^WO~{Ak80Hv`7k+!uL1dr#y~G0x(<xn@Ui{sYZ|x#~|A
zOBe31pJ>2&xk>G{Rm3XUux*SN>-7}3XLe{^QV@-d56fDmoAc+CSaiGS#&FwBZF}TD
zzMmD#uy_941)?rJKW$FVwz!#QBoHuHTsUfS+2a{H8M3z?`<odk`kY(0nx*&kzqy&O
zR&ys7eTfaQ*G(xtF!5F-kB#s8`Ei?HU7yBzJEu_h)&Xw;H7o5?|LSfzy*0ke{JH7w
zWBDmMI-ew^oc;tIE!p|LS##bI7nx+9fbIv}>IsYQ+}tdkuf;1~C1$<n(Olia(vqIV
zo)*t?-@C8NW8Je!<okN1^DjaU&&a-GUS#-STV1&9gJ+$cTb8Gvay2l$ZuM@<)NY6C
zY}I=dUhzNUm|k)~!Y)WKZR!%$<wA=sf^HW@i}3PayEAwC-(&1=Up!p+{LsGhxdj`-
zR0IC$`$y;2r?^DyJ#lrT=7&GW+QT->M|`}iS6is*ZNcjpD#ukC&C43Ik~L}Bsbv<6
z9$H%mPJSi)@11vwR21v<P|x2xj_GT1i7GYz&^#^mGiV+wTj?v~c@viPD{j(#AE@<v
ztHyr*FDX2!3C~yhcFbK?Tl~xI!{xJEXLUS1q2{{mgV!e3wq1AGRF~eb%9<0`&sJ#h
z$JOB8DQ7pCTPrR37w*`__k4Q8iW!?*3^%_xY^<`aC{NF8;<o>LE^(hqV5{A9zWtQW
z4Vl$5H$3Ec{=9yZ&SuxA!OmPQrw#4j9d2K6=wtO8p*`V~c(?h~IJ*~BKRD^5^_zoP
zBJ9DG-&Z3qoGHC~Zo#XmMYUEl&Az?dZIhL(uOfc6?Dss2;N(^Fr03h~-+tL(_Lw`W
zT|JgX`y;2m*In*5_ssNvQUWD!=KOr|IO)56i6Q^fy|0D1;`G9MOxV5F8%}O|lBz2C
z%ZI5$#qYY?+a{IdboU)eDKE-q&f#pSk>y){J~>(Z`t2-pX-7Hpi2L&{D01I<X<Mrj
z!~Xo7zNC6UZEu{{ghd6lH;#Peym$9&ukM9@_j8l7Z#n&C-tCdJhAn(6f1=Wl;^Q{;
zf#Sy2y@{rmG^8C>pS(P`-SDQ~PKRH!j5BN{y_5P)l36FMy5+s#{jFurH!7_sG+plw
ziExMw2}sZSUAbmwkKh_ZaSw-nrt{Y37Y?}^UvuRACpF{GMVrHGst$Z&3HPwyziXe)
z>bj+irUjYab61k}zomX__SY?OJ8!Z%6w7@U&MoBKc~L{xr=g%XP*>!-)8n*^XOnmR
zNityn+4twwn+?V0wR;|44A@n^|JHID+dDH?D4+Iw=zLtEVaB<djE9SUA1mA=z~MA=
zvHE27?nQ|Y?xpSJS)Vk0Qv$<oa|wNo0}gj@KeAk}n!9kn+*OvtGPOx;CqB$R8}j<}
zs<h%eA(b`BN@eU~4=0?qTe~#vTh_S+T<(vhzHj~<Gv~X<sufFFoDaxt-t6#IqRXT2
z@<EgKr<{BDAD?9MSzIF4QR~s;2yc=1w%>k)%1_ejv`Soh-?ctee8t@jdfBdt9)7=G
zdAaYK@S|C-tB?D%TDs<I_A?jUqI+U~Et)N!nPoClFJh5}t;d9}`>9Mu(I@nUJJ)2l
zDZ2Lm@c9*{Y*BiR)3hn)aLRmRtzVON-44xcsm*-KK1*5T``o$(ALq`?_1f>uAIvHJ
zyg21TZN<aW=Qwu%?Di;#KCya!kMdiId3>)}Pqci0@%WR``7`Tg&%bqYN6BMGZP6=}
z;);|j0?rz(W1Qr5WAFLz%C|Kt<cdF4aWy^Go}T~gnYhW6p43j+jjQ{9E;#c|HR7#}
z<@7C?6BtD`M8&TspOJ8vVXjV4TX6o#7Ol1vt6t@@Szpe_+b-I^>`RgR>iJX7ckHaN
zcHVfcFs{P#T0m9W`vbpT>b1O>;jpsy^ZCY~(+<pL`aexVvgMHUI!0HnBPD<MjO}z+
zee>s(SkSwy*=QDP&N|kq51U->zip_f?fokrK67q`!1R3;Ghg0j{BXbVQE=%y%eIo2
z{7fo-+qe7vykS@Vu<mc1LYYbW#LTack8Y1;NOj!bSE?|(E;f(NvOM<Qq7#m-KX05C
z%25%GeQ>FK>y3nbfj?7T`L39vByjty{q^VbWa5Pk{h7)#YZQ)_?6UEg*C<%z`|(!h
z3R$-2`JyWq&N^j#BG^Lq#zZSAnIDI;^Xrq%kNEHTxjJQn_@dWqymY?F&%W{V`l{&+
z>IHlfD{`0ZnKMW5kFMLhbxe^DR{L1>#O}Nrz0V|qkNpMvsj$@999u<YO+ps=8%M5v
ze!yT-B2yJ3he_me-@UI>ZiaFv?!Uh~rRM$KlQ~JtQww{~#y##W&F(wn+Slk>{H<=W
z?AObA_8TorzFlAZb{&r_$Go$b7TpegP$kIkzdt=t!1bHoB**{kYhQ@#ySUHLJbq6<
z*St$(qAy?k2g~f8m0@*ubM^mjmo`5rzIW>BaO1E4ugmj(>5fT1(0rvtZV8jlz1PCC
z4yw9ePgXO2c&gcF&dkv5t7V=V+x(W-T$s!L)kDtXy?kS4+k=n01fECuPx7fc_IwZD
zez(mAZlw~zH~-lGb15ztZ0?s7`*Zi3!LGymWI6nN9acL0D9!Yr6n^<qSNrvq9QLxm
zmvPQHvu97jpS=;u#)esU8WZjpEt!09;@(Yb6;><^x#+2tq#)$2<|fDfv3-upnltg0
z+E3WL5{&9~R=u^f-Rixz+or(rUP0BW8x3ppx-Z9M8eZ3v4Pkz!^S9@B$GJQ;*QqH}
z`a~{E?|WLpw)~gbYR+Dx8w;9i_U>D2H9f<dT{b~qNAue1{!JTqU3oK=@$A=@xBWUV
zR|`emVAuKaG(uWO@Z<@D^!@d<c{A@$KPa-x!h2pk$FJIt0k3xGuD&dJlx6n)Z>uCM
zs#Fset8L4Td{fwLUDoz)iDGg&r}-JDQ%apP<z^>~O>><t>vH2~oYyB&7rVq{P2Rn(
z%a6;-8|fvTWYm|iFX!1Uvbgg@!^-8UceJ0c)!L)<*Jr{igO$sbT(Yyx8#bKVmOmjy
zKWMM%znkhSGC1Z`W_fRZyY5$HQ&FWzj-ea(T)BqQs2Dwi+w+r-_=FdpJK_+===4J-
z(tc}Hf#KoZGR`)UomuDdwKt_bp2j@?1%H;p#Q$3@qgc;hEooM&@Kn83u{`yvk0-}&
z`zv3>V%TQ3oGSfZ%-AG8=T@rKBat@YNlUnvJby3w|Iqv7{aoAL$JjO2xXgTZE5Pg7
z!i%!bPn52mclWryR?KVfq<)u{pYjZ^*LdjsFsR~rn{D=%pR2is)7`kk=C1dC@1_Oc
z{Wm8is)xoHTzd3|cg2&Mug7?qrPTJFw7PO-X8-$4sch%N4u4F_7HV5|YBU#_8uC5l
zJCbr?!Q9=yJ~-^EKQv>R<6`&Ir~X^)_D;RRarAZhyd?>Hf&>$o+z*L+Yp0%*@az41
z+<M)ttCv2mPm#3!kdmqXbxMywg5k!%z04oaS7k<p#p|z~Dfs90%tDRjW)&CL2Dn?X
zrbs`C<r7%momMgZ(lMi(XLjpUiDVu*Q)b8dit!f%>;GL<iMiKil~?K-oM3KWe%Old
z|KT^)jV~T<dfF^zqp2->W683oPgx!Y_jA`i4E>jNxl+>qth2<q4`0^AdF^KGso(g)
zdVl9fe`zKUSN3y%eZQ|hFge;yc=7qwLAz@<^{^^lD|nm8?Cr6(oqyX+PqR=y?U&QU
ze73dyx_6_F<;{8HW<FIr<q4B-Pd>M2N8zLAA{@Fi_la-%Rx`WNB_n-jkfizaM_H|_
zKee8&bbr=4Z-30%M%7u1p8Qfs6PrIt@yonV_c&AiPb{eZa^il4!HNsMy4AN54?RxJ
ztnOP^t(9#)KlxQ)`aS7sPgN4zsvj?oos?q`|90ktr?JZ>c`^lfGqZ>=Ffed17<R;j
zt<pSkQ=O54L4b*YL4bjQAtb-RH$SB`CpEsfq%=vdEH}V6o5@gQ&-?W=lDTikZr$?b
z@s+9!TM?HKu1n57^YRST6i-I|{qDQTDg48v?`faXo~f4hZrrG@zTNl0ho3J$=9x(J
ze$btI<+zaWS?xWVt&vh6W=vEsR|ph!jZMDi!GG&^!J&H{VS;t38411I0!KuPbvzbt
zocOV8^R>zC_Af6?=s%Ru<E6gj-UQ~uQHJ{t-;&vA$TvB2s?oBp8R`+wm=(43dzSVU
zwW(UJJR^2*OJA_Kxa<sp$ccuZ6RZM_G-q1zhaau{ymMpx&fO2Q!jojb+}QSVr(&~f
z=izB*J)Znp7j^1vyYeMft_Q|;J<}t~Tqo|R?Ok(a8*fM7{{_!$9QA~Zay<%4{~P^y
z`Dxt-&A(i8%U^!1Tr78P_1DtF?HVDPO<P{<Tlj++HBc`L>h0-S$H3sj#L2*m9H@G!
zDQRzNvx}w8H+}p5S5&7y!aBP2Tv3JaOsmpWGO;;V3)ch`1<fw{xglldwu(g_dYwhr
z-e3Foy`14pfdRuWwuUJl?kiP<+V3_$cPw9g@j$}7v-Uc6W%usX{QLOq@ZYO{^yi*4
z{(5ry&$o9UJ==Bpb&2)e_pkip&+b37e%1c^+4X-PKl^t0{qy<Z`{P5W@Be*z^X{iV
zKmB{BKR3Ppj^4D>KetYb(5st2U-x|d|2r>BtN;3Kzy7k<`e@wp=#pQ~Yd?Q}dv^Kl
z&-bnU>mq9`{}+5-@_9|(@yS6;zR%yQe*fkB*gJpz{r*#Rv+~p7zmKwRYOc4p-4$En
zr#EeG(uJ3N`{e!h$@5v+%k2r={>rviXj0Yxt)IWle}6jOe#Ptab@TPl|G#NzRabiB
z?Ub|oV`J)H+5G(d^z8f3`|J1F?vIZ7^Y?P)&)vVy*WbGvcjxclchCNPOR6*1{#R%F
z|M7cmw*Q|`KV`q_<DDqD_`~VtpBq-y^{l%6`}OOexem7t+;0E=+<o8f?!7zl^&A;8
zM>F<R#?LzKI$_sdk=nfthYEWRb<bYCx^>gxsKn>H_h(G5+FDUxUH5xy@y|rV-!;2`
z)NYKanK?b}{zq9i%L9KNefst6)w9dj&uxDF`~Lm&`TWo1#jh_7$UJy!lehBR_}Egv
zx*tDJ{Mq&ASLMCR!XLjre5tsfp;?<`_<wP+ZTvLfs9F2JY>$)QS6N!~ZP~A|pL>sf
zEvozd@lVpdmG)Y;Z=RLiw0vpvd&7>o@h^VQ`}617^7;2??2f)~xov;(pIu+4z7qdy
zzxwL&=$o&??e|OCmaN|GvHA6Tk)HQoB<{a7-e;UplipFozHHa_w;_iwu-)d<oi2J%
zg!liF6@O*VTx5{y=ljNg-Q)2T$H#V;Uj5Q;?|Hw+YU%!xh8os{7e`+=n+knlQs&6G
zsQ>$ScFskALET&RbydG!TPa`L{Q36n&qn8W&W)e$8Jhlo$>)^+PjdhNez#lwekt4i
zL|?va4bc-%zHdxf@bBFE<LmqHU$|d<tEk{kWl@1l9<TSo$mb^|y=7kPGn)48`i;td
zwP{Qr`uY6IRoIdquKmR&rs7yv_p9v3PtTi7KU5nQUTW+zHJb9q!C`Yh-?@Er`)r-}
zF?}fh^J{v~mo>M7ze`+F2s^)fZP@>HSse9u?)+P}et8I=-nzQke>eW9->m1@{MD9C
zaNS&um$QGTYVQh<khZ%rJwM;>eE#%!>;DyBf5~qSKfazneHB}>N&T$*Wp0lhx8L6!
zkyB?}zH9FNv(vBJy`P!Cm_c_P^WxBTziYlv7xDd7U#5TktH!G7v){XZ*)(~xkG21@
z{O*OGQC)!=tlY_e&T6grS6EqWT3=uPeSbIq|E%Qy<txA5JS%@)^@sYaF3qoOS889H
znTY=N%wNyWz3XpGvgMr8^ttNo`KDrN%k7mUey{)6emm>LfmP9mzOPsrb@RfTM^%=)
z=hn{>Jsc@%KCeRD>v4to>rb3h&DR><>Yn}S^mXsxEK_;ib?>J=zrIwmJ^J3<vtpYM
zWtL?!yb8XW_Ecf}=l-({3vIk>6S!K!)x1{o>qQ^E_kIDNnQ`~6B)8_}(;q*7D}TXE
zA+74~-iP;2OxskqltbeXkBALd)k_f#Hir}G>hAez`lqG${@XZl$L^my=EUCBx1X<{
z=e|JWNSNV^@9tTqS*ps3t2s7@=CDVzYV4BRR+YG|>h{5F%W_xCr>)*EoAb7D(d)!)
zfwb%o>d}hZ+Pc=xJAN%-<JHACPMKVgooZkG%KmEqjHWG(e!&;`gD))CkXRBN&@(Si
z>xV+lrHm&Jt(lXX4U(C=HYQpn|Gjtlbly*6z5lfwFAf`gQDpraC~<n3BzODcw;ji>
z@-1r6I1(CU!nfQtdXi}FjMW7}TU0Fr<wat-!yit`@HSe+TfCHc&+(~!@B8PkX4Kdv
zw$9CQom+j8=z}c%6Ibt*6_~QPUO#lz;>6V-{_B`xe4Ao?w>4{5>diVbRm**~*S_zW
zp*Pug8tq;Yv{P%|zUj>GN_SVc#@?I8q<5&JJGL#RMN!<EXQz1Eo!f~g{u(^_$LB29
zqR3w+@yURva>wBbhdau}3oOds7QEncUnk2K^Ki%3!-?85TgxmmdYc;DcXL<hb5!J)
zGxj$(9N*1dA;e;HGw497_gTLU|93~moVSly^_5TW>XpB3VqcfH@10|_{nin2okyzO
z87Jemq}WFl3Fun(OzRQtd8R&VUPjrXlafcd-W}!YOJ-G{@L=`-jrQB?w%1*KC(D<5
z)o<U;t=oAw-#fcpZ{-3u_crEL9~U&wPnZ;XIcic-O<JdA$N4qJ&kwLyO7@*M(G{E@
zDaQB6IQy{W2gid9$J1v`>o~9Tl<nipuXkjAESS;y*x1+mNcyz+<{xLIa`<WjGLC+n
zxlHwuvGj_jA7|9Gq~=$B;m=D`@G_ai?6c|CeKE14D-Bl^1sFH1yf~dBt1ZGfFe~6>
zNEVyr3duuPUU;*Z_Uy|y4`wQu!6ESNSB{_j)6e^kc5FPT9JGy5Gt1_bq0GU>f!hvh
zWa*qT6gj9IxUEqmOXidz&&*zH#hkRyer!1xbXbn2-J05VHfIZGqVeopql9KPFAcNH
z>r8Uel6^R4-;|lmC~Y#`dE?BorHRJUkxn<xq)p?wvEZdz*V!%Fyt8js2pvi@o1b!k
z?QBP2&a&9d8($t+EtwbHGV$f+tOsgZUoX_mROC9h)pAq7xdRhhkEQhKm^>>?S!md*
z%zZScFK15%Q!uBC>W3)~!X`xqE(;Hw5omoR=Pbgd{m;CVXT$#Nzj=Reb*Z$^JvVv#
z-S@lS^Uap{D64z5>WECI&aQj?3z?glH93!qMu~jE#3oTj^OjWfJ<{w-=ipIWq9Wn#
z;b|~s!lVF|z<W9I8|t>#<+3)c<dXiir)Q-~o=@qW8IuZ`^*6L~$!TBIRO@t|lfM7_
z)tkmko19|2XP&#Fw<2@vYtDm9&mV1wY7aXe;JL-h&4}se&Z8YWYRs<QUb5`!wiOX>
zOjqZ-ZR+<@yU8K4U&rmp)@v*8xtp&2=6lpgXoX~_M5g)d^Bf}6b=__~JD%i{yJc3G
z^y(x@&X8Fyg)ASWN=xK*x^`-vR5b~TjOj{m3EtbD9rgBR*Hfc_n@K`V)7E>sEfBns
zwB)M@Yro*uli5+ZuewrMj<$BQd1cnPEjY6%F-1w;C6{S-*zA2toSY&TUDSl68y2*z
zR7}xPcgYo+9X9(=5+`TKJt<EUrDR8z=`PCRo~lzE%|hOtTp4ov{IaWMn<KQCTkR(1
z9_9NUkaS1jl9hjhdq>@*%qaVvBHo-_JmS1l#C}d`*!;R}@(i7wUXw3>dUC;Tj@uN&
zC&!N|Y{<JXL1?1J>-L4qz8?0!xMaajBfVK}Tyu5YpPc$txH9u^MTm)J#;!9PL=qk>
z_gwh-X-7$<eSqm&`2}5StY>FPCW=XvfB4Hg<NT!(qo8LTR=%fVZ0Csjs?RbpZu^)a
zy?Aq4;iWsfPOmz4`PY_*UF*K@P<ya$Z(y?W&Sz}BK`&-S9}ro3MdEg5v*Ozh7v^so
zf~%Msb}V|aqOn`;NSF!J!$m8$KU{Qi(npWSjmI7zc{X>4iq&>!)r+12TAk1StWcSD
zf6+gAsiSM|hwt|~zcp|E+tvS<|6e?R+siBZd9(K#$v3b^-;NKFUoto6@6Ts>WuO23
ze<ptVrhWQz@zcLQug*HR_!~Dv_|;_{?{0gR%9MTI?OrJJEg|3F)#0YI`J((RjLK6Q
zCYIka?vgg)yqvLQ>ZR%HTi*YlQNh25cUk%e4c*)A%b7ksz5Mg#pTj<T_XV_nHQKX6
zBPdN+YyHM`ujWo)y}JLI#J4jWxJAk~ZryPES~Q=G`S#6LO5fAPpFUl0eD%52Z{~Hm
z+xHqv8Z5V9yk4f+<S2Kc`RxAh+YEkOt>)jxZ*NqjwVc0Z-`012Ukc@Wi~g={m96;g
zX>t3un1kq~{ZWAt<#T1HZr{3DE9bYm)Wv@%w=rj)Kep<S`}32x%jSA6e;Df9%D$EN
zWVZU94gIQ)=I@_x-hFiW*8AGWU+1o<W?}yE>Cd;zHCuN)K3hBM^e@Zo^iPww&s-gU
zA^h%(@VQOu;V)L-I4ByHEj{;F>e2;%wV`V+ciNVo31n$1UlkwNbyw%ixBmA0xOp;j
z_E=SZeEsG@N&UCeA5TU;e)!+&_t&qpr~fy6_s9FX%)f8Xo_@S}|KH#I3v(Cldo5ah
z*E9QO8*^;*)mPW^Co_aj&iWis+jQxp*6qUIlb<X9oVo7rzm!eaqs~8F{I)XVs=COn
zI;&fSoMHcpW{a$-<zMwHMSUTk&5BpTTv^M)*YKP@zoKgDjg-YF+U1JAo=ls#{+_<F
z+dQG|KCV~(wm8ju&#QUVO;DUS<j;$jv9hbU@>i^~cYJx``qQu3Q_Q+{*v4F)AJAdE
z^ZRG5CA{j#&L2II%sl(hO}V|V{577;{1v4bzQVlqhT+@ZTU(_zUHP!pa*gidXOSf#
z4xcZd6?(~5xXouq`u={~-(1%&2zq>6eDUAOetTQ{+JDcUlze;k^yR~wrzeM3xqF#L
z=dWL4e=ShQWBR5pd&y)&vmciagc;TB`e$PBSvetRVcEBYHBY6R)$XpV={mt8uCp|1
zw@1TQgIIUd)T#*$i<>VW=3%j^mH+5;*0cPMH~ads&&=0fh%=-x-LKX7kagXzptnx)
zz_#Y_-Jct?zjQNhlihQxl|N>2ywHZX53YL27WCF7f~5VYFkOE!oju38;_DZUg5J7`
zV8JesAQwn5N4=o8?%;v2ruAWmS8qNMdQq|9XKtySWo*lfrNIUjRhsqbg<g}Q0|VwC
z=PL}5Kjk-h!pdD~_m=!~Zn1r$bU5TT({*hli>KRtSfB3QyI1-5{C4G|Q=Tzh*ABAS
zx~oQPQJvB<SIH9!ds<)XR4TFgF#g<h`H`c{8mm>3i`HAMpSeum|7!V$(mykwpLO`R
z%6MVsKem^DR-QU@@uH&7L#fOaetm5jEBgAEWu6e3!1j!NS<{wfh8nxv#LhOiD0E+S
zylT=t^VqH`f0v)dKLQ@^wYnv>Xo=PRS<6yR|6OgxD!pi-71!)#ij(U@%@~6ne_c;|
zeO$ErYUOQ#?yC!Ln{?0ot6g<HRL`r5L*BNlCud%135Srwtjo<UuRG0_8<anrP~5fK
zCi3#cnzc6KRTXK=I3M_&DQaEvZb9_F-QN>Jz6i?w65;GW)G4RJu(D|S_GQ;&7GM8z
z#c<EbJGoW9=b6^`>oV(IYoEDRzV65qP2n1zzw4U6PczZ|@MO}nZE%)<G~d1GOIr?1
z_MUb7-sgLhma)!@xpe2-JWQ1cJxHtuc0W@FeiMhp^f}T~?f2%HGuh3$A0OTR^>1le
zVQ^E>&NsKq|EJdd&u8Vgo16cCM`FO=*tb^sTLu3V6+{>HWNfV!68L*{f@DUT<>uZA
zjDG&yCp`p;j=J{pePYR~2%FnjS+M4OLZj$Hj~h`eXEkM9L(&x%IjR`ucCanx<L+d6
z+~wAMP&6<hZcA(Y9y^Zu^OgSL*>5&%JLSMW$>``p=4CS<T?jnmQ~2US(lUz@5B*>n
zt3OjT`Suz%YVz%kZ_wnMyYjrqa=!)kr$y?|aa@1COLl4deYxw^I|YwS?{RH<%{U=q
zfAs#gB7xArRmBsUPB}6?mYdhk!qW7Xzx)4{X@64d=W_?iCpR-ZoM|hfJM&S%mXt2%
zi<30@T)mfB;1D=+WbMxQo~x0M9;`Op@hS6>VOVzO@rbpma>-lQ21QS*=()P>l&$9@
z!?4?0mJE;9|2zKg&ab<_uF6KPGF*8}G2vde>@N}d{5i>+Z1+B7JM64(AUV}3==B!6
zCBH6Lcz7|ho;;gv<QQ~2zSiUG@{bdyuyIYAZI#xvgpcKu;??=boP(q^JYHQnxS>hK
z<JA&*`Jlg=d?#(!%zNpxLLz6wyXvU0q_2{Cf=Lt0n|%ddr@CeZ#jo7l`DfoMd*2tU
zEo@#L<E)TTP+{8Mb>oZ2j+a|sznQ;AJtO}1bIq6bAHPMvjr2aUH!SttwfS$ptx=7M
zTg_{^*8b+(Nar=Hn_X_ddE@`_nqyxByZkYQ+uPzaRqXRO*!Q-xu)7^on9X+by!0FY
z$BG9X`wUMkbI4-l`m4^@B&^Xe<GEX9f~5{;k7(+b`8fvJ8>fd&xmdsH_T>GWnHALx
z_kC#MHrRLc@P|WQNj?u*MGoA0oLI3?#jx)~6Gy`HjRtSNP3C2vtg`58!6cFUZ`59;
za#VgioAD^LEkX2tkD5<vN8qKjm>tK@On!d;@Y>?|s*{q*VTbPh{UXg!nXuq0-`(RL
z%@gBVL)xU@?-QNeY{Tb2zw@{$zej4+<Pa98TaS;;*&x&rqQb-~y3|S2K*K|Z>y>w|
zPuOnXT%WMT-Pt~yzsy)`dmt<A@s)GGvo>3m{?4#CHStflMboN75wm1kj(SR|G4aTW
zu82!?Z}kcI<kITXds0}`$W(2$c#-twDqG%~>aD!@_o;8G;?j#ZdV27y_cEIu4JW6t
zha1fN^gu{0ST5#p%Bkk=89tQ-qLVfG^^%RA9xV0onYa1Rxhd_}@9*Ridb*fr#%7lD
zQ~He(&MDah+ns8#JpIAvrv`JH&XMTCYy0v*P3T#HPU{X;A2*P>G*_l$a_`!d#@(}8
zSFu!xPCOeJVfCHU)+bT6ed)et=hEeq8EdaarX-$_k)6EjW}+zHV?|!xPt&?o<YwQB
zd0>5wGpe%Uij0G6@$v<Nla~K#^j#G0(4%ruMw(GLqpvSv!t*r}EG3S*;x8j)m4(+Q
zm9lUzI25^&f8~X=3srNDO)6JwQv7-Kr)r`okG8?zX@{A@@_3~WzT)6$lQo#LqJ8o6
z6%n$*tSb^`Fh1;*5oKN2l<gVdkQgyZ>dXc;gOC8dqa4{&ym>Q4S1WIR6uP83w4%ei
zaE;x=&|g*$gL?Ql%wu@=%3e|`3^(Rw*mmxI*n7{`!1wzP$uVrqV?CF-gX=-0V6^ip
zrh>R5p$xt`bwTMI#Uc*I+S`~ivW|o?obA2Awcw;+G^2@il6J!~_Y2>*PUo=A`@iIJ
z<)>}GYro(9=lR(2zTuO!wdDzi=RN0(UbJ)azFvV#2RAld(N~m}@m7$P$@XABuCip;
zzKDCCaq&Fag2{7M9-nz?+pNt65xG;v(+)>H$qjveb4sqM`}GaCwAN>|Z#{8)Ro{Hg
z+gX0I61Rr#6A`$kl=C`d=FcT2pI06^wfxqJ<(g)4QE&6_-1QV}PB~+7+V%1|7oW~=
zU720M4<5|f$&$|AH~Z&u0i$Wci(>L;J*u48a^Pk%bGkpDd*ySJqn64K4}5N5JLkdY
zF8keNEnivELB<-v9NCtPW7P+eZ)NVan8@z+_TkJhcC(ERjCLH`_*hx1lbEv<*q8RD
z&kAEV+~~kq$Fhx&_2@^nLLtMe$Mt+yGf#_Hz>v=r-QM)*#}td(GhRKOvh3<XPo01T
z{*J9893uJl-$Uk2^Z9D%dHI!tikQZQ<w*{OXZ+{vpR@AM((q^c>689E^?9zJ{^rlo
z`#+>)8VXCiIz{ICxt_bRvSa^wi&b-zE?ZreJS1uD{9${k`_|wb-LNMwt_6p^R_^4y
zxoV4PWIgvAE)MC$C+BQiAKVs+t~N3iJ=Ojo-(yDO){J=%PUqZWdT6%7^i0xPRgI({
z0@hk<ysHgrX1=}En4+-4RBH2$mx-}fQU|8rOjMen&9c=kS&UsEAUtq-_k;YDj|-W;
zFKl}2T=#-gt9Qw^3F?zJ=-p}M+QuEYxI*hmP2l1Kwkr~<1*QrtaXKe%!ey)7uD^Nt
z>yW&OT#+)ycGHu(vqj~(rsmJES3P*ZBjdRF{z-o8;;ruqFkJn$&{gf&rI?DPv(C1a
zz2xF~y3fbpLX$YNPsQ0e{BHBN<Q)j;JITVVyU*}IOS#d9gD)mu=9M`0bVkC0QX94z
zAHtaIBx;3rF*;v8J|kg4s4v@$4{U;V61AIlGCE&9IwN5LYY^Lv5BE6iBx-{|LWgH0
zEI1m)HseE?u$@HhryY#WR}aoeSkRixHsixFaXX3HNg$#9GZGfK=CIB9@K4fCqSgo`
zv=?MnDcg)rHsQ`Adrlp7Sb6Wxq#o8gX@?k?bEKa#Y}z_=@0n6VwF1v6nm->Izvs1h
zR&3NRbWSQpF06{_`@GI<b4Ar-624#E);?gmA6UK1<*T$q|32{}&tAEytbON|7JK>7
ztHbZ!@jv?|to3Ye#8KhTd~HfcH)QS8zPwH6+@^KAgYMnA`uWV%Ga`j~tM@Ow5n#=x
zvOG6v&#S&n<ISxf#Cxs=7ITy*Dm7&s$kSZSa6~2S;LG3$wnFU#Wg6QL9ZA@p6eVlY
zn;bc}BAa>HS)bR3;tj&weZR%5_TDDB<bR`|<L`QbX$ybJ3wyt-&v<tFRsF{}0iW43
zIotOq{F*;Y-dWaDu&47Ni?f<Tr1XWkGqxK37Mk^A^RJ*if^3)5xELSm&)B!*6!Qvw
z<+)57yi2=2XE0tan(>$EszJnGrF*BFGmTAqKZyJ6517iA@!+zLVzQ2#!JWB2O$&E%
zbtin>r{ptx<>r?C2^`B-=_i;R>Th>BI-&01F5#WhYHd9Y0Vj@pUv03KLCa&~8ize~
zZJD3rd!I)!hHi7(Eu6-sGUJ7xN6!XJAD(TNY2{9;mSN>x*Vznnm$``lYkKLos(`&O
zjpg8l47UhIwP|<s14<`e)&G<wvnco3-u4S`#aFR)8nu4mHktMC?;#b5RTY~!^6p1@
z6$G#53EMgA^hH*^)l#pnZkv^z63MN-BWj9+spxfi=d&Ewqa)|uWSY6sIV~>m*rM)L
z#|>UKbur59;+mt^*Y)95^5R31!p>TUR&P`ieK^%@V%LGmKYRB~wrYKHSbKWV<>D&i
zgJ1TX>Pf8%32e)(3XpAbHcvI@Ii|Tgam9i+Z3~&UN*_!9({gvm;V=)@0=w=j-{!pY
z*<ia~E9ItKs6f&!xe)V*C6cMtJ#mY9+7~jJ%BUabJQ=+A+(kzT`O5`!_IVh{tY=A;
z<O{7$lHv=w@sOu1WW907g1zTDX1nwk^7I?Yq^-AhQTwth{K2NGxvO#wt7TW274Fj6
z^V#)Ew(wFWtBMZ6jVtsXziwDQNk;Zzt+A5m!@F9GR=Dm^yj&+c*<(Ze`nrsp`k_`S
zxAa5QAK%cw`H@F9<hXH-LOXBpx)U9BE3}Pz`ZvTc`)K)hW<pKe%}YjhtCCKd*sWM~
z+)!rIbxErWddU%T7gJ?cE7o=_Z2q9Q?5V}vi3yf*tyeb5tg<+@MP|jj6E90wU6+vM
zf3sis<%MJIH}sWL0*)PiQ`vr|W#0F!4PoblpN6NMcV-PVdwSvVWgd6s-E$W<zbH$a
zZmFYEGV|u)h?4#K2Y$WNN#sxV_TJhrw#V=N+NV8FQ}hi>p1f2o@77;DyW#LwAN`fF
zyRM1f`*uGvenN?0g?MZ`d%k1Xqt~IFpA;WfU6*$;xOTv&=hbpILpN9c-llR#$+O>2
zWaunhRXda8?MsIyfvml%+VcWZnGF`&nk`(?8B~2b+cm-Y>Fe1GR?Uoh-)I=DZ723@
z%ik|1&$cBnJ+pf{@7n2ekJhg2j|!fj>pP`7=t$Dr#T<X%>0cA~n!4S5{`2jp*Uzor
zQ}wt1=IY1S+cz(M9RBObsi%K}Z(d8<U@oyX&GuLQFZ=U)&%(p!g65|_dH?Evdj0(8
zbKQrpw=X~b`|9=iwUKB3N7Q=$UAX_tuMcNeKi+J<+U(`*yLC4EXOxHaUQP(NeKgPh
zXJTP=-~8^`ug{%4pI`TDXJD#JcEJ9rod52ADl7cE^7E7a)3aCq{QZ0P|Ja%z;nHvR
zPdzr<K16!{3T^+WHPNs3z4+;L_;djix7q%!6Jze&(cZARKkZC-=W!of-`v_?+cfUw
z>Yuw*bbf!^W$Vc^*v;c!EL@N?zsn+;f7h4u;@dy}-1;p*)?k)>Id6t+$F0J^xq-pk
z+*^0*vT)vBS9WXNr^x)gq+Erd=fU~wnT20m4a*SOTebJpw?*uSuU{{7&Aeu};Lg5P
zan%=Vt<CfnyxZGq^5aLWvO%%v1MX8CuU?+=TVyWG{eYXR)^__1&o63%Z2J~mxW4nl
zw?+0>1o*ehxzCM^d$liEHT>(o)YqF=T{-1)!|jo2e;$8=$*EOrQ$l&S?K8K_dX@f}
zfBv(#A%}KuxT|^O_tUTEHz!XOiR!hl<K1q1YZYj==<Xf;?ydJZULH`oQN2rU$2+&J
zlczQ(7f;#iX~XvBx4qKoLV>v}7kh1&I<LjWe$OKQ?4P;X`P~ccf8Q6}q42<A!)>t>
zx!tj6Ufqh#U&g1Yk<NL~-8%8&+MWH8N42!(yef>$?~?d)sjsAJb=7n6&p!F$Z@bQy
zDe;!PSn(>L-0n@x-Mq!=n-}oNt2)&_jDEOi!48f60(zo(+~NkDWph4zsUFnN%{5r#
z@#A$);ori#YU}?CxL8&Pp6_A#wspVx^=-17BexrK$6UL)d9{yvMPat%?!|G;>#uXw
zUzj@gL#clK)tzr&ZF%pIxBKh7w+Amx@a4R+dzs?ztLJkY?`-?HvX0k#>VcJ)S8bP_
zAiJd9cY;}6c6@fzmv7a2{Y-P$GKj2jNo}8Gc0O~f^LNGkckSY9x3l~{zDX7`Os1aq
z`u5r{dA#>mt5s}$vfk-Q^j2-p|C(K0Cwo6w1%Lm<@apM7wOP+@CTciq9k{dd#-)Tk
zzn<Pa>Z@73cTe^d`_<-6rG4L4pK{#zy>6q)inH4^x4wQ|wNIxyH`6S7CI7ei^XL7u
zdSSCWYR`V#{eM^4?fSD}M(w`XKl>u|_MLgZui3!i?{)2OyCz>#*1NX8!uo2{k837d
zD|&ouKmKCKoVKrPtLBQ&)Bo1GEZ?VfO;XBz_D?y3tHJkJw<*v2B+q|;kBy~8W$C9!
z*KZzt`R~p2$CEc7yZyiP+w0fn`u~&f{G5Jo&5!No`u*qseS2Tuyl(D|MhnBL;~o=c
z&%D|9f9>VqhA%I+ZpoiJPtK$Np636xmoKxwycoTIziZX3le`A&e@>P#*Vw*nZ~djm
zY)`LE`4PS7`QN`qk$%(P*`I%>CoX?B#q00tW#tF9X02m4Dk<4eFLC(%$JdX|dF78@
z{vK=i<6nk>%oe`~ZU?t7@6O`exnfzy+2d7gI~95!T|J-Q_Hx4Sz00THEe!wPaN)dP
z-@P+&)68rBCM#E#2bZ0{`uEf4pO3b^Xx=1{>oeOh`1-HNdAt7YozYvEwrI{#!?uf;
zPkU~+zR6>h9kuQaBWw7QX6@>|6&wjaj+8}j)IHV0={ohKO#d$S4U^Y#-n{2Fp|oBi
zM@}-cXXb(4U3V{59o!nmF6i^I^9Z&<ykA#1F5g)6ch6eY?<<5RFhuU){d02qadAs4
z*NacBec!LReOqVUy}n1c&fM5i8PhC#bgTBt-0Z!a2fw6GC_VYBIKarBY2S-N&Fd+V
z|3wb>9#(E!?(?CqukYLLz0V)_9#(c+S@WT<kB^_<oG*WaV8z`>KX=@g%}d#KvB~_%
z!`@2|d)fT;`OlvJ{40I3!O0(!IFrlkYcl@be7~yRtVd9~!fV3ck_pMF%M`SBUD?5Y
zYF+!pvn9U^EbeIQR;~FLx<6m+N!qb#{~q%HeYazK;^cd04kX<vyZmm~%njChT}c<T
z>yJGyG?d^m>n_|P*pupaef3}ezxyJ4Tqc@M?(GZJpZ5Qz&bG5Y>E@xyxdpyvP2M+^
zJ6=!ZnRb<N28aCY`J0}#f4`yjw3B0Pu$V#2&my}@kGJo8kNv$?UEb{RYHI0!lg<l~
zLN8|hYR*%B!PmC-oLJ-4<JP+~RvXVP7hGpG^=flAU-Dho9g16abA|1FdX6>wUf%ZR
zgsa(CV>Uc1&9deQ+sk!^H9L-RTXVuy=?yU(o}CQ`3F@6>&5oPAr8(j1>&lo7&$ilt
z1Otz;X5UlU+?;T=vraFfc+q{a=B;LlmqXvgS&4-j3MD6=i;~efa^~s8e6^AT0=AoD
z6FRy+l{G4Qow&`)wmvz9n^C&S`9`Fijx<-g?Vre7IR$1{Ok1u$Ik;)zx|i?6uJf2R
zraH$O-&ot=rt|Wt=COC{bAJa(^K2~J9-GkNy6Y{I^O{q+;u1NUdUc7<ejYrSSm3*C
zui8ejkfVBM^Gqa?e%#SBaJjZKHlf2NclUuD4apl0dBF#7s_qZWFFpD&C-nWB3pKt6
z6(2ds=}5ntb&xOd=1j>(rp?C2Osr|?X)Ii4&Yj^9nQ?cv;EL<9IVoFiI)q$~=<rF%
z7Lw*|dolAZ(`N0>(yVO<HihbK*5;gb$moWmj`idPng%f9<@DIMLNo4qU%2vfru8JY
z2@cy-ZQlFM+G%b1?48Pt-NDxS6M4$mI3rW;$?5D4Hn_hu%hFQ#^mm_4|0MhQzu3=a
z*yhaU?y|+k;fcSg8^g_j<vE9nJ9d5&V^p+G%~nf!vc%qhea@j!x7}B?UA`)P*4VuL
z`sM#QOgHqt3I$F(IXNfqP<h!>m0<fjse6@v%-{UE`|01GSJy<#ZkONjZQE(9;{OMe
z9xkzd_jS^Net{2*d7JE64qj%T<s$RRNYbaPP^j?LMTh50FMl)r71DY6aLJ>t<?oe0
z%_>>FQvN;bx{Lik)0p(@?Tq$4->+nwA76dM)?P;R=!E(TE{1|-e)AaCT~rT9VbXv9
z)91lePmu$rzg)U<+1L9m14)GBSXYz=u@>~&ZA`j-aP?&EhU_n#Vz>G3Nxq7DApbsK
zI_Dm%n@l_0<`-;y`{1gl_yN;jN4k&m?PJZUtoxn0Pv^`T{zg&z*WI>P-UZGz;V*8x
zuK#Zd?=s)>4vDWeoi%*QS*%ua<kq)oi<QOpZIij1kbW`I?tA)<rp(2ML+AZ^cUa=6
zUc2_D$J?Z&rq!iH+i5<?nWA@PU2dzqYMa6Hx24y3dG`vw7Lr`RYsUCCu_|}>ck2Zg
zI((UDPFsHbZsGUJ^*_HmF33w$t+SBeyW+Lyr~W6qcco6M(>Ale4EXxHZui}-d)t^=
z+jWc5r7b4k%inUcv3PDiPu&~Of3xBl_Sk-S8Dbe+V{P@S?0et0zAOLDW$s61bgC2{
zzaUikxNo6sO>jo%8zbRc{{jmW{(lb0FrQptC$?qwNlSU|D5bY|6t~=n_|3aSx@S_>
zvU7Djj<W)j?iy~n@3-rqMS9Nh3w72n*&eUhB<uY9?Govp!{^F4bj^O%Fu!Dbd}E7G
z{`I%3%vGk_^S27Ueo>?LSE5bnSY(8CTiFNSg{qf&eEwCHn7o@7&@adJbo=Bu1HVVN
zKqgl;9(|avus1>G*HrBo*;(`19Xy@Rq@351T3wj#-|x(C^zTCSYNJF`<(%!mWB&j7
z@F#IY-m>`abw7{)k+BWfFZ8oe&0Lo;YXN_=VE3{0MS1rc%q`Z4-e)*IeI>tkb#2F)
z4M#ju_TRqO-XfjGW!ayg^_ll<;Qoc2T9(##Rae|UBl1;x*8Do>$-zb2pHFk-wL1{w
z92ZkR=l;EO_A}m!v@t%fe0CuGe_Xw2?H<cIrTxPG9i5fo)m}fZOyB?i<i39=kJkO}
zS^Z$U?zfM@>-QCYW47sA^<Wd<xog*=o=&=bEx}aUMe48C^~cV_8$XIP*VSL~b#p(%
zx?M)r@bB%SlIFRt?LY4<<`L=9oqGF@thKC$M6}v=nY-`UxXx(FCoi~>Xn!kF{Z<*j
z)3f}|2MW)8HGNiirvHx%J5NnxgMP$=-!C5=_t$s-wC;SvzV-$0H(qdey>3>uRme>5
z+XG+GFOP3!-+tdY@w)qo*PBnA-V##vqh?1q&-}yZ*VqR!v2!#si#5*7I_~b?<+SzG
z;*6O4R{U<q1Qe4`C@D|Ymis2Rq(aV0qTZF^eZn7~cVGVeeDd@2MHag!^U9X9OP(@m
zv~4+XuZ<%l`otAK%Ly#A4s4z4?Id)=o#Rb@hl6PMh1iSzQx4c3Y5g9dH%Gm<Ew;S(
z!EufshTJvte1t3w^6tK9e6aZ9<b&#$BmV74FDt%g&+o=2;9(+}qHxk=n!g*r#{mY#
z1P8$hnv=?YXWc)?(AQR?QafQ|jBM+>y`Prs{MHd)Y4n1>Yp<tK#rvu1ViljJs;5^T
zIL(}?_Tiqkzs`pz+Wy;Q_k2Gk%x&}Y)Z|?D_j^AbVe;SiDdqOT>h(4o57^%epO?af
z5Usvf{j`(y-^YEQRD|Is_V0b3|9<`Y=i4{Go^7<yYX3ij{|nST4HnhxeE;yFV$9Vg
zm-lTwt61xHs?SZL(t-K?``%-3&0E+6ud@Andif`R`1j8@Px9N#zkF5klhv4i!}=Gu
zDoR;R-_<O;a5QVz7R@h?ksTJxG$W4e-7)w4dfwtJ+jAd+GoH=zuCiRW%O!AnCX=a7
z+b{Md4a@ka#d6p7KCJv=I?esk^p#SH^P~;!UpY?V4JeFqo3cRms<=wnl$mU<jlce@
zWd>;Pag@69NpEtS&$%TFz8n1LKRh$+%FcCL7QK5Y<7q#yul2Kq)TwFfC4Rhq^Jp2%
z@>BZx_y11+`FFqQng5VgJlku({d`uoGU2VfxzDQo{onH6o&J6AT-V<3-AiveU&=Dj
z_!*vEzsKsjLRgu`l)XE4?%Fwb=A9)~CGL7R`bA|tSl(`5`dNkPW@)KJ?Up?qix<?q
zSlO9Tdeu(V;a~V;|0%80bUi~a)XifGRoGOrZ4U?Y5+%u_IoGQ0me1)5+wf?0hK>C!
z?&#KrZU-Jg(?)r(ibEI8*B_ebaZgE>(`UtkTPvhhSNK^Aaj-pNm*aD_a#@p@#WGDi
zKsdQ!#w?$;f=5_=dKJuAu5z%=7n%C<P5ahmrEZ@&eC14MMlsJgw)y_^{rmNWZ!|yl
z`){qmq+r;t+TS8K%|@uv;*;SaMy-_`A}e`c#LqN4Rl4-np}vW%7E9(lTlic<e3@B?
znrGg@5a-@c9a1YFAN*u<y!mNmAN#4}9PB!5zVeGgj(+iSn0?@N#H~Ypj^<lWJd>EA
z=60_yqpQTwhj(g+@l_6+tD(wYLn7uqU%A2Ne6ZtBiH8lG#kNz|Yb^^m$Spd|n<4ze
z);s#d`|Hasg_lk!n)Ptq;+T*(j$e+n+xkq_d9!nt(qXw}ZUWy7Oq(`5Up2AKHe#Om
zw}i^L8_Z9WTbS1=bc71!7G-m12p`d!wr#`ZbVfc+_KJ#&VLu*yb^KAi{6X)3OY8j+
z_vXjH>@~U@Iq8Ro&Og()?{q&Z`R<vncF$i}en}_)<s-t@dHTLJXN39lUUPb1vnzjg
z)-vgsQVe%FkL72ft`i%j!c`<z)w&kGNr>9PY`RW$-eZT3r+vCQsdpB(uJjT4BYpSX
z0ioQ;8A=mVcXmC};l6xmyN(a{{Y^2ti&)Nx^OxA)H;_E_qwQqSF+-o%<*%<_ILH6>
zjpyFaix-sDz0g(j{e17f{&e%}n}46*y!-S~_ss{zPs_zrYE*hoZ9f(e9%s<gdd>Wh
z+{x+u7D;mq_AORXklCPJ5`5G@_hbCG?}zVa>)e!Gw)pUZ)fyMRRQ!MB^HngMtGhh?
z`l}c2M|%67uKjS}&3uE4TNY=E%wlp*Ha#I5&e;2s_m!da6Dj@$hI<`r)0*$FYC0rG
zHFv$?QLSpp3W#gFBsJxzeWy<A%lVxaMOA4@!9`IqNx?<8q_%7NMv2P3IJ4rzk$qY7
zkFQ-PbaHy~)C;{&9<wd!lx?%P!7Z};UL<d_yN=|Z^mE6)UpV&qvRp)Od9FN|d-2%o
z%aRej<$GE8q^~=+I+3|dvQ{+T=6Y(!Sr4Y^iT&O(Z#JBMvD)Gr$Mlo8DsH5H2`ziP
zQ*ZLtk08-E$BJXsq90eUIQ?RE#p9iN&OTdN^e&g}b0Mtfx5npRTDw2SJY#%s`EJ|M
zi&5??^}=sBB)M&CP@J#VYMR>2)7JH;P$_!0qxISRB{y&Ju(kaOR9t#?t$L?Tzl2p}
zaZ@nIwLFgH4f1tfNA8vJidW0MkBEMJ+wPH>UH|MgYd_|u7fR1R9)Imph5gg*()0D7
zrdOOVyeP&#ub;i8I`<)HCG*j{kDgVP74DrQ`s_i{JdF}3j%ceIAGe+zUvsRZYmP>q
z*&BZ7!dzir-fxp@giUT-c_iK6qkWTY>J}gFh!Z}IJbdauk59_UWlN6y=P+&U*#*H*
zzH{WwzOTQ&exB^~Muy$H>)aEI-CwR_D!=ySqf_Wd@IXt0_L``pE`^0(Z@+m~*QvMd
z&GN>ABf31ANv>;Twlq$j)Ggfnty(DQf}Ot;&#n8O9ge@PI1LN*x%AlHK2|xh;P32~
z8!hT=Z?`8#NvfP%!f|V})_&{k^+v&SGIvj~xZI{#xJ=jik<aY5Bf{2wnU5z}T)xKa
zx+P=t#v{pRjtTV}N_*QJ%hCBLfhJJ6>?X%IwrejNYcKw~`)lje<6D<cyS41p%cl!I
zAKCq3o5Vij=kAAow$EnuR9n})ZAHnu7v1yE-7I!@nXzZH`;^29H~H7Toi4G}qfF+;
zAx^&ocjSbwvDah<s{ee{<mc4#()xR1;x3uTGu+Kqw;2?_UHa;E>&><U8|NKxPG{^*
z)KOm&V<oV;<P7s8zhlf&!VMdye>?Oj>trAIk+}=mP1G1N^*?tu@~}8aG-@(>SgUn5
z_Gl`saqBtEkR^2Phzv)efW)0oF&?ZYCJI}3Hq0^+$Pi`L+`+nKscDP#Gq2i^I}>ia
zUBsAc$oue~+~dY7CT{72FCA}02J95zIrJ}zCs`ox$lp7TlZ<{|S~TUPxcCyE4ug{P
zb$i$Rin7Q`{^l(yAhhuITTeF^zWaBUG0e`H^-<~2!X$=?hb_bf5}xg0G*Dik$a>;y
zRe<n@kJn-YSe`Pv?P%F{@0)<5>%4`^{^xZ<HqL(~&bB^bxq(M$uE!AvzvXu(>a0nh
z(xj-V^?bHqm{jnDC5#h$bR)LS;8V#AN#xNyBbjJ5VTEL(vY%UAnDVW}^U4S7easf9
z@gCRU<~wjwS|(_*$m1KQo09tvDQ@*N?3=>5YiaADgA%Nl3w$(W-kf3adL5~(@gU*d
z90ir0)OC5T#wz^V=3H%_Eb@!<)y7{Q&31Px(rQ(jd7rNAPs#M?`{gmMEybrOS<2|Z
z+pe1pjEPBkDFV}^YBB>?9uya!A|;Uc>)eTZzPk*bu$z=6o><>+z~!9N?b+usWv791
z&{8#Cm%ffEOBF4Zf~K`S>F_wzX0rO*vyKMuz4vS`E?3`bS8aW9y<zL^=RHR@IXu2M
z?`BoC|C62%T+b({l&_cAk>56<`MXk3(}OT+FM}YyJF}fnG|n#Kuq-g=Q)JIOtoY=>
zU-K539Ut2!FqhvED7vuD<#=Px?P*n;t509D>^dj>Joqc0XVT(d|5jfvTYThqeJpF;
zX(iUg{mQD2>`JQ1td7?gvpC*g%;NZbF^l8-#Vn5B7qdA2U(DjjzJx`QzhwXNJ8ds3
z<=;=+4jr?*vhcy;e-*RqXMS3+)aa>WbLy$)veQ%8&ra9mk1`CFGf7)!lX2On^2C<A
zsZAI1E^M4NpJBD^yCtV4#lMXW-z-v4cX)z4=M&#^ul7XA36w4?Q7Cb^_bK4_uCKel
zuKt$!`lj*HYdXCPJ(n4`{N_63+w6UXal!@9m2XrQ-d#1Xa+`tNv>=CrMav6bw_o*d
zT`Cf=;ST4+Ux~ayy#bmF5_Vob{q^u9JqF85A_~`6ziI#f;IPe&wbS)}TU-ix9sB&`
zovN2f{mQ;u?|q$kX6<ynk6_{O6L+eL5|6KaYWk?Bd>2bs#kseSqh+J_oW9m@V^Qoj
zwj*M%CrK};vdg@(PV~XG$I|-~WD>4#zrD6go_&i6>xr*yCuS>0%iHs1WUl(UPCGTP
z_K(Gar7N%PuA8y*>&M3p)0RcO-{th|ntBg&Pq_1f#|`#<9Z_@b_*BdkYGpif9DZ2{
z++?`=`6FYn_v5m|{4?J4=DhuVoZUy)ck8{aJI}1`=bsTZD{F7|hMCdw@&;Q?u2x;Y
zWxU<4|M~BY!B-ke&i7|CtQQM4SJ)sseeQ|YYROGK4xQcX?UT<&72M&Am6p->e0Ff+
zo71Ja$+;0XqDyooUn<LO%h*x-;ertRoc81s1>zE2lcp`=Y~Q_N+41eOv$j~<t=r7)
z<{7(S$<mBXrH&=j4tWHAYB7@Q+q*<B;8Kvu&0PvsSCgJZRw^0ryO&&=s{6PrqvX(&
zE9(m?d5^0tUuUp?@5#%TenhJ;U;1&opPvWo_I2B(TEiO7a&0o3t={-L>v3SI?EShY
za~4PhwB}7Kn4_s87xB99NKV+^#50Tc%vi|F#dD_dYb8suf=toe(_24GSY;K&a5Upv
z9lM9zz4aR=xqmM<e)9G2!u04JpB(m`x%p+mx6RFO(@%UCddmDwWs>{%)fS&7{Z%>_
z_UFRdtNT&{?p5k&s@Q*c+PW^Kf65aXiL!Sa_8AydTx-%g(>kf<PgsZ02APVa1`uxz
zBS#?9N7i$D16y|ncyY5VvEy1gd*=eK&kIxpcAeB;)>Cl8L8~Y8bX&jmJo^UaqsuR^
zxEIsg?Ykpzucg%TX;V%-T#~1-eu-D)#sgPNUl$!bV>vIQHnF16P(%3C*NCXTW4ad4
zH}l-gWqNJ;$1g<p6m!p^)SvwJdFc()S!G|EiCOInT)H?}pJP#!(X#lSJKCGRCm&2b
zvr9r#y#C60Ccj+|8nqW6_;`G;&Vwc1oI8xTVwT)K)}-A1<z3G$Eo&ydHV=l!Eg5SV
zC8m@(?UvklW#Vh59xI_M%QPb1UTuqrcyfwyvD;)(vE93RGZYm(pEVz8nw4QUgEjKs
z%!FxaPv<kIWrqEmW3w>Qw(PlK1eborE@L*&l0&P%_(?2Sy(cIyt9^E=r@^gXhi16k
zR4kZK#&n8jrdzap>m)&;Pm5K8`4qhpIs~6~{|uaTvr%Aag*M|V1AZ?i#pIA!P3ESa
zoRG8q{nGQ~4@}(Dx8bMs^ujr3Z(D@wow1ygQM=>oskX^F&C9Yv&pmW`y*R*YkAn26
zIfpy1xi6fuBiL+;WxMd&ekaY3r+qXyTP8hhiQpIDQ@{CPZL-D5YdcDL)Ne{{?|Z1?
z{rJjd1&~ty?Qu5?CI!vT->UVzVA0n=4b`{_ys1#5?`Xn}{*kISg~jP)0aM5><@U)r
zKdu?hNy)xr)iyb&H~M(Nq@{&fm+fbAa?S~UeRys7vpH|vF9oP(Z{k1Tf0y@USZ>H=
zUc)D+So8KqiGA39ydoiD<G#Qdj*{zDWUhCv@l`QtoEF{G+3&!6gY(_86qoj8T9c&`
zl$1i5CAS6eZpe37#I~_`<t&~%8m5vv0<(+?7W-H*Yb;d*Vc8Hq7t04v4l=PyRC(@x
z>OS)dgR#O&se~@YQ06BegiJkjLsqFVn|ql0gQycz!dIy=YouIK3z*Ct$Y)Wxfq{ST
zuHQ2SWM_qCl!&Zw+*DTgZvKwt*Mgk=JS3_)qZYCqPzz=5^>H%2@IWPmx!0e`G@?i~
zWOAdl&Q76KClByWi{ZSQ!qBQ3?qqtQ;bi#a!x=>rW;frI*>Y&kr*>wODcn~S7RDGU
zB}6U{;5A9%zUr`eTL7;~0rwS<h%Z~-hBd(})R@g9u4#r$W)zuL`swNuhbhc{aykyz
zG*5jty88Kqsj#CW-`USCSqmn5u3(dz(~`B|qvry))1O<ij0&2cRyvtV?l}0#X5lQJ
z1siPo*Q>EFk}3F<(0DAWPLa=dT}zhH7PiwDe`F=d`t3SV(ZV&YLw?&V^{fRCC)e?;
z7UfWU)|zFsl}*WQ1)J0*)~pRRAz<g&X@UJHFS_a^1J`NypIJo;rx?}wr^)=x=vynW
zRO(Y>!Nm<vL!6~mOFGVfuvyHj&2Rlg_$g1qG^>mclX!G2Z)B!xTzoU3+DP(%LwBF^
zH96s=iK%zmIN8?Cn7b_UK<b@Z&Zy)wY?pN&80GC^ao$@{9bi}RB>AyW!2t=8&B?mV
z7ECizlr;@lTys^<Hmx}@!8KR$?4>nwn<J%7Kb7W4KQ+@X=*nHJx##5hH|I8=-^%Ot
z=kCM<{<~5ot9RHsG%0TVyP@ctSGmf>))^j;bl(QuXPUJw?S`%48#!sS)8&oJ)=HMe
z^Xxv~cD9Sx;qo<(+cqhxjcZlS7-#R@rP!|9GvPH)|IDD~37<+osdM#6cXqvY(e7%O
z=4=De?9!ZUF<Tg07f)S0_0|d5+%Gvx*YcOgU9;I0ID=bt=R9o}P7z0`DLnJf_D@<P
z#1+VtZN%%<w*HyBXV~u+jmFjkY^sOdix;a17_nWSYII1ka&cx|jpXv%pqzeb?*2l_
z<@YM3mwzt`Sf*Xzmy+*sr{Iv^{6N*2?ZPRqz3voD0_9JZn~}oib5fjV7F#%){X4>|
zJ~KdwL-jCc;;KUrRiyWo!ZLdFV^B`bjCocp%fRiIamQ+xY4qc37uQ-!O)veB(lh5z
z#uV0=V}_Fr6F!}_<KEHZteQOM$!=e^0v3al95a{J%oL9Nr!Z@2<j=$D)6$;u&$*MP
z*m_d(gWsiJRc+;y*5!$Q_X}FS)Y4$Kx$~hJ-Fs$qSDa}qk8n#e%ir8$`2ADTyQ9f`
zd&-T4Hdpql_UxQ5UTiN{{GfIA#2IH-n<t)W-ZO*!<BU{&&Z7pi&95Gs(OzlD|JacI
z-b(3C$%^%#xQc&OPmP}O^nGYq)GXf3CqE^g`DN8NbK`Q$pKlL0&dYo9vVFGmnoiGj
z|J5Fs&c0z*i;eeJmsRa`w7Qka9A(G;^3B@k5-+P;%HKcz`fhK<do$g$jW_pjvL3TZ
zOG-15s(yJ&Q@2lblTTp=Phyv|Y}qm4cB7zW7E|sR9$jeDsmZrg#-}htCAq8r_9M-0
zeJr85uX`4p`jWr=Vp8}?q02r6Y6h=gTcjP+R9(2@$_Zn)`wUrznVSALADH(1b>77p
z(evn(b7GS=|546$HX<53J_Rg!aLU<nmNtK@)H)lHr#nBb%sYQhzFA-G^1Qx?jl#QY
zWK~(he%E?l|L*D=en!x@yf`wx^LCTl70+b*xI1^^^}!uKhuU(lyQiOWIz}IVu0Q`=
z{GD^pRnJ5|KY6?S>-_xP?>lb1W{7`j`Sam(<=BPV`brPx%Ip!b*JaE|41IN|b*_8P
z?eAftA}fEqx>s^oF!9qlv73kTZrQ(_a`*DzeZTYmFL^oRy+qNj<nR6`_*}*K`@T(0
zowh9O&E9yO*RC84$#?WviYE5PFG|;VtnX|vWoM47#^t>#TRNJPQw9HCQsV1V6)P-Q
zqx<N=j9kIS@3Z6Y#N54e&WAyYWtzevt0Nqz0~SO_eTaT_%hSjE=Hj_mFU`x$?7ts$
zog+Ewl-!*))4ut7|Nb*=mzdn;pl{#y?^@bkdcb;0#P@|}@0>4fn|oxTOUc$9(RX6b
z>zz{EabT7ID?TTUw?9@Eh#viZboaO8LR0)4!s0Id(>R*^;D@N%k+UobmHApvOpnKZ
z=ION!3N9+q2rqr%RS+$aC$XwSFX7S63s1}LNxcaAcxa)vV%dR$!vU@(jEA$Gr{Av3
zUXcCZvgw1%PiNUPiQi&XO2|1@VbM69Pi>Of7f<O=p2c$+TxHy}ReMW(wkta?*|~P&
zXR}Y0(jO~}_cF4|vgthS{SvTU|N7zE^UmLvn{R$yG2lYtfuMU0DK26+?_4`kDgAp-
z@6SCu?HU`|7`!WzbEZCL-ClA}%`RWqE`Oc>f(3^gmhMUI%765;bMdl(n|qvN9G`xh
zD5xh~JX0ygsCc1gV?x-2U#wi#Jdvkl7Pv`0K61wQYEMqkIg{m+EN_IIH(CC~N4T@T
zeyiMWxpPhZvDI12=5|WVpQqp#{H(=L_iJ{UVbrp@-yTNm?8#~~_nmp==+-T@Y*MS2
zW)+$G&h!WIL}XVl&5ASjoq4Jy`c>cBdCM}}Yp29tjxe1+W0{ZQBI(m{uXCkVPx_JR
zbx-bYM4@NdD&yywUYlg@MihpYt-5?Q)2ofkbehDfWuFec33=XedCC@pT^k?0`L-sm
z%3^ZQjP$A_Hp%@n{&7v(@nKDf$HtczKlRu~{W=`uqJ4B*?Xu%LjK4`dUtj6EB`D`g
zdR2{ea)186q$n5dqt|kN_gc-}_i1+CUWUZ@yuJq;zo}hZ#(&qTnq|TKFT&>gw<T%L
z@qO%c;0dcZ)3dG}0tSZdt!FOsUodDq{Z;AdkrNXpG3#9EeyOXf@=jM(<=B#zBQrK^
zw{dVX6cV)6Uha^4pl8Qf1vd^0_n8~7PON*{;=*3=#L(Hj_}@F8I@9I=Uz<hKW>pkL
zgW4fXu55WzkQye<N1Yd<a6|XaYW*G8Vxju-&9en&?CT$BzIdm0woHh*(m;!4V;h&F
z$wDUq{RIMIQv(zY)pQ;$a7ywy_3_Az4L2IOIt>#G8HJ)&9Dlsv3X_=BQI5A8TbbTn
zT_PNLkNxnMiw%6*AC8(I31WJB_zSb@T1LiAry1jU&8`ZsYFsAlWP0I*QV4UPPC&Dc
zmgm)!2W+zgxWJS>7pT2*)(}>ui)mPR+|y@^-0#7@fJN^z(^b8W$Oo@iS)|q9|8@75
zsLtlC*Tj4b*I(Cr#v8p}v}4_KOY>Qls<zMdQbPOPwaZuP>m*AEiq92HH4Zg#5BR+L
zW!_w?n#&be9x0hE%30R+fg`J~^xoR)_I#;+tCbq}qI`_jEfK3{c;G4*u2m4Ob?t#y
z!YXgWH6DWcCW@yF@`Dciy!T|CucNsy!#v#{jRzghnh$E)AGJ<Yd2myylWCpUDS-zc
zwKFdG3O95&pZxv%>8EE^W&bP7%6I-)A@8|P{5sQgm5a&>>lV8`C^m}O@m1*~^UlWE
zVZ4)suGPtTzSL;jJj>|)quYn>y>y!VO!I=jjpnUA+FqufF}cQ#ydsTXqc42fGduk3
zse`ebXT6RM6G(NQwtP{R#)*VW5mTEF`KX9lbYA=2mgE_-P(W#8!ekGLq~P=k8&=Gk
z)_PR#+@h~?izCFUIl67lx_4+Eo46usTI-R0bv;5V7prW8bUJHWj?^)AJ~$!uY-89H
z(<O@>=d?0(m7IGSyZXX2e+%V{UBUeuPMUpXc(Ln3U6WN7Ggq8Q#DvqC4yq@YX(xCH
zJbyP~*{!N3an@&#m%U}2Ix!&mkID+q^MMPxn<TqtuV4>xbMh^kwC}OXRR_^Ma<5gk
z@tya4)19NP==R4eea86%;;*MYeHggh_Z6e?iz+s`@Xp{5N+%avf9Jd@7IUp^+TNZI
zXMca1+`YO|nQv|JqDQ7YC7MPn4(9HC@hz9n@!<shZB_gBrp-)nj%&&NsxO*&`0wfW
zN+ENux;paS(Q|4!saF(UcDS6)XZD-;kC#0ssO3jwe$_W!v%{Lpx$D7F-IjZ8iXIj(
z?Dl1<bU90Fvndven=AN~t^3ia=P_CDy`%WaM{?_n87D+MzqD8Qi|qb#p`#0zu^*jT
z=2^Dxhopta<T?|+T^@FZ$6v=}Buc-$)28TgBa)TxzT^!y*Gt-US^Sqwg84r?il0pX
zQ(?wpc%(~jf<bp&R;jJZ(x0OC8626H&dWQApFA#9`HZ{a$xMBfGmpe?xH?|ajyu<E
z@={%GVfT~fDK=G%6D0PQ+}|L>E}-_(SIcJ0i_r5GPU0us?Jd8YW2t`Z@bktOqm@|~
zA9>owamA|4x8hCDoTnt9K3lyZebVwJla3!ab6$Pz&z}lqL3STj$W8bYmCCc`#&rk2
z%TMNKNeF!1GwtX!%Z$g1wT`|zwOey<^!!sVHnF5HD@d$$3e)~pZ(CRUXBn?qiG;@t
z)#p1hvuAG4@{3rr(Q!6+`k!e<k!N4!ez+1cFT<`R#5zdxaWH#<z{6TI_Oxf&#SXsZ
zoO}x&KNB?feyXtOTyk*2vvxDqD@!IUV6Za0zv#f)t;>T10=YJ78f?~>&6M@ZC4fQp
zSAO7wYY&1oJ2WJ2h|HMT=gVliS5c$kUbF%a+k*z-G{vN}+|3Ekl+C!WsI(+7R{d@=
zkl~2zn89#cXGUi8StiqPg@}fGii$lPPGU;SR+@3E?cN@_AgHQ|^@Xp*p#=&lib-af
zn-he*X0vK7REd~zLFdCGCd>buBRgh%xvBHwFvD$=3F(YNHX<RLj`Q&<DFqcDbGS5P
zmZ{Mtx404k!HF|0w3NcUuPDe~`suN-Pm<Hn=bU%egvRm=7P*a)vUMCjRnBXLE~S<h
zn`Ud@i=6Liu}RnM=KQbbmZ^o@fgF+voCj}azn0uk(Z6=B<5`Cshbw=aSvVpVC@@$S
zODHOs&fmZoxS3N>;XoXx!?_+84v({ZISyCuI52Q%#|X}tquC}f+3S0*Psk?yqax`Q
z=jJ$ec&ffPQ`0J)p47G3Hl0o3$daH!Y2m3I-iD0MYK<pPK5v~7l9Zdg=u#G^+7rQ4
zrpbTi&f3N{RqghS6)KTkn&;-viHYv;RNrf+Vf1wBqOQ*x=Ec(veG2O6v21A*eIAl0
zr_-`Dq$K_9G0*2wGaXKu`L>n3zGJdvhL1nzn+q`~{?E&6nXoMF^>({YODcP=>{d`b
zA$;vk#r#QE6oli?Twu7ra%<(=3F1sPqE<h@*{KE>q&UqMT~N{Ye&vOeJHBhqoTMy#
zbq&MO;&T61zjJ&2Pm0K{3`k|wm^ZmT%=66FdGUPS^=9>6b8dKKoHyM+>77&*LyRIL
zKUdK-cU{JxTwzz!p7w;!*5r42$EV_5D*yAmKx4#D-SD`ut#gWWmppawy0YaCubE`j
zx;x9WET0;CoaWsBLEiP`g(B0=wNZX&<0npIp6PqJ$lN9+W9O_@VY<uHRtB7&YH~Qi
zA^7^Ijc>y*Ut0C|N{>jseWuxhgX&x3eH~YS3*PL%=kJn_4QcbQ)S2F0bIbp%$;WTP
zr}a8Mf)wb26nJg;TNO4b;lG#Nw!c+Uk&FuI6D*oo_&uBw__uCMUh6pF0kbE^8pZ7$
zvc<ejEczZ!3A}q<-`rR&?0c&#!9L*AMUFL!J33^Wd6_PCCD?<ckFG7Ycj`STI3bMX
zx1&nar5|5h9Iv{aiTC|&`I$x4(0HNGU$1k*SsIO*d;ca>6~Bs^A=q*3faH4}sjAJh
zt3S)Vc=-M3?pOJe!cj|R)xEfX@@M<e*6f*;J3jE8d|n)Q){RF%%OuJnhWRY>k?NHV
z3>}>l*f>NLbrtgVoMU#0S-m1~hDK&UKw!|DWWC)g0-|r`u(C3W3G4HUX~lKbs_=F1
z;EG5#ye!e&I_r4HT@e34c(P%zRCDXB%N@3=eBN93&v@B;(CKWx(jQh2QwN=YOmi5z
zXTFlqxe}v(C_ZU}%WrSfw}uHPD*aoIA6eij8Mi7jfYrm`N%37Zwh7;()HoHYpQxw1
z3hra}F>!Fv+T8nXZdOysLcyN@G4DGah2PtjNjaRGrnE<$&G$=1u<sl#lO~^r*H(rZ
zPn7*OU-^9g=Fg*_{{2~H$EjQ3X|nvo(X%0^|Ie9I-a3Ev7x_Qzs}k2$EZ|JNZ1YR1
zGJQQmN%h5@%zq9C1r)x1mv<v3vq0>X^M{SBzi*W>7kzqqx<SbJ?H7CX<qPumdv&@V
zov1Fe*rZ|a;b5j{@$fl!8yvz{Oy7Gz$KWpW2ft;dLH>7Ho+w?LSF3tYP3D31qq7zb
za<%#zL7&W@TO4lL;NK8^J@@pHRaMObM_*|OuYMJ$vHF!;WyDb>wu0}wDt~sw&Rxr0
z<Ni=oR)qb<#qWzcmh$%`3vwTGcyO)s_NR@ztg^*_^f75$6^LJ0{N8n=m;Bz-siHDc
z4ZE+OJ?*~6u70{gSP6&z0{8pfO4IE6#8s#H*nn+3zRIq%DIv7PfE6T{R6609mho&R
zsetVU^KP%-wyn^#sQ31rTlXui`{Z}-sor^~%3Nt@>0}${b(UwEZMGTMuokv5W*={v
zEy2QZ_+(<Y^1&-dABWXlU|4o`?wKF9qUNg~PU_{DoYF2_87>jE@|h>IvW5SSy)HVN
z3}np~G_RHT^x>CT*$lzAksSra*$*z*oHO)D=sN#J=aplehhO!yAAh)fZu?9xI~;E?
z&vk0G;d2H4h9{9487ely%`x4oypf#V5}&-M89bTJ^Vse0Bt`yj;+OZW++3?*nS1}y
zWKV<Kw6*qsSd;HxVPZ(T&m){FSutrMV{GeJ<G0g03=VIOxHO@7LyO|`1eW|WmNUNj
z%HCl)nfY66zGZW!@otAh@dn>~bvYBi`O5F>;xK;GqRLxpdU*4Ob<b`}w#-gUUl48F
z+hZlgJ?XNWTD+|5mYHuYAL>geFAjTUF_(4nS&rs3rr8?uf;^f0jxD<Uo`P*Wb5a*C
z$T#wPcl1to=94QA(<jU^EBd*}a#8NG@42n}6ME*{I@8)}{K+KFXGZFin92qFE9AI1
zjeU5(8nkU}JTY^cTEweQInMu%=qx+?W)*k+!3e3XSNkqUYTS>h%xF5>yCNVU<wWz}
zURKvzX9W_c%{J7LEfHR}G^m}yspwYF?YDkgL-wW{{b5aBdBx4EETTzgsy2V5*7p61
z-l<>A)AY~iE%&o&dAdlBZTr@l?iZU&%ZoL4-N`$W9yj%Fji1={xtBjEa4pc<nr+#r
zcILugPoAGllgpEGJoZi3u=kj9T{=MFd~s5V$G-l%^A*>>=dX}^W;wND!SBaw?#IWk
z$e9uqmT*fW<o(kd5vzKa+{(z3Uu<@2f_v_j&UXuHX7!#tFU^(tN$c&@-ayu}WxbB3
z;eEXeYtL*D>`C^MUubr2f_rX{V*gEv%WhBH9otMQuf96vwnEADvfF}Ps>j^|`XoO|
z9M793I>|kEiempwjm`Z}mnXNGREpkS)xXk7cXR*3O^Unw8TxL>IM=>8^U?X!j9$av
z9(A|UJtl{LSo5o6Rk6`;j#X6~lXVXWs$b(3etO(XZdR`0uZYvkX2;$n|9rVz(Kl}I
z`d7)T&K!S}yyD)GZ_X?FCF(i~&rD<gHRGoHr^_+Uv-WsJUUd&??78V4u(b8Edv%1o
z;issxh9cTK>o~p`Ztj1w{BoN~rRkzo{VOgBZ0=uhiDP+xK%eABGv?!;E*CM+>IzLQ
z6uEOp{!d)e-DOL;wH|HR=Mq*jLEV{o*XqlHhu^U8dv9i;|90Wp{|DcvF1cIHqVPl2
z?CrlwTgI!JYnI!J1!Q08XBH7Iec|;WNolX`iYu3uvu%4CE>B=&;ho5r@VjAl%38Uw
zR%clq#+*YdeV?@?8(m%G-DYvUxl6@%lh-4o?8G;X{%4e(1b$B9W0}?{RdiSV6!Wd3
zN!%ggRVkGRWwk4x9C8xa`DKER!u8q%whMICcOKZX&;8u%*ehcG3~u2{1-IMO6?*e4
zisa|=XPiDMY_Rp+#NzDhUnOij=S+RlDbJZM=$_j$h0*wmoTaNMWBZ-(Ma)ta376Gg
zK0AIari6L&SvkwwB`Y^296BGO=)_>IH@k7$rM+4g8NX!tbd+Xxyj4!vbW=Hfw%&(l
z9sGN%59G>gi0`QMmDt9wsCH*pZr4*Ec`?x)4)bJKXK5Ujv@iK!F}da5wui@y()gBg
z#Vqt+QKY-<Y}EZ+MGf&C)!n9-tW^a~gtI?9@v_>Tv{`M7=_FH6pVLRAEM6*}zg3jG
z?5vc%Iscu#N2Ltr_I78@j9^YTeljy|-PsM1!ndSO&Qe*oG}ke9Bb#6FiOq*&W@m10
zQ?YW2W<Js1ojo&xSzY&uWGa%{)Fj?H`$e9xux;7;s=$q5owoRn-(99_HP@)=tpmxm
ziCp|F&}G`Vc4NfkBU`t$TZFA?^vrWi-C4SsS>2{hB#NC|Yd<%CmiE&J2b-?WoMU;+
zsrHPwA=i4}BlF&Gnlztrra|pI?-#5AjIIkG2_2EwdDnWtLvP{NM!TJM2{#4r|GONx
z+}1B@F<a0DyU6nCRsL#MGS8b|ThS=8$zSY<?v+1<rn04d5jWeluGVe}%3b)Zzx(Bi
z;-1yDE8g8ve|;{nj`vw<&4b2E9y(<Tg0CmHKGAr8uGO}GEAz{c`D<Re{jAV?Q<)>T
zV%oQpS(#IoKR+}tU4~ugkX_{0o-gHbOdVM#p1lwFy2(FWU)k1<|Cfy%f2iW`5>cZ|
z&p$6X|M+u&=|=x>VdcFbsW|>n*54(e2AAG9p4`Atd*?P!O>6CjUDubduAW#opS@OX
zKYOsvCNJ@q-zzVqf2uY(sk}NDEWx*5K1p;!BD38pCZiTD1?NpnkKQqFzxJcCRKtbo
z#;W5twC}BX;VivAL$-AOPT6OY6XXAK@9$Q2-|l>LmSW_Z#3?q?M=o%Ne7UUG%a_m{
zba<9@>Gcy*6BKz)CiQoizOV`5%zko?!8~gHL{o*$5|fT931&~2?j6CL<b8OS^iz=1
zi99EhCU=->tn-XuZZpn|DNaAQ@d)F|GZWT?b9P+5{I<lNH)Ivlmyb*_dcPWSGCZd3
zS@LD6b<Tntq6_-PUlv_t4>{YGa@EHxe%EuhSE-D@Dirm_YaJfudWhXy@`W+8b;>>;
z%em3q9ZUIF+~qxaYi^5OXvqnI-+xxcHrVC8IqfVT@=yBGQ+v%u$wl+ye{c(&=&hT{
z(ehkWQe8}{vhBz7>wL^CMUUgvm=0>PMYc>4nxV+_>*;ZZ#ycD{7SA$fc|F5<SD&V0
zfM|lz5r_Rvk_r=~nT-WG{A^AHt(bCv$MAxF6C1~qV+VX#nuG;5&C*geaOU~)dIclX
zPD2CX#s?xTIw!n1S(?Njujrp9r7-1GazbC#KaSNe`=tIY%G&j+r6J*Y&x={>E}Ls#
z;r^j2uz^FQCX+d%YgfRZ#%~vz7P3urko(ryx}fdi^2HZKv-f2les{26zu^9%bAkcl
zRxyf~tQTDR?h*5A8?S`Kk%@Ni+$Gs`TyDEvRu71c+ceAZn2mWZXU6H9YaJfh2wi{n
zHgD?hYW{bM5g9Dpp1VZ5Iw$3^@<{qEW4cvTraj?Y|B-I?vh7Tq{Fj^qR9aNqDu0Pc
zajDdDMHtSx&${L5o8W{tLm~a#8?q{I71w<0<95tbdl{BdGOa{A;iw_=>g?4UZMl7F
z-5zb7Zua<*MbBEE2Tl|AUp(&~smY%bR>SSc+ncX4W8(3iD?8t?%y`&)q}!)&E`#2~
zZ*kFDUK~XUxBS*DR$IW>6qY0@E%Kw~7@Oq23pW^+cb}9ml9b+{VlJeA(()Lap#IDz
zC%wK~awW+j94D^FE_BF#xpU5yYYNW=Z%IAaVOZ&_7tMT4-~G{7@8!o8A05<l54&EV
zctWW)mS^Vd4c&~v{ih3ybT0NRFugXhqIc`r-8RP^ul+Aj)VRXEOJcK#qquUI)bx2z
zUM6M8R;a|Ymsv@2L{`+hUJ<#V8kA`7{7mUh`hk$gM$JBdd9E`p?rvN*zi~(Fck!YX
ztXk|xqd+R0z8lXyf59rsxABfk$R4&GJ>L9Ak}?rXMfBfWFJo?K<z<hoI@Y>CPb^A0
zWs8$Hql~Ve<B5%RmQ33fdY(iJ+&a^|xIN_<V-(lgBcF5|%I*|32r`H_xyd)~ObpXD
zFh7#~Xwp=lXKd4gk4l@#6gOK%rf4!GS1fmK>*I2KJpDqDLbGrbSHhdZmwsyAjHfq0
znycBj)WMMTt^SEU0g4$n7Ff^p3(AmPE7$hMH$!8>+Rs-H$O=q<owCVn!wK)a$17*c
zRxFyay*O>@$;xGye(g(d*l5w~^tWuH-G-OT{`oaq6@B(yn$C9C|F!;v*@ancf5W3?
z-rm0xum61e=A`$3lQKoxcCIZ=;C-2L^Wv66yl1b6?O2%^-F#bih4JHakDX2PGHz`+
z<a<_5>dw0jlO@Z;<}-!=58tt}W!J&CYzNNl_&lY**X-$oo`OaDrfDvEF~NyN^lR^#
zLe@3q1{&8@p7!X?VpKYi!T0Idj1;~iH>tCXf;lGam5+Um*ew_LJ!{~wnbo{!qx#I|
zHG(S)1XsAbEKrJP33`8Eky60MHV&3EP8MslCvB>l|EQtMp{x0hpvJ`JHTRZVEKr*8
zNTHm?>xn}9ci%7P{wDrl&717vKHFiMsp47AIe!*QPnw%q^WfaIKcX|PU4QdCHD)#M
z+q-8bUU4=vSLEeQWWRY`d)2~;Z+7I(n=6}OGiO5c0`4nWlAD~vLVhdUEM#^lD{J6-
zqf+Pmn&<Za<LiS9Zxl|R{N@cq=yA_S%PxGe(v6v$c0~4Wn+*$N>n6`fc^)h5JlUTu
z3*oZWG*T$N(CymuVd}(`1n~zdh2jbi;?@N`I49=l@NtshK_elSoY>haIkAV!I6FC*
zvYzxPOq-~X@c6n);bU=;;~C~t1z3(7UTw2salE}uZRPD{3p~`MI6S)^XiS-?WWm{g
z?ojFH1r{n&yuyzH+`10<*d+G*%+qC%(QZ->bn7}$VpG`8DboES!FM^2(yWtH82i$>
z+|_0s++$am&MI==B~o<eu0tPnlwA{w=I>a_(3QSu!hC`Ai!^?Hdim$*+nPFCYw+CG
znV<W9ulu@iNylZOD_bvKQ%_O768XFA>e(x<M}_=?q$lsVa(&-wslT@rRs{01UhHR9
zTz7TqqvfCZSI32h7QR+aoPRB;wEtSY`<LZQyZn!Eb?zw1y}$9*$<W77|7NW0%Bbm4
zT=#B;5zp?AA#sf+aY;?9k6mW_aW{UA{*+UBm?xXMA79TGx_R1X|E1rOHkeDSdo=am
z{D0>+cmKQ^`uth#%^%&Xf4(_Wv*8cojN1J|mETeg|1bXP`nB=jqt}Pc*Y};jx8~Ne
z{HouevuPtugAT_Q?yX<cTm65@rkDKPw~d_i^VV6b+wcGR^10ho!L<kfhV^ljpT2$c
z>+*Ax?e+54J$wKD{lD^$594z${121L|F=Ug&^|DH-j)+vuGC&+_BnjsgSVq0;d6BB
z5*gNW``eD~t3P*6f7<uw;hS&&Twdg5@p{`a``=lX`g2O}`|Z0fBci2$$4O3$NpF?v
z<kMVblC1Z)+O1YQ=+iPovj5GFCtH`_)n`9GSDpQM)nb>*?~bb(kFS6D+g`2hcE>p@
z|8rj$g6~B?-Eq5JT_At9g5`{vp>fu~zTJ-u-`lZKdBfFBYCOAdMBT`J4Ow)5b+N~O
zzhzgywWxpC8v7|;AhppT;EfRT1okfppWnai47wRsdj0L;eicqX*De2QvhU7)k^K2m
z^orT9&F|;Q=bSnIy2@GO)XnQ<CyzT$iJP){eXcq4ikII74!_S`dvo#x!3)uIPHoMt
zy;W(%npSw5X@%3LaBZoV>ozaGysa#(^=$yN)txX2@$mg;^WIHo-Tv~eTGjW~d=Z~8
zpNBF2Z@bsUU&_#1-5Rs`X?g6~n7^OiJX-eW)7MYyF8*1gcc?UOkDURlR%qhxdHU<c
z%Dsbc1<Aiy9p3$Y`}6JbSMN`k7u$S(d-r|u(?_TOyjy<%rtFOue^Tq^FRonQRcbXa
zyn2q3z@E$hK3~2Z&;H}=p`8B}Kb}23dGO@w$>RIZ@%epE-!C(Nj;Ywc^_%14_gDUu
ze|&C#iSLK}{5ZM!f8XB!&vsVw)$X{B*XM2t3$wVeHFRy=Uo*}C*Cgu~)9M$w?D_T6
zSA_TY`u_VS8@exEK7I1@#ekm8_vib3i(1`NGiR^aIl0!&^ZSZp4$0(-r0nP2w12V!
zd+r2gt|uC@PclAyDaxpGJAd|k%HkRAxo3X4u9$szPkG4S4#VXx%L1i0*99`PRnF+!
zdB3{q=G(IF-lVNc26DCKr4pBxKZ{T)Xj|wrw>D(vH@A&Z&J+JlJ~Zp(%=zI9vn>qc
zbdSkM9}w}#PPtScG{gAo`a^3z<Z-ReJu!Q8lBvwfg_*?~(!yys@<UF13O*+Em`%`(
z?evCg$LG1TYA@he@?&D!|0l=i&zo;oUtDBW{rS_E4{x5HT;05E=Q+Jo$E}=LUj}_X
z3Odm)OjqTfXSlo5_4#aRbrL<i|4cSa<(a?Ep7+cj4vFY9$2d}d7R~nDuC1?OFp-t}
z&9!Nc3GNN+*3CZ3jX2*f{=`B5U613k4;P43Jb$@dtYUL>#oq_J`C0E(u3O1^?~TK~
z#1H3$y>&l4o4Hh$?Y)ir6{h@oDz`v_PP!kSNiGBl-n!3}KkwcB#1H3`zw3T@w(>Vf
z&<`ZI0wlQjlkSISj*YR*@oy&v@F%TYl=2{I=H0@sDSJykG%uf?Eb!;%$&0gZp8n*v
zzCK6gU7np@dieL#=bv}qj^m%g+Uf1ltNrN8KH&+LTVJ<0#xDEy?&89zDX-ja`0Ghk
zN${pHT3lvw+shUCSH@08wzh|FQ`DT!zPzu-7AE%m?#CGxH^<DEUvhcjvJ39+$u}Hd
zNC+D;pLLKIONtOw^Dk&)*71#zpAxHFXxp+wu6u`%x0$BecZD-6-(C_Z&f08pHb$+!
z*3Oa5WYV6b%}+OV?O}Af*WenrtjA&Pk5xDLCw=hXlu=^gi)2ZYvUwdF^Ht%OKuV8*
zk(-XuHMt|#dgV@Nn^qaEJ@dpn#me*7rNb)x)g3?I<X)<Ia5vbbm_Mm1xn-we_s*E)
z2Rmj@syetSTP1(d$>8ix$A4<G_DgPDY`6HkoX~C4Oey&zY^J>qKQ7DUY5lRazx4mW
z!~9;A6{qHG|8pZ|@pSp`{Fgm%{P?t(^`7m5O|AQ^78m{fpe&;RJ@YOsUiZV3SFg7<
ze<zXUAI*0!x_0Y<$*b4jzW4dwtJSRYVruVBo5wHjW!P|T@r^6HJj&<n-Bp@9H|gi~
zn@4>)J)UlaZBR1#@!{0p$8EM>%fDW5UETX&dUN&XWS7;k*9{k4eHY;HCHyiwV~g>N
zuSP3)3VPB#)I9oLtP|?n@raW*qfD>e)MoWLBU_7aeAA~D9t=~-m{>i{`0C=03=@eM
z!K;oru1sNf9=~8+vt!Z4H4nO2);#Fa2#ebSIRWqemcM(id1SpUe06Tpk#3WZakDDy
zeQPGD+4%^|FYSE4w6p*65%q?O+-Lu+r62$4yX0Kyy_mh<_0P8lRXY+JE*(Dp)J-@q
z<BMvt<iW2WBoBUN=mDRAx5+oue9h*wO{dJ5J=x|JvavMP9P3*A^09yJ$@p*Il`U4?
zxT<Y<eQj~ytNwy>a~?mvEp_;9+iy9Kx}_dfc0zvxZ6;m2qStcd>uVp6Ur@e}Zp)G0
zJu@fp)TsPgT%mHrI^|EfM!J*Hmx(X>3sl}|U$p3JI>n&&a$icC>f-ZWofA5D@+YK3
z<Zrt_Q~B-WiTBliEpD;vJaD1TbP`YV<+@F8^KLGDXn*J3E!}T_x7Vb8?0)_2W5M;b
zWR^R1t8KG8=U#uCd}rNRB})*e;rd(Q>+8<m%MYu*CUCsOAmjF(SIT>0C;U}@*lOst
zO?9cqoR4*118sy9UKqNaG*LNMvHzEb9H+oBiNz^glfq0c)IZ{|xX7?UuUvsC>$PV>
z)bWB;PSwmO+xkwN67pJf`|+`fQxpz$C>*#UdjD718xKyIo)wHY%4%NU{-~rHVW7mC
zaAxD$?a_YQq<qRdelg8?cf@=*XRhR<s2by03#KS49W`Iv=_<)2xLxw>#K#}*e!E@X
zkToknbB-q8)I&2L5oQ<`X3uu+xf=P%bydx*ik_=ck07TE=4OXSf=?JMGgIw69+8_}
z?i;bEZ}~UZ*X6Inh3lM6^K2I+f4m`i=&+X(+m{84rcL9}cyXbL=e1+)%HEfT(vSJx
zfmz1VkNG}2))w||jI*EKEt7TdR4r@GBNO3Wm1cE}HjmU)Eln?U2uR&o>AbsJX~C1i
zS3bQbv$n77J(*Qf*qb@6YV%h12;00lQTxBHn0W5hm1_qlOcA#%E(-9Ma5Z*L-#D?Y
z&7tWy^D6rr9~FeB*nCt7PX2VH*{Ap9tNJ^~t{i23$G!WZ*rvEDejSC~<>F>`Q>wz%
zl{24nzRg?j?;aF?pmC?5_JTEbeOf(zix1f7$?4m#od26O#NzZiMbT$FvmNRjPQO-T
z_!@EC!s$_HyC<)BSg2?gbNq__rc;-{OXQii@}6A0?XcRqST8@Nb+^ogemK=;h%{TY
z9c+=(V?XC7YVhFM4sMC<mY<p!XFp7TmM}5n%CeP~4$_BP9cQ!Om0Td{mvrtDSM#$I
ziw|}>T0KjCSCr;)PbO1TzrWPVT~1bB!tt1l4(kcynyYixis<|I`a4IpTF%wbIsaj{
z!;HQ!vsX#+?CABI7WUq@b#K~#(QV9kc8NxmKhtW^zMjLolIy@L({RR!{m--*v~R?)
zCh*Q)&9Ek^Sk$5Q#r!LrJ7ib`6z8mESe5F0|9YI#mmNiI!G3nT?w8+xJKsd^i>!0r
zGP&YA_AAe+Hrn>vPG7|J<lO<~S)X5g<JxIy5q9T`cOGA#>Kz%G>^#1{n|G#^AKJ-z
z*7$JL5sCCyj7^)?KI;(-i+(EnWrJ8{^i@m6)abJl71nG!r7fMP`)S*%WZP5QW(nIw
z<W8O5aww`L*LIEbfrUp--F{!v{&D5=uLm=Z-&}TJ3cI`SG8>Bwqo)TACu{Qa&Ge}(
zIFfRznOQAZPU_u`PZugqPi=Se4w*OkhvCzQd-_)^1cJ^i^ppo5U8o7BB!5bU+ns8V
zd^wM6%Qsfg=De_+d8<0?G?jma2whxmwaEWuM_-EW!q_}*%kpP3GWXJ+XkFN1oLSp=
zxZSU4nWiOQ-<g#wCsh00jWL_m^-Vk?WQ9!DtVvF;L5w1~(mK^eI$FnPEn5;XF{0s+
zN3-jnC09b`x0tOxXOo=BIER0p17ks2Pdo2&*^i&IgKPKP++ua2r<J2%mxW8iYtxP+
zTi>j-+nf_M*YB7U%MU*prAy66F7IDy*O3z?YkACxr6NE^=~DlZ$wyY&#pXoKRX*m#
z@=Nf7m4M*m!X+zfv@EZ39|<n<oblM*u)|}uu)oFmkg7}CmnR#0RuwI~JlVLc>XH3<
zL77zOp?GHJZk%lUf5j)n`e<3{GUG<$GPMWxvqi4@ZQL5cxHvjoYfZn3TFUB6*HZrK
zZqQapXqjmJU8JeqYe^ed=<JsLiivF37F9OsZ_eaqxwYV$LHE{a3pyS;+x$4VYYY3~
z={MKOavXJBdvV6mgPhlvE@rHmDXYfh=^v17l2*8&x%A~v<{Hb?B*80Ey^%5|j<Kwg
z=4;gd2|aQ%bbjo1uur;f2QTQ5yb}^;TsAo(Z#Fol>aCpc*|nFcUy9W_E5I{A>q(8{
z;secY2l=Ez?51*A8m2|`=P>MS``i0tMq)PS5uV>h)@c)Vx@E}kUk*B}&x1!w_e|Y|
zjKpVbyhhhOj?R%VjhgXy#l=HsdZkj<P3*EX%-Le_J8^OAOlh+dG0LLF`*tusk@{x7
z=}2$Dy2SXj<GfneJr<i;Ue9oJJM6YEMp?9I-wMG~rco1i1~#8E4N`p0EwwOaG3!ie
zlNB+_qMIzzYV?kZUDvV`Sv4>D-xL;R<%P2M|9DybbezqQAt@GhEIp@+H>Wx+c;Vlj
zPEJ4dggLh?Y-m*3&^V{xW&fFz3JYT<b+X*Lb-+RBhSxdwMfcC7ObD2F%!Mg;Q-T6#
zj@EPKi|ZqeJXVOhsR?E5W@q+HsJ52xtV^Hd=(JYHXrhC(zk~<V?k7JzzHm?A5z2C%
z*vWE>>9B)PMM_h@%l@+`6c&aF&GcAs)`CZ+vEBTK$CuTeJVIHlAZ21l9E2)(+WTGh
zr-PL7&GcBn>&2ro`2n+Ljlr|K6WDyeYMn^2c+h6cHt#}ki;T%Ot<B{d<1TW=2rYHq
z_v1|U{AEAq_)KXDX5JniUIjX~PyB$BSC8SWO;c_?Wvg+w4N}+gVXXSHSHUdYTq(2k
zd(Bnu<_BE6e=m_r-_NIY`QD+!lQZ*Elu{4y3aoRuJ>`UK{=0hzpVb|h)~5OBNZ55J
z!v)_MJ^W_hS84ul&h~6C!!=Wbtf&X?9!&`1xuKrL*1&U{=R{o#tHOFl9oDZ>K5QSP
zmNhy~Hkj7fSzexW>&zaVKdi|KS8~ppZZP3)w32zjz2h)@BIB0BhBLSDwLe?6hEF`d
z@#vPBFSCDeFFU-_Q};@x#?~1;4!T#KuSjH!<&YBF{C|O@)$0F8Zr2&+{@XqA?!U#$
zKCL+HRLl6G>s#w*2g~DrGww1@m5jKncyDu0=I8s@-juP2_BR|e@)b5oNV>uldt!;$
zs_G3ZMDynz+cGoA;|F(J)G7xiH`ym!c~|gUk&O^{`hGBFfg^8M$BDj}wC&EWN@3f(
z!#5b@{)^slH*fhW&kS)Ulg2Aau^cB8p0%E2cy{!S(+aC&ube)1$t*itKYjb{)(}Zv
znZ*WK3k|tmm-(ozwO$}3zuLM;Wa6xMAMWYBD`OAkcFAno#VoaW!n=eZ?yEA9S5B?&
z-L`F6h{PIW)9H)7eZmYct=2QWe&Uc&*!wLSjb5_rnA>IvYLsuP+SF<god2U$s9SrL
z+o301v$huR;96s0_UT-O=p_C%d%K=6ug>n>Gr6nfiL*O%mk5iPmUQK@mywOGrW0!(
zoH1Lu(dzH~gf(#&j~a!ox_8JVY{jDkhSx5vtW(PN-dc7gLgLu8thXyR8a^>hek`H=
zD%U5wlt<xU)ZVU%scS<vc4V#%sBKMNyJDk3kM7M|f!T>CmPc`Cz4d!0HtBp~t{=Nv
z#O(0<o7VJd<r=Q#)sin<!>c;`;!Ta4b8a-v)?U0jusHQZ^0QN0#FjG)tvRZ_Kk}MW
z=)D=&m_m<OTx%*iJK^|_XDw`ZA|%x77Ck%lCb#`S%e`+}O=0=LM;+4gom&n3@)xEQ
zim-f(c0JP8vCFmlam?PQqMz2>Ta;CC=3Y})+#e5~jb{S{ZUn1MnxFAga!YXA<%f-D
z69hjp8c6gp^)ha#Sa#5|c1A)$+|7$d7ONheFtJ#%>iEaJIXQ0mkHt<0I7G@UK5W>X
zT(;wIqEmZytMBT=D`%-~KD;nW`SwA^=MM^eOU0}Iu;ujKjOSzJ{F_?#T=edH?hScX
z-Z$o6zqDvU^EJa@>*Xtiw3c@V*4@<Oyvd;~?VilExtY6C(yZoO(fWHkvSRCQ*KgmY
z^D^=Q-!2Wl73$rOzA`cX)F}9TresFMj>5_clfEVc&Cfy~^dx5Y++BTk*@M!HGdnLZ
zy1r0gU&uV=LSsX~C5P9&vyI$*nT-us$v-(NA!>V^`yOkm$^GCx8(UU=OH~SSKhLCS
z;`~Qufndo5<F+7wrYl_s+D?TZbPTPk6gcLiD-bo~^1QkQhTYSbD~8sdvR!-q+VSXM
z`K`<BZqL&AzNF*mEqB3R;BhrAEH?(ZA7B5a^6crK%Qvk(nvic0wKNBQp5H3fXWO45
zALUmLKFZJFe!%{h`FreYKEL|$=IkodUuN6o&+9*%8@k!#%(=~z8b7aJt+(?2jqia^
z3tlxAygt9ZwM$v`YwM=#pdq&B(RX6X{p#vIUp{|!Z_hia_xVp{zU5W!-l4bkZz1w+
zL!Uq7UtMTkA2Z#>1~kNGbtLG!tAD5Vsb7=tWpHqt?U!8fe){#_^WXbFFF(aH^DO)B
z(|4rzMJDKd-0IcuzV+tJ6`gg9H<hMk%M0G@i=F!H=c6*a{nySdsoniEnBPBj_O{Eb
zV$K`B-*qSW@};T2XPD={3+3-LG*p{CPj=s(hF#WaUk_jXyJzF7tMR`~W^8h8j%~2K
zy8Khv!SK23H-7ENI{za`v^gjL;{4ERj_uOLtlR!F&3=3M<EMA8zqWof%zOQ~Sv*bs
z?TV~7F0P@qM~Y9jczvJ2TjTP@YQn-r8@Kyq=I)T)``b+;;4gnnsDAO=yz(3Wp9)$=
z2^MTgTE6Cu&s@)aSHC@Cu(B|F-*{Wm<L#PV@+VgP-Yxe3_JrFjCpPBHyLf-E=Vce$
z<B5C`B@eEt-i&p$3d`=ayHr@b>$sQ_@9d3wvS+!rK6-O~a@o~#-i)iK_0sMh-7T?@
z_lYCJr-bc_+LyOYT6%0tw=TP^%k5I-=?7Qe>)y9_qV^2tY7bwjJ$F40x9#!zRjqf+
z=;iy|%9dr%r>MPT*tcNW)Z6pxwunhaMf1nL`S!GE%dM&>xzgW*&V+6({QdiebJn%#
zHcO)StauW=`St00vu3?M9o=ImvnQO}FHC4tRKcxpGAzwGs~U4p+>U2<QQY4CB2@qV
z34y!EUOkOxdsp^6-f>BCc|cSsb6I*oRA|Mm=1Z#%Z7xo%oErT7uHuf88~>(R>s0St
z*57w@w`;SxRVDMLd0ub6yhxG!omFz{TO41~+qUGg^6yFCEMztxkCxrs>~Z?y$sF6S
zZp*9Azx<{g>&tiBBYg7Vx9(g$=2{A?-9;}jW^VZUVsD+<jJ<XDWS6YoRbnl-{?(=1
z(*64D>So`)qtCtj?eX;wmu;W@;;#6<K;M^1VYxP8yYH;NIsNlYceQH^+>fO47H<6<
zVY}yJnC<Ze|JTgB_TZq`vzu8hT8R<81?3#;mrJJnlH-~5P?tS|TR!ps`}rBG@7dps
zaxOD2`08@{?cY+(J2rwV4<)?#ygm7H>*TqP@9eX}lfSQLxZPRKZRd7iTX4bZd3Sd{
zGqbU?`_*`{*64V&Np|eLT{kxULOy;_e!***x8XZOLB|i~tjRLeKC?Of)xw>xe}2j;
z+-}mpdL@6{-#^Rt)IctR3#~0cz6tJrEjyznXkBdLvhQCuF&H}A-UXjUXvuYLy-dtr
z*`rtQ2gWqZ9$DId_+DVl?icaDrhLD9c<W@h9h1d&EPl5A?#91IUo$WH`%CNEp9SZh
z?cDP#hv|^3`w!nk2X4!N4zla-+x2+y;>Cqu|1T~reD+v*Pw9ld^%AywWy*KQ3S5Q`
zzoo6O&sl;z{PvGy%Gq=54`0muq4BceZ_~46hEr+Jn>z&mme?Iz`tyA*-vt5oE8grO
zzdk?Q_2JK^{ry|w<B!ggzxJ|l%gP1I>-bLBpE-Za;`0YV$NU+6>+c)?_+}8ZW$|H0
z<B5}ZDFp9-=Wh9H^0V?#se=-y9;;?^zh*4i)pPS#vAe;({qcJF>(al^PcNU|-T!Qf
zNAZo6=bjH{MeUECVSL}<1OK$&zPldBy`FPcq2k3IC#$ljk{i0VTB<embVOB7C{>^B
zx@{rf>u+Yf*~WXf7Dl+#&0)WGukCKPPci$;4I#JeC1lp<mbOn2{Fyh8?{>`<iMMKN
z_WB->a_>29xM9|1g_jjG)Nf1KvlvW`dZ)3i*X8%YW(DQyT<e3m8uP90T;Rw(sAQd^
zeYc0FXXdZ$&l^tsJJtNAop<R0UL&g#o=X!~mV8`s{q~O8yk<>r+W)>g#v3%fA)ug=
zXVdnDBKsY;1#9kO&32YGyR~Do;N<DLvM0V=eyp*<=I_<mXkSq$vtx;=TwTrgmN~U=
zt)F^E+_>?zZ0@SCt8DJKo|HXSo^;&jf!bYP*Z*cad`s^=`}lU<?YCRb=bm~f$8uAz
zTsrW?t+bTgcXFHWx^6pt*UiVnSh2bzaQ3{(d4?8AQM=BbDBF3v!}M^j+cxXF&+N>7
z&NF-x@xHb<ShJzqW8&urw{q&=o}2LO(XFX7-aooEbwNf!ZdGmCUu}Q3@YQ#mj~uoM
z{kZk*8TLfMhX(v>Oy9rAJgl@^%fG`us@U`nt7}P!T)^wNb!Co%t^GC&%<cxe2;A`t
zaA}*!ekH9<``eTvYe&JIN6VU&u35-iYy9Wg{Y!DiRrNFO@kX|H&EDPmuh74L9p{d}
zmlkkY{ycG6L3Y<EIeCV4rCxch+x#2PPOey+Yr)>YXR|=MDkA@~L%ZCChj~o0l@9K^
zIZMtn@7gZ8;M2)K&?RzrBNim{znH-^EB8X?|BlAxUq2jSwp-Yc|8#<JKti?IjYg*1
ziw`_Ae9rr8E!!=rXwwUKrK3$RT)VNV@!h=}s~Wc*3*#;Me(c)D=ZhcT{SwH#<>_Ln
z7w>+qV7v7xkhkP}O$c-D&lPODs_nAQt=iBKrXI4u0dxi8<wi08`|c_{)sGYNg?O)U
zdYmnksR)2vcBsJi>2q`Q;mbE?PhZ}iuJ0cwYvRzwB*eII`Io|n<wYA8?~Pdz{6Tib
zGnGGgn_ns4^<7}gpOJ6g_|0~~-@7bU9~$_|L|?3lWm$h<m4D!Y+x9Pb_S(t4OOx-n
zS+Mvn%dY2)cW?WG{9F;<*mh|0yti+vDrQyG+T7e~x9`if1by>8Y}s|Yt}-tE;5kR(
z!w$K9A?FWR9^e-HU~~En>z>k9yW*1E^pC%EzS>Ny(wVkM=Kja#K+nqs48NH?L-Lzl
zzS=JOrL)LrZb~#yyK3kOuG5Q^PM@vRxBQv6Z^7adLH1o6eAgX}UDZBW=7F==2cLIW
zY#rvAEY?lQU2gTmrPpSWo6aJmox$6G9}e6;Tcp~|<Jvs;YjWLdeBLdI@tC_fcTOhH
z<ZW^f!VYd@>J!iYyfgUG#fJr46Xt4|ckh3a^5Lh>5(E3g&##_;*5GgRV6rch{@;b`
zFGaCdZC37OyH~`^JEx>KhcU#JiN)Zh+}^Eo`<_f*npXYK`ohVt{qy-QpVUgP{&d!V
z@7}!q`^7o0#EBR<$bVksz!Xp&cz~hyOg9s!!&_HI<}Zf&Tq+D(#aVeS9N(icf#J%!
z9_a=BJB{WMV(IaN)Y-_ee(4UE`*%o(|M|@qUz%kyVz_=B)n>21Ij`u$F`=jWah5+$
zhfQs6UyxI_=uXjx>AcgM*`Kkq{8@3L==8z(eZ55=#MjIGX^rGN$5In8n=@7SX5;Rs
zclFPF%3Ee}>8>-M`SRH0W|y5y@9Lkq1QL3?YLi~jrP`2`ouTLSXRj?<bz`B|p_I4T
zwg=WG#k0=Q`IgEQH@(z%>SxP0QE;QwzFSz``O@)lTB-Jd6#sOtIgb|`-r1d^^WwYX
z&94Hfw#C7Mu6D(fPpa;64ZQMy)qGy&?eh+<Y?yrhK}u2Qub*K82AQgRd*?cQbUpw0
z?V<huo&<{jV{fk03E2H1zrpU=p?W6jvh2BEBwe$5R&1u+*MBDX-gNb<Gr{q<3SL}K
z6M0z>axs*redhLU4==2rd_&8knOAaljeXkw-Pd1xJrLO?(U^2|*1EumD(j}D{t2D+
zA)4XB=HkWI-?Ld<=jU*_r}>w+^wcf3LspGHYl_WxSN^a6-2L>;>o<RrD(maEuA0Wa
zE_Ywvy1J^6mmEsXH#e$C+P3g)Iy7r0&(|*pn<rg#KcTRCMdh8OxBe=u4C~GraK$9g
zOA|4jWU+If)x-ZUGIHG?PG;c|WI7StXC!z*SK#yV(<eSSxhhraCQW<3eg3o4fpfFI
zY_nrsazU{9_q4^ze2?!Jl{Ck?Za?hjzOPK-e1x|D@>b0k)BT^@$J{-iu5SOQX36%c
z)8Y*{SJb-Z>#toGaM)*A&+6}getw?!wSNXjn!K>f7Y8M?sa0Z!^S1xo9`T#ap`pXh
zgJovfvztA)Tkp;}V|A_GjQPMVhLqkj;qr{xpI%IE->P`x*0M==j-+=yWU1VHq}Som
ziNzm{1>61lJsw@#e{zZIoT^<1E<Ellf2G;{ipw=-nXJ{*0&_R{Luw~qA6~bQ@6#1$
z_QX$D4zv4wGK!r0h>yizn0@}fjeL);ICCUEx^kGq=h3IgyPrQ=bnZDD73(~2_Ds_!
zxmw1n{g1Euc4OV&jT#Lc=Em6_3dWmjTx3?w|NH8BV@JW|wl)r<+!HP?!Mfr0C)oae
z6?(XgkykK9YC`(0SreGn{NHKWu*G(Q$){ZFnQNB!soRJAzt143pZEOp>jI-IF_Ti9
zXR>{H7ifO8&CJkq+lt~~#cnG{y(yU<@53B9mNYEO7yfzS<sau6dww;w>?*x3n0d-9
zu~7TeosIRbH=B9>o;`o?;K9Qe65ReV@XnV05p6GBs!)E1|AGVG@7D_$qt#=ydDB$*
zFKk%ZxX0C5T=U@CscnWXhnK~zy8dXv?|^FtjTs8@8>g#_w^h%V3oWvr8NMiH<5$q!
zm}g7XxmRy@|2NwIfBT{*|MSGIf9AiIJHK|{&R8w3Z~fBOuHOGzmR)SHz0%)*^}*gJ
z>)WQ6dd}X=m9+HiJnem3Hn$t<{CM;I=ll1k<t#1Uec6BbUEkKZYgw8bG$ty&T-bZy
z*Mzk#p6u&dJlj{hc)2&4HGw&B0r6ax$$T-K5FF0g-6x(qQ+z%Dq5G@f7f(xTNza^H
zrpA={H}9{MROl7wwO>~R1uX88+xKUm(eujE^hA~&A%dz`yC=PJ_vBr)q%AOLvBt{1
z8Y>H@Tw$5;Yo+d&)BoyzRQK9?yICBW7k{sI@4gF?%4xgQ-&UJCtv=<tW9M1%qi0u|
zeowpps!@4I?s3<Y#~uNKD(g-OrL3;LayL2pu2)y;TDb{p-vyVmN7dToaJMZtIK07t
zvr>S+(!=RpQIs9m_CFO4rm_#ZTkb65YW(Bf(`@eKVm({GYxd#tg5~Trq7IMmoDg`o
z>)OsK8=9{b?y+N0*Kz%HqE8dvP1SnYaPnq-sZ?J2-0rs9o*kkaixTXdP8{uQtmM&S
zQ#0BjG2`Teqy(+z?#7)U0plGKGtNFp3b11C5{%T=Q+t=Elz;T-k-NSr>vqi8vAgq*
zsJL*s`MkYNNzaZj6_!gI7M5RMe#xb?bN6mLLsc)IJ9ock$^|ApdbiGh7Du;b^Bt7E
zoI9>8diLPih9CaRUx;u@RXG@5j_^1m<^J~dwfEQ7S3TLg<5zB3@qV2PiRO1ik}e!=
zd7vmhm8q6{YL_6>T|r@{xq`w>v4X-(vVy`)cLju*<_ZWi#R>>F$p{D>`pYgV6vbjF
zm+a)!t#B;K$0I}Vh(!-4r*4x(v!UWb*9Qv)!3t8q3fi*43Ick;3YHlvo=|!fcxGS5
z-@LzBY<s<4x|dvGc1uXQ;k@)gA-_2DTVe6;Nla&@rZT;in##m0J(WpUdMcBz^i-x?
z>8VV;(o>t(-1c;oUg+?sGop6ytjDLyOMSCS&)hoxYOC_E<eG`cbxW*f9S<ys>fh=1
z!0>8@c;7z}_hen6U9WlH)*ZTLQ&{k($h6_?l{K+Bzk+3?@2x5Gys3O7-e2YC1ZU%|
znc}nlnXpO)q_&4gU1!J@X<26P+sHQM;X~6GrVh8coi5K`=D>XF%7>;0QVV*UFML^U
zVX|H5{fpe=4C_Q5ENT%+_}e0q5YZxXA$`M?dtVt_0-e0hESx4(ykhAQnOUFLE?RPA
z#~Dtqz#s;_JBGKNcima3=D7UQd?CN5Yq}S{O=_BXq}g!W>!yqAgD%Z~lyD#|QSYE^
zFZ1k`PcH0H@p4X93A8Xduy5z$La~fEzNHJ7J_(2@c-VI=azUl#l(uP;oD&5nSW7K;
zGX8j_HO-I9r=hJibKQE@Z!)JAR_;qX_t(31+KcSS1(o~K{JtXC=U#)^D=*A?bF*>z
zx)}#&JuLpA%-UG-;Ncy6MH_w7qxp<m?`1t@xXQ12$YJfXNB5>|-FeM=<(y})oP{FJ
z9<^1;E?#TyY<c$TdE-s9x}$5aJ&Sr@Tr_L7e|gjF>IPH6RsGi_%(LD*3oNSJVkD##
zVv(nicfs9F!R7Cb6cv{hi|#qxSrGrWQQ)ic#EAk6MZ4G@{i?m#Bd}2L#GW-~r7T=M
zljQu0Sh#qSKRWCc%wRRS&M{@{&U+kNvhvmmYGfDh70kGLCq_Bo+OsO<3#)c&Dhq6x
zbX2x+lhYTs1)@i$2y#R{dfev_saU0afh*}02g};pBKHMr1VBa^rm{4NweD07;Oj_h
z;b2YsysNFJ(b7QTsQGp7GpnCH<2n;L`!(yc(Bfj&XKT)WW%|5or)Hz%ox2Bn&qNwl
zO{{D+R5farj^DA+Qrk`6WWB+%mb8rDZ008EtuI=ii5{79f#+@Z!?tH89n(|!jE#+D
zvXcsamaU)nU0^a(2Jg#FJ~DgCOoI*>-Ac6-Qw}<JxS5a5Ba6Y4bKc>imtD(m-?_5%
z_8wN-)^`n(21`n^88jy}G2|<8O}YAR<#q-$mW*<(TtANcbqeRL<aB$E*DAc{E?E^H
zac80OA034a4iA$1Ro-{MOg~<?Z$o%q3{y7m)mOR<vXg!ZX)fI{&3h%^H1C!BCVI1e
zift2-+9UHid&va{&Xzs;fr{%l$vJR+_<rk2(%-#R_d<Cm>rTF^=wIJ8TW8Du$v0;8
zc{$!x)MFJ*F4SD%)$8pjY!<^c>*S-L3nKE<o3iA0h)j9=ASghJW9OsPkdB=_T!zyF
z6`u+58#-+0INN_gfSHBcW;Ii@tHR<9b=&KXHnnc9iD%_L_Q}>|`-_Fu+YLJt=CJ<e
z;z>Rz$JYFnXG-*OK9d_07`+oqb_c~DdhWx#Q{-Oco5?n>&T;Kg+b}hmb)9>9G-IsB
zUcQik7#p+ZSz5=rW76L*XiO5HDAzbm#NmdACBHYzt+}lUg&Yi~p$8QT=I-rp&kYsG
zOlaU}+3m(9p_U>mGSBp5*{mju&p&InGHMpg-79+1^nZii6hpm?Z$E9fzdHFfd&|i_
zN6-1k&C3(amcMHbyAXb>S2HB=`o2}yAFN%?@}E!QfgOWL{UmuIvE$JW{-U{z8|Fm3
zZsy$~zTyAbUgiZW8K=F9vNGs#F8{ui**Bd{x#9j2Ke6LA4*sGa8~yq^zB|qP%OR7M
zDir#Nf#=1_L;4P)>OYcZsy^B8wBgMG?}oMW5||Gd%}YtPaumApE!jJ0LvLZ*p<Q3)
zwAdolBeuSCzLpo5xvQYZ(_G@`rHAH=T8?h4+w|k?75{~TC#%BQ7SI2)L2eg6gV}@q
zlZ0$v2^wDdX|0tTvT>2^t-v_}C#6G`7O|VC9Bt-p^?E&5mS@90{<e3MS<J#$tdaZA
zdca7IneRs73p>w*+x*Ku9gs>lVvoCCzfbp`U_y<ts4I((%tSp|4);kFO`hs)PMcne
z-T!DCdNc8E@REl=<EE`$pS0wEefjlmGRF&3?tc~Ku(LSP9=n)bL9fn)<K~T}9?cVE
zx(X`PJqkP&Sr~Z)7A?7ZqyGNNSJ9<u^VXbM<+SF3c;}3nXU<KYIz4#$yKg_d3%08V
z<Y=-l5tsYkKg}R_*6!vHR^r~p50o`ySbfe_unMMlM>Q`<d&k2R;GO*7uwKkkwhYf`
zfh%WX9T}poiL|V~!l0YU*);2+prBE}>B&l4e&%ia&3UP**EFx_{#*Ukl6k+T-%Z*1
zeg1Ushg#cOBO|Z<RcV-f-8wpJ!|&yH>*wv6*IS=9A-cWXc<!Eie)EkNY@Pb~_x5sm
z^Y84By#>OuBi8TD3Rq)#wPD5QRgC7p-1M#7-yDsU*tqv?$6kxiJvX{HTO{e9+obHK
z^!C%YU*Ga-zkfb^_E}y%1FK*!yT;?q%kP{}3cMRNLwSW7_rVLQV!PBoM01DlZCx!U
z)+FJp6QkCA_R!vYhxUqzHKq9Il-NIgQ=((BeBKM&-h<VzdSiF&;cI9;ZSipqyUp=v
zo9A*i&jrs{it+23)SpmYpd`?-&U0CR>+SN}{(9@pZ_Y9Ap3OTWu3LE8{tFR-`*hq5
zHr-U=i82&_w>{NZeZhh$1$!jD7_(Z<ln(4{II~h)LsTzg>Lf`nBa`2KQUT{yKFC>J
zb*<aupjD$=tAFCNz>|3&I4(6Uzi|2Vfz4X=9oJo_+qgU3syw&x%>4H|DxX&7JbixC
z&(B!iLWtMSN7KLJc5?62))13`qlTP|yAHSbin4pH+{w7~iq+9i0w#@Kg?F51uz6n$
z`ph&pV&3ONwi|8wSw7jEmd}j4k$mMwPxjG|76!b_J{;s>@Z4}``X|nVCY;vuSysAB
z`D&*xO}?nx&uw&5tFL`(roq&xO-yO49kPNJNi#iLQlj5A_qgTd%Cb`~%_3ES+b-zz
zu`{N7rawFREr{uHjI@o`)1KmMHA{}H5pr96m?=h1wj_78g<Q<CgCQ1)ZJ9?s&Ki__
zJ~Jor-Pf<5b5FGJ+|HkpctSF)(1v3Xo0QYD)BSm&{)vm1+)MLTvGLHGef@;bjO+8F
zWuAC)&$>6$Nce2Uj1L*>tBP5t1m#aw2r5X*VBuO7ugdM#x2fy#2lcBiaw#R1M#fJW
zJKMPYGPk-dzGhIOeLv>#o!JYY-`3$XeY10OTcP=a8>hJzEl4h9@2OsD!6&_Jp*;7;
zb0!*Fb!xm8r+hsf>@#D-${7xtq6+#m=OrEBn!zG`R7lwDYDF@mqxjaY#|u-Xd}Kwu
zRxX|AvD4c&CGM7!%(P42R98%inLcshf<1KsyrHMHcRjDvTmSCy%jdUQc%FVe5E&OZ
zfiWVjP-d}Dv*y+XQ=}GWb%uQEIAN*nKP_^RM{;VAR^$n1wZzX;ePug5c6u|c+4L&Z
z^WnpHt``rynj^FOMAT{vKJ8@(Lq08-67{KL%K9gbTC1nqs4OjJ-WsszxXqc?OSL|a
zYNFGf7TV;5XiVf@`^X~d%A=(^5rUTGVQHtQZ+s`2y`}f5;)W$H1<4mvBi~Luwe;hv
zO$MLzh0Q`4HI{}kDokDZdd;H~8>6-Roabj6T$NXtnyEfVy2_7(p=d^;@iOJv30%v>
ze7>~I-kG5HS@CE2C;uRW{-lF8SwRNBAE`>eyx5TJ9<u3-Z@*yi&9_ss4tdG%SP^+I
zL^x;Z<qF?$flG%Dz1GVTTA)-JEbYeT^!h?Wa<`_Y=JIn~%#l;8e3e)|878%t`c4k=
z7Ov{K7Bg#F)Z}{g|0+vgT1;D(oSymUA{WC`*1#Fvp&oqOt9UIETvhva9eLtg>Z!ZX
zqhqQ=!m7x7ArTEz<eqQoXgn0H-rLsVZGFm2JHcSZgpYi@7CN0m<)uufhQaNLzMnmQ
zuG$_Ycu|?@dDo6F@t@v5ncv-2VR-Lu!9z>G+Kqh2SL$y%zS6h--a*Mdf*}>9GsQz}
zcq=E`@@5+G&xxOLwpjQ{cZJ4VrD~0{DRLfnZrYSj-qUfsSZ~wuVxMP?2J_DryX$Bv
zJg#MYQSD!&ENl4Y;`Ez23-&747c*v?U7EV^$BUH6oY#im4U?B>K9l}sG`nq!^U1DL
ziM#xJm3$5JFHXN%vaL_e>SM~6pk;9<lcM!^_J36L-B`0ge{<;3SE>w`U#UKLvS#`n
z_l*;lRXB0i&a~f=`eo8YVWp2g#S@Qiiz|}<<}mA#q`;Tde;FMYy&0BW^*(SiQh(?7
zoQcbJOzqxx=KTk$y)8f1UG$bzQ|Qj!`y{R2Blym7$M|R4%X{LO4A#am`JJme>FYFc
z*^Y|teP`CcoV91kIdR{<E-A0i=gxLaT()CY%f2)EMmK9&Cs@_6?wR{F)VOM%`irv~
zyWB3l&iEyz7ck>k(B%ozoy$sM)!*o9R`cE~+Y_7qPIssEk?gM$`=pOP*jOeLm;O<=
z(gq~(ZRf${w?Fordl6k!)p7lmVa=u+4>p$FiA(>k`_mS#pkm*-FVRJ{U<H0BA8ag}
z6QBN9x6&T2;KzPD<_sJ5h6%ZT-CnDTHs6hLn9pl6kKf@0-~Pns(toy1G5NjrzFdUw
ztV44iWXux2kpK5H<Elj4^Q<qz-&H!SO3ObLb$OA=-ZIUtORI#W{P$}u`H=fS^i#kp
z^~ASdR@)?pKj_VRpjE^cr<l2<N~p_szt)oLxer8*Y*wj1Jp5&~jksB_T*UUX2eg+Y
zhxj|iy$o+XvXys_(>s^1Dn66zrl!wac9B_osboc~OZzL&48^~zCJ1e73_sz%VqVMP
zi|arA>7Dy<(iIjlCFLzWb^Z=1KYQA_W*o2B@H+3ap+Mid?jGfzSKLj64mQTC%zu)}
zTKxXe9myLr&UiFB`7fRFeA%Ig1uq#K&l{&zUgP_4p;#rgvg=mSjonR0yw+b6URyF}
z^PjFCZ(mP#++$r+UTR;-BcP*|`z6ASr$prH`JKy)1nsXceSY9akbQdRytC(1D_bAU
zl+7~f?R)k7PV=;Bb$U0rJ@l^`pTBZ8@=#{6@hi?<vjc@T_yxUxcIR^0v^>2T%a@A3
zmMnPnOy}d)oi`&^KhHj{UOmP2v(c5DxO2ArDKDQky-cdwIIm-Q+__Kr-P4ub9Vf1|
zmhyc0G3L>WJChlw_36#nu}u8+a+Z~gHybl<UVQhfrInQD%bLYUF7CAMp5CW7!)dwr
zYjyRNi#HbwZC-p@%cMYZTGwIbbxW<R%H1Dby2v{D=a;0vCYq&N%F9}0->$p(%J<rD
z4=p}^3+MG#R^|0qFI{9k&Gqu=udN@iT)g?TVDjR_yiO}PUi7({M&-Au_MN-CZDUEv
zK_>UAjeX}mtlv)&*)64F66z4s%jS{auDb5soXv;4;<+~;QoHQ*b)Wg&>lrOy`XZiW
z-#@dVC~1fEwYs+ONS)YyyDKth*E6Quz7q;udUL7F+qdE+sVqIqGJMu9wtBH*-KoI2
zZ&s{Zx!8eOq<3Xeh<N<J?O(5SRj!KO$8q8HsuhtRLPK7cis=a~Skv{=e8JjWJ;50f
zUwN$i(h?T5Wgd8?{j=&$-jf4G-T`R|3pg?kXq`?A5IXI+<M`y{)hpNojX6F|6HQ#Z
z=K{C&y49*{Y;7#BSm&>J{$Qu^&g_XNWjTJFlm1{?@vi1w)RSWMHAUY(6lKr#t9ZBO
zUDjqRnbp%KSM6U_$hz0bi+$<69<lBP#uJs#oU-YgP$A{+aZZPALd;2N#n5MYEk}aQ
zor==*8lHIF;8<xCudFg}o!}(nXhxOrNp2ofEvs0HzpT)5Z;n%RZ@#2vxhrhi-qd{8
zB~vq{0zaDcu83Na>GJf{m5x<L!OB|mRtko8`}D4eIVlwws+R3?bh7E9tchy`r)tM5
zuX<D?d;ioiafwIfN4a@6-*eq}&TH?_S+xl*6;GqqZ@AjR>2UE);x+B+qqgN6?#x$@
z+jGkB;X37A6&I3ktmc}&AiTgQ;8khQ%dPWF77CRdJ*w|GY1$W-&dp1n$NMikps}uu
zvu8`2y1^XNJ74~3uzIGpa&LDGbi34Sxq|EFf^d$T3$93S`a9FU>Nw+?@=fcN&L!DR
zN_cco+R(CDJke;=M3&%GCpRCPNy=*JD#||V-t^4S+H9QUwbP^N<VjZnweWdvK3^+O
zO?#sjA!=*>V(RBBcZ(;kFJNzbw<=<#cS7gNS8>aoH|T7a2%apJ^17%|ukor@w0FW)
z^*2R{Aa<g6Lg=$Mif3*fUNwies&x*p*Ivu)+KY4FEaBK-6s#;UZ>3<5aqwFG^+5+h
zo~5%K{%qV-_-y%CQ)8|fr`Gf&RD`)1oLjSHUzrnQ@ceG=%B*ciH?KN%bn2XL?R>pO
zmssCDZrB%P+VN=WoJrdGUP?X>mNByi*BY#UawewISU8cXT|~s^tKnYu<f`>r%f3IE
zaW7l!^1rHW*A>=$f3o3Tw%9e*4X>1|{rleRO`90YwAyIL@wGzxPDJbqHF_=Dv^!<B
z%3jIBlCb*^Qqnuyu2^xdIU*NocxFL(*`(QtrwpGK1%KW3=Hi7vuI8a8{}+Up1uuSP
ze@S&h?IP3Ac~)|p^!7?`V=s-FTI#s|!O8_!UTa?7UGij?$i>iUw>w(OCw3gU>FTq7
zOTdJXup7w{HhrtR+%IVNmnMD`(9)48yEq|Az420EOsG{;+)Yni@Aj*{y06@pELt>M
zYemKd?cFN{Mc>{{S+k+pv~}Jup;C|NQy*t<I3F33n7)_W|E$+(o2%)u-&Wc$5)GB(
zDrUA?`|_YnSf$1bQ5%sd(<(E1mfmzfmYLhMxx#O9g4gcArjs{&1=JpIF*_J?CsEkv
zWsBOu5YvU8Ns?-Nm{#w&>t~g;TlDa*6?e~7J>8&}v;9u&x20EDvT9TGueS<E^eRbD
zuVoFZEzl3|5RT|=y6vGN?DKWv*=u3y5w0?s*R<Ws6x|o~CmXF>qo(n?xoYR8SqfTQ
zSzRY?H)vf86?RFo5ns1D$mQO*;Ek)SUM8$wCA>mO%lh@y#XBdRO4F435_)t}R+DGX
z^HZu<mw4<pyz2g?$ky~=h{#15;kb)|388JJF@`rJ?{Ax+q#b*6=2x!7+^Cxmx9gt%
zcj(XIRg5WZp)z;4bi1Y1{a(C#t)D2wy4zw}l&Ghy<vV`%{Ix8$>~~N8S8cK1CgOFp
zy?w{hzOBct1T?=(yL8%Auxo$fuUv8D{>|*hi>Ws+Yg}3|*IM1_>=F0)R>iIHB~z;2
zO_+4wm^)*Juf$Td3jfyvf)D1XR%~;Of4QcAqQ|S;kHR-6-8yx9b>`a0b-v#;6d#?t
z)Be@%Y^}!9XLeJ9isyS=eYS6Vu|Z+5WP4E7D<{pZKR!QFOPOz2I<b7pvAin{ZQ&x@
z%hKX1Y)WhEHFMX$cDWFdv~Wt;ixpFBb}3X`)yk}8@Cj1wmR~U=#MAFa^3*eeWl_%(
zBpvFWq+WXG9@QMs>2}?$Yq3n|(dR0TiR&gFu9<XT))F_}^`1e&PNKdmIV<xG6N3-7
z1!cK6gK(=uF5fbzhB;0i({^52DSG7Bh1*M-MC^4;Rw}&oyuPIAiQleETn(E9TDJts
z^fqYC^G^!AGfQaMt@f74uZFIxr*2iu|GY?bM$akJm3GfE&Ob5U6q>B~Gl_k5V^rmW
zDN&Ukk_>AZB34~vdOdmO<fwo@Hn*3EEjgdzm(}>)@vMe)qxzXgGfa(nmwkNPsnaXJ
zN>OF`o6Lh=4KcrZuD5PwSePc_vd>0JC$vURXG49W=yCO~%-RXr=0$Qba)GCrrv#;I
zL{*+J=hD-ilB2NZn%L{lCnnzt`or;j$+ji_YW`W>-#<RFIUBHG)2s)b!fG~BbqjiT
zl!mniU5=0xND8%?Kg+F9)pRCX@H~f=&c0r{DnA*+j(*lXt<_h1)TC#H?dkjl%ccaZ
z-uZChj|ahOFK?-;p8WOX-x3wwV2z*UpU%g4dd+`0f69_K&3`t}m3QUsF0o9z$gtv3
z@r8vq5+A7ww&&;x`A<`k?NrJ>VE(#*SC#eHOdYjpNn&QD@=8zN*+rF}c(#3`Rl}(z
zVeGG8t4(?pP*YqMBzsBRU*+n--`_Yz)@O*UePnT`S8B=>Vbh4_>727q^_YpDZsXU_
z-KVs)Yql59#RocKH$}y+1Vp4xE|gyXdYwd6XGu6ubYz%z>(^RM&!sDDIK{MGe?6PB
zB&Z^OzR~J{>&LhFoNSkxF1Bpy3tscAc|8xeg}o~*$#76ypCwUjn&rS(l3}2}Cq(IQ
zpTLveyn<zNnP0y(F^4-{d9==3ay{$n$$@e^I9xiT($}o#_Ih>ZlIh9)lk1<o^7-{>
z!IE<o_vMzT$Vxec9#%@dkYHi*V2SWl4$tJa#4hJJqoqyJN^|`~!qmicnPM-8$v8aW
zzSJj{n52@mWQCLu>%E1H$7ii@=`dw!-XLAwsoHdJ({eGr*RQuvIuu&}Z`R#h+pFuk
zZtripx3%Kmu20|ey%hz0SC$IzzF*}OQm7vYDj`qqb<tA~y_0MEGNC-$zd|c7kh3aP
z-E^0$tG37@&8>O2+VgI$FS@+%&9cAK@|sj5w;X%9^2x@-ACIy%&pvTnPG0ch3x!XS
z*R0jky}zIFKXK!5<6-?bUyd$+@P78kXEXlk^M8s{VtV&~=Fy)`ZjSZ~{syyMuq+m{
zT0Eg(e}`nLO`KiCT<f59QN8DComRI_eo(ghaN+rbukHx?U(G7H#uKGG=iBCJ*}fUP
zVbA-ft_)ioY<S_7LwNUEzV<}6)y3=yA*(OeS#OE5=t|gbm9v>Y?D@89p{p-Wli3n=
z<I&b=*|JA$tBZH%uezGCnjuOzXT^=ReAX<@S9iuTUd`H)!?{*W%;RlX`_)qiU)|wa
znq?Zr(iq0=?NYY-@U7zsrFnauw@RIDSa9{YY1sMi^ZevRN^ZQZf3BAOQ1}3|ZDG;3
z$dCJc`q?LiN$2Rl*|zuXxjgNA<xe-&=IuE6?a;j2x8J?l`G~W6`rG2$yxYXf?%gX(
ze=h28ygO(9?EDRTH~jy$&HDHH3Xuz`zwb0Pzio}(vHkAN)}zf~dbgju$>iw2IlA}l
zxi}rUyn>r{+aD)XC%V7OEiX$cJbfwk_nj%tZ(D13ZohkT`q8!0)87_n^KBC^dtMmy
zev55c`ggg!P0N10-mpySS4qXM<sYW5PA>jf`sVJR&pG1DquF0F#eAA2cr)27vE(%S
z?Xn5evadWXUd)!VH}Foz{+Dm#KXuv1inXcrd%rm3@!R}Ai>ht!qxtEaQ)M_s!cW9+
zxs-C*A-f}b&!<<%g!w&WWJSV``rZ!Y<F%OY<Ha>M!Rlekl?8M7#7!@BJ?T=^dLzZC
z^`=Nxw9J)X)GYtssfpZNll1a#&iasX;Ba10(NftAldKg^7nz!h76n~#czl54z^^dL
z*u4At;U$JVr?2E!_n1l*L>hE0{t|L@@_}{2;Z;q6%&Yj{OxdZ!XEE(q`JHq&7S+Qt
zMKvmlKaM7KMY)~-{aRs~U5twNwVOOgMZLR>-R-x`c+wNWI8|ojGl`{w+AliU*7*MT
z)L^>q!O3{`H9J*pmBSq5cp{{qdF{U?6SteaPWV3SEuHu;J%&2L(zV>L!tZTYHE+te
zWmK6QamP%FjrVlRj?}pl*Y-b^|I#7-l>Y&T+7aE=M>k~Y{a@fdC)&eYiEYb)mK~{Q
zXZSsDynp2SM6*?re>-hIH2>SX+&8PuY$ap3{Mqd1H(uJv|L{HV+Uh3zmoL70k8d2h
zTsMXH+iH=#<6?9E1aI-}U&*$gvm$mmW8=JvwR4iC=L+a7yt&yv>e`=w2d_O~w|%rq
zFFjJrs4*|{+i&&wX8A7*R!hxIZ9U7fEA{DozRsrmEO*vDUgY4vCrqzDN>1@;a9YBF
zjKrP!%NPH2yd5}atI+vn`y+Bb?EK@k&UvqwUeL4PhaKhnrWYN~np64z+q=il{w*+f
zEP3`w{OGxujECo9YUY-z#WEdU^`RwjV&;p$nR7nKn|{(>uKq_n@_paak59gq1#K_c
z@^Z(Ps?z<%m#bGDi!A>&^LXi#zD;x0U$RFYzhK+ex~<^fOSOA>EYGA@l~^=}=-J-a
zNt^!f^xd@L;JU(xZl(vqJfAX~e{Iz6-?)Q=>3a~fq=wNOze!J}O9dNdyH1KwWSG-(
zQoKTm!CYD8p%;UN`mcRA#2I(oysMOaOj)O!e{QM9$^8oj&dyKK7A*HzbWV6O=dwwL
zu`yGdK0KegT<xTS*RfKa)a1o}c13+JLqysIW&i6*oc9d9CV2Ywvj_ISwSFE9J9+o*
z^0RmU=3C$2U-R|vuctRxU;cVMUEjai^84)m=<j9K$5%IZIvxFg^uNvCJ2CO^YpQ?0
zoPGPdeSH3}{qO64Z@KyN^vl(k&C}JJk6hLdpB;bce(V0n@8jmy{r>dk(e0C)Do*a^
z&(}A%p4=(;<lNJ*{nq~X<L~B59=-bZ?75q(_t%<tf3-h-=*Ioq)*j8t|HA8g%fFke
z&#$Z7{8Ps5%ft0w?>5Ijc{#0M@84dR=MqyVy5u?+{g2E#{`&pCqiuh7JY|^w{{6Z<
zyLUD}pSItAeRlVJ`~TOk{{9~+^<%%{x%~Q@=d#M?wdBv!Niqxkt{T+EDyA``U5xAZ
zmEeC@4;=kuCVu@m@B8%+-_>k>c=V#YJky5{(-oUbWsP!v&#x~z|J(2tpWJl?-~Sa=
zmAj|z-TB`}d%jhOeOv8e&iP+WZMQ7SwcfLjOTHyd;CEEUYX9}?@7>+I_qT4%hr_>D
ze_g(N_55}3*6+))vv)o=n?2=XuR8NdWrezWHnqEHwP^;%f0i9NGkNmn>#r||7bn#I
zezrSU!szRQeZQ|e7FSm9-C8w!tG?NH=U;vu%igE?oOI{h5TEzHuBBi><L=n<8@kUr
z7PVea%zXNFgO0Vdx!#p^@#n5Boqu&!dCi8Zybtj~!tQyuj=O41P}c67-_pgF{Pe%3
z<IJzJ=KHHdzVUB9bmiYW!<+VJnuC6a_n-WiI9F+F{d>ck^6#!He|vAQ_WSVPsw4lN
z_n)lG`=`8Vp8EUbQ?c`Q^=lSaKC)Z3=V|8e8JoT}MAm=kSi`^lmfl+X8kcMBvva3k
zleblR-9Pi|@%{0y?PLG$+G)qRdduR}>DTSo)$jcE{_DG(=ud%33#?dg`aQn>e%<}J
ze>>{Re`i14^8P_aeYw8<%2)j<d-`r)H=gtFvgGL>+eJByUS(|EZWTA@o_O!CKbLR6
z&Q4ucZWukSE-d@*$5qxh&i4P4*nRj@w*3CfC7OS~7@qkdrlEFitHVc*8P}PP9@!_R
zA;-uZy<kSf4W^^Y2}zF++4}b!?R&3$c;d2SNteG*eCRP*`QS&3P09x+E^}{sTs}uW
z+WCCmG2QzocfDP{IrU@zEwi#6yZ_(WFlW{d)0_VD=g!J`_CGIHE73!^^w0;3OH<^U
zB9ba(G`;vw=^Fm|cd5AgXW`^)HF2#u9C|#nQXchR$}eJbX`Lnda(z(or9(=$i_*5o
zZ!Efza*R#Ld}6NW%`HEa`xzt61vivw+pG&+6C<<MaJFXN#8b!A?7z+ynVMZT(Q(P5
zuGsR2?&jVf3s>b#*C_c}E~WPFb;|QFGu=PO3coUKpXDR*W!a*aQ|`W6P_cwZTKt;U
zy1W;$Y_Bfly1uy{XM25J-KS6X)5D)tU);p&Q?Suh=QPWT?e_PpH*y&j$QTuMU*+vj
z@Jy@uQBz<3J8$#9ny-P<O_vK=E@!KXH;MTfaaYfAW>0<Sq0tu^lV2-5?d68*d3^2p
z)3>+vp1*!`m*O4Ex1~o{nCz@iDSUmSu-<vwRbRd}v8vbJC2k1Xn!8~YvvugKuTRg`
zZc)jZ^G^D3c2ILdt90Pn8EUEV$2fD-&+4^Xhs`qQao%4wW8w0wjk9GUzH4o~wELh`
z;?k_y33t~Yk@CB4#}Kw^8)w*5ABhci^%84Ba)sBhPmMU-c!&Lf*HyEFRS}(EKY*<B
z-5?j5WLpJMaeQh-zdW0EXmpF|Q#MPn%1^TdpV@2`Xi=!!Jy(laM!xCqLUosiKWFA`
z{%O7BR&v1H-Zr}cqcmT+^AdsaKe*C&7}uO$J<Fh!sc@;&lwkGB1lQvgQxn6MTj<%P
zo_uD(?q({+EAh7GRFk1y-~!8IOt<FRs5K|Akv{(8&BA9Ap}Wpr=UUTk6SJvxqgK2e
z_k$lv@{gaY|4d;Mw{m*CYq|b1&BJo?Qy;f1y1ut|;k$<ywm9n~@OXMYxa^q|d@X<X
zy1Io9F*gDnM0rwuCmcE<yG$(P#moa685%VYge)@b{L;HBw>d<1s!oWPrnKYItlJKu
z?x8Uf#q3MsJZ2xzc(LYW!$sBOZp?01%^X*KyRH)yB_yr5G;6j(=<H%K&8J?y6N0w-
zPFS_prhCe|b*4^USIr!wwoW*D?V1!=)!L|IN!r;EMPa&08%wvkd91vp=E2R8*)(O{
zDv$;-Mc>>`mJ>%B{%x4cSaajf-Q49Yub;pEX;?Y;f{;RFTGaHChXyuIv$UGmeRc?$
z+0Ux<mNish<t8-&-z_hW&TMuyeyKWT$5qd*rj1FDY@;>GE*)LMsu2<`tf7{)xz%a$
zK0dCi*I2qbcjhd-@M2xF6G+`6HF2FC-4kWnmM;m)^_?(d#c|f|w^k}4(ZVWfS%n9k
zcJpywy~fh%CHrr}nkdU_d1^mZISSXVV&$lfOvyYlaf?4g?~ExmjNCmk0%hIo6HhU|
z*wwm0JD{(ldO5FB@ab?d-%Qs-VVqINuRr74DKbwz|I2jtMV(w40+}mUHG6C|ZOmB2
z!R2yG@wI}Ti|ZSoD~wKWA~Gx$7X7^Pfvbg`AzMkDD?%z|?SY`Zz6WOgXlL4{R1vy?
zU+9=xmy*v$p4ZPzl-1eJH1FpWnYvgdAmhRn$A!0|90F%QcyvzY@>KP}Li-e7v-0Kg
zsoS>LyQHr%e{Y}XcJJA~?FoYK|3<S{ZD!&u>7V2*ea`c|!O9k+PK#MP*>t>)1m+gp
zu?+}Oo9VFd=vqji&9Yr=Sfdux^W@-+MRgLXZcg3-vO=rYataA6J~4g>2{5hq!Rd$C
z406=YERXbcSW(k=w&nI&>1MT6+`Er9d#L8-sGT|AH&ywO^A)y<r_M~<Jjcl;`ISOS
zP3Rh4qp2%2MP|tVJ(WCV<6G{3Sx%f+y5{B`YY8{xJ=(OqrQ4!&eYVlAlg&P=);e<D
z$GrNF7x?raOP*~Qyz_j=8hiJ5+9z)nlpZc<6}K&#YQQA3L&o*r1i>dZ%k&@ryuiMp
z_Wlv^DV7#XlXnzwMxA65iVtZySEvwD*}|yk+iKMk63yL`^+!@5=vP?#gskkw099F|
zLq{7cq{4U1F<iUD*J!QogjFd=8m1fzmtGQ*&Amj+(okV(;WakRtG5_l7H?gcKJnA4
z8!RgqY-bJIdQ^JDC6DaZsjqSyuWtFbFk$J&Yh2n_Z!@hvwVgNOB~ys@nvi_%HKjd`
z&qICfpYC1FddTY7fz2!V6t7-mN@Pq9c+a`Y*<<Tghm0hyny;dMQaqb<&zNnk-FM}c
z;p|gp2EPwnIs9|is^d9Yw-4n6TklH<y^?G;H8*kF%FSG}mzM2jeJIv8`__^Trmu|V
zaBVlZvxQIL&4!&k%Ma=Dn44xN+Mi9e5Y0>6v66jp@>8L+8<eBgdA_y15cA-`m1ffx
zlMAZ_f>Lz_W>sxyn~>%r>a=PNt5fjqY5mq8_TCCAInFP#uzlIOz6%!{Ryny(-RiL1
ztX?woq!_33;qaT$3j!?}or2q+dK7tZ>!{7za7Un%|Jp`%#>;ANdVHiKAF8RYlKgdw
z@v@7S%8X|@3Ac(2Rz4Fm@D*`s%GA5z6V0b~^$ydr&J8+=Z7fL>ud*%eX0!~L<<Z?R
zi7m`}N=PyH6tzc63zigK<x{<ShiU1=JqA<KcAcN{G$80KZ-AVnr%#uWO-gU7zwnfp
zT<#97$$<)&u4J-IT$RfhxYV4>WtMrbLeN%S1+fkDI3}w3DtCnBa(A?b9N5TqZp&HW
zQ&-E1D!({9jJ)utI>BT@RzqN{ZiDET-*OVX^Q96(!nqT&KEE!v`*nKBZ@HXp%(|W-
zU-!M-ZY}p}*`?&<>9Z48M!%?xD0#8`?i}`=lD}`<c*tN=e2iO5(q`2fR-5E<<_~8o
z^;e{PSg}d$!<BQ(-o#snd8w7gA90?2sWE@g@plc&&OLmZDqg2&W}ndQH`hW_@1R8N
z6NjbWUZ!67A-09*@E3;WC1vTA6D*efQ7}ASU~$2a&m_w`Y}3g^-?AA$HkQ^f9bi0b
z^4?9FZC$F>vQn8nFBLvD%jjB$Wwx^_=GupHtlT8j^7u8^emlu8<?Zj+y(=%9_h<3#
z|Cis{PnT~m7yb5QzNKheL-nRVFXVUspYzr8#PmH^j_+O4GwIx!;;98Lcl=_?f6J6?
zpYP~<+&cB?vk7UpEVP&J$}0F@dO+gPvkGa`$M@$|NTwz&>8VdiPB~J3PL8KrdzPbw
z)nSG1>UoY5eJhehwzaB#Oi2HxP}?@|baTj!$BtUBKPiajJvtcr>fqFy&0i|J_4tDl
z``K5nIo%wxqm%u65dXWGwjT4(zWk&h`mJKZsa-Z6t+Oi(O!`kYUt3prZr<ZpC=wqk
zUmbj&#(ydOA4s9C+>%Xt{6P=Z<ZL`_D-ymb#C|NUoWNCW<B=LGx8#toO~s6=4;S7z
z?&^DtYKq3!2NQ2LmlQog6}I^LVB^i^lC}p(!r3-Iz8}ndyeI9?ZR7QlsgL%g{W)y2
zo-tZdcDBWhztxsEjMo-_oSwDiL*$%RpNh4c1v;i*`lGccBvN=!;yHtdThB=<#Ce@s
z{UIpT_XAs-{ll$BH67D~UO-ed_WM_?t&w@Ov}HS&e&{up{DkwuaoW{w6`Hnd4|z{D
zJt)27UCZ@TrHXN0YEUE6tR8MP3htO5v>$4OyI;jx8=*%_UDiU4s1=UWe%)N5xpvhd
z@2OWG$X-2O`e{f0#oU><9tHEqMsv8G+xFv#<DH<*R#u1VzB9U>jS>HOOKA1nExJBS
z7Dx9?)yir&E!e6WW}WEmyX44D5v{A2`7#qSy_b}&nil1uS*#hfYPsyC1LvoCyj9WN
zI$_e&pd}&x)=L^^>Q21%GBQhX>B*%YE9aGYFsnx^Z57^p#mQ@e_rxIEw-eaRwz*_2
zPg&VIMQXaz(yCjEyf!(VSNx6zacgSoxq5xw;w&X`LulpPwxwc0Rxw>uUS+m#dF--M
zJH@MaLy#@+MlP3?J(_`a!Cg~dtvoo(rr&nL%e%VM{p>y&y!>+VoubX8{hM{7Dzx@I
zUUF`Uo5dgFyNZdno+%%0etlLur^4pGRrc@v{>j_y`_J9{Xa9Q2b?@%x44a$VZ_j@-
zIo80<XT!$oxCWhb+)b4`CETBtrhWeAeTF;4ZpL)w4=46ay}4(v&}XLqe9xXnm>cC=
zY|Avr4^4_wID2r9OsLzlx3kZ__BzAuV?Ja0<LxK*JWu;v=6#0yi^+`X%1ck|VP0K%
zT=g2Om*kq$f}SaN7hc`9Sm-qqFVD57C*(K1JCSuav9q*s7whYy7T(D6oUQK`9NFcu
zy{R&CQtKOTedCRi5!Z~DEepJ3dM0=AitLi)+b_I+yQSyJxYjO~mUa)nWocFXTH}?>
z$AV&$4O_}fzC8;}d2qvK*QIxY?^HHQMqIHyWEyl&!hVMC)``b6UIg$xl8=<CWV<j+
z>rkb_L~qv1vKF6a&yzVPu`;b+Iowi!FZiJaf6nKF1w2QW9-pXfz|p>P-yHU+UkMg%
zQdb_IxT@H4IHc_ybA7FWjPuG@g-=e29!gvl_PnNjnPT6<MPDt7LU|t=h;A+3_xqB-
z@dLA~Wh_7S6wEkby#Meb#h%85?*>IW(gzJT=G4u0c9vikexLZ{g+){1qQ`&Yn%fN6
zPTf0v;$s=h;Ul+yHvVrjaLc>@_=Hdm%MrH^KM($%<}vN<o*nb0rGGJh`&_uqKtc2E
zi*1_B_8s?xPA*!S{#;C;bK^?;1b_MG^30Pit;?I;@}c>r?nIqiRb|_lCQ3+G|K6u}
zXRF*ZQ})}Ly&taj=-D3@Jn}fj{fCY9dr4b`6Pqrc&DX8ia`lZa$1mktA-nTNnvQL6
zn<e;uMqjb$d&B)IqUTyK`_*e1Hpkp6TO#bEj{W)(nz+WFpHp$8U0q(^SMQGtjeC5Y
z+6`Kp3=5m}>>?+uYF!-m?D-BGg_?P>nkOoni!Qm{TaXo9tz01V@bjB0=VQ`SZkc^z
z3%z|yy74;4{Pb{Lqk9X!?3if2;?}i$9Cti^*zEo`@gv*P8@+oRuWtM1_8|Pw^P8em
zddxL^^Pljp+P2l4qk6`kiOKwu`2nl$pO6m8&CYKzm3jF2O_AR*=`^krB3#GLKy1`u
z<(!`$-fDEuK-geefI-?fw$SLuy=y(|_x-b+S9$Ap(awkd{Pof<O6k)7=043#d7c>}
z_`G-->zs=@-{l@xtUR#NExXvpYxA`&9>!6x?-j`Ci&~t|$nATcac!1Zl3VuO-TjZ3
zGO0_hJ^NAMY}DnX(-~{4wT<?QaQ^=h>B+~#XmjxWbhDzH1<QUMn9OS{a?5@Hf<=$N
z1kN%#Jjs_;&;Q<+9U1O9ZQ7pe<ihn1o5alHd0lw%-Zh@^No}_4V)U|h*zCOVcv0*s
zq41jMs!7W~=BM}h<;U>Xb=Id8Prkl9u2b8x`nGpfX#GaT&sE*Uk;|iUe0Se_G^y@t
ze9noL_a4RAtrUwEUbTJ4lJu|Q(wmlUx0tTE>gctQm%4f{qdy<ba5}=@y(x*~#ZAuI
zvnQUiub1R5<?}yrb;G3FdQS6`PNz=lIy=?VXq!H-)}t9JBGG!!Lvq&5dA-rrWvl$<
z&ZN_+%e&4_oolr1)Qp<Vo!fnRGJhW{f9#@fsP;<w|6Ac^rtmtpZtq>;J1$HpJ9sH(
zpXRiv&AJg$m$n{iZByZz_H2{;#w)X|4_=y-C#q+%#ASoYbndRD*L*mpO)FXX(dl$T
zzr3i+AAt?ZANC17Ntz$t<T6#Vw!i6|{j1dnByM^8?9>S~u-vrdxQlg=wokFv*BM1W
zmm~yz?mWU48g#jFl1XIJ$<#SbM<*8k{Si_+FFjt>{zlyMci%2Qd-req-1qVOe%1Z`
z^ycczU#~Y$U;dEk`|SSP+~vQktJ#AW9sl3@-{$wLmrvjN+vn!JE1$RLX3dRT8%@)m
z-@ZLJzy8LXIXUarm&euL`1$(kae1@(@5|%z_T{Xbe?;59cK^?suOHKXpZ33hXW##y
zRl;BG_TKSg(YSov8Zqqk>-5{Z{mrbK58mHXv-jWcm$SP!UtfQ1{l4GN&M(g|n^(7Q
z|L$EscYIFSx;yIc@2uKCEuOA3>Z?EhvcIN(PN>oTU%~Io&-&-TyH~ew*PqA7-zF5F
z^v{3)F1;~cpZ|W@?%m&~&$oZK_S^rpuj~K6S)CsLS3ba-nMH(wfq{d;up=gHdp%1Y
z4-*5!3Kj+iAqED9ko*GQ{FKt1)cB&5M7^xy{Jh>N+4;8&MB4U$50_E&T@+NT8gptp
z->qDs0MnGzOD2kwcO1!1O5Zm5pw_?NIm<gvpUSXv>Ae4Z&+|!(_rx^pl2X)@nEs*F
z&TyWxr$p|ThetEq<ToUpJ!v%cRmFFvd!_4>f3ACf*nE=Uu3bTV8+pwm*%q>~v_vGt
zJv@>Z^Y)I?F{6qjF;^<3`j<FyHlMl{wvFHS=~Yg>hsmCsSfb{xJN5XWta^d`>LrXj
z9QW7W&1W}|b6e2-?QXkA(p#yi67N1R{gJD<yYKba=-jj0zTG_UGh>?D6Uq9$rPc?$
zy*Oi?)HjH^9O}4G#G351lxr)$6T8lNLEjP+^UJf<BPOWMRqoofRe@<ue9w8;l?MCR
zv=y0jjn=H_I#TgZx^VJ|Uz!rE>Zz7xTzxE^b5(=R|4Lh()uMbYWLfd~jaPf-R)tKv
z|El!%x~;mmJs%w^RSN5g3|b{)<GAgctwi_Ab3AFMWl!zW(Ov&Y?^8_pKWU!epH{b5
zuJfGx_n_zDfZ0;_n)r2EGVks@wEw-?Ne4lp^9F&uS*v&LttzY5<gJK*5h@~OJ*}<f
zal|C9{VUs4r>Y!3J13xUCFA!QGXgKxT<u%>VvC8lzt_b~&6*vRuYUv|6VqsB49+$<
zBzi6(>26eTb{SuvN1Od0e!0w7wfn5Uta)v6^?%3ro9{NJ*Q%%PJ0NpI_{5atDKqq?
zu2e5wf40B-Y&>e(DN(-CXkx>_V0fB=fgd^T=oM!q7NqX2<*W%k`qjQTo@u%}(_!w@
zf`O0wto1n+OE$JLII$*0uDK@fE4cVl(3Xaf+yCl%yLRY5*mos1<c_E-tI4J=YpsqQ
z4N7_%?;5%u<nJp^dsl1hdGFHw|DTuZp80vtIQ`t5o6qaszmE65z2)bll~*1f_nBdl
zc<JR+WvPE*cCVfkE;pOPFJoSM=i}pLCNeQ`)u&db`^+o2bTas~cGdFLr+)j-iCA}3
z^XX*iWhOrJt`!_wxLftsmdwrTf5p#s?z$TP@@>7-F5lU8p_eT$+W)mtZ|m6haNBwD
zyw?|u|D60MxYCdLcmKP1`+_GI7PI%RkT-bIacP6^s=p`x9e#a$zD?dC70IrUlM=dp
zvyJNRaPlrqoRDQ-a3%21j^G&{r4mu*3+giN9&kMTOa8W@j+g4zHAnptH&)tYT{zL$
z>{iNkdzsah!l+cq0I_Y}rClliw(IZ&&-Jl7cI$Z6v-_J2R({zLv3fd}^%AS1i-sYK
z6jqz9dDpRU>)gYaLRMbA<Pmwpa9iq|<%j%M_UVO)o#H9~8L9PV&6PFTY+jlk(%Yiu
z9^MqPvQgrtV3}v>>bLf*w*5cg$n3jgk$bGMT;%ny_Nj^HPhSN74eeu0X5*JBJ@P{F
z_>`8r!3&S|Nvvh@YJGM8mlf-Yg6Schl}1mtCo_EUm^x$2wHGq)Ryif^2^VX>Hnq(*
z`0d4%s8=%Ymd%qEH&Hr#cd9S@4du{DD=!-A_^U2{#s5O&U8h|_R-pNU<Q>zi<|w|)
zt?D^9k?Xr-G4G21e<$opWZo*)`g_@~50@7<v!~l=aDVTv?$WCIapIQa+(UnN9+$Kf
z{nl00(s=3+vky<d#NXeJqNQ6G|K```=JzS>a+RHZ>4Sm`Q<r=1tJ|tJ7OKhi>|s}U
zExxUI_fT=A(Q<Xed%89zQ;Vjs^M`%VdziR#-M(FQmu%n6)#}a;%m278(dz2Wqg#J+
zrQS0PSno8MOFJ~yeMQ!*dx6$fPd4NwhH9Ax*cLzZOO%&g`ta+YHNg>Up9Z>3ON_sI
z;#=k285fP#Tx8JJSWtUIKXgrlVe{^Gd+(`=hP&!2{s%5*?=>;o)oSm*R6^c#&Hszd
zJa;Vrw7v>l_W7IJ@i#L6jNC5u$js9U{eMk)_qEqD|4zAHN`1KgVv_T#?DjA6hDjGT
zR9*E;P5fU`Bjq0aupz_1ZR*OaQ&-9xlzdr{=$y@dvLIpA5v~_gVh=fLN;0ZNA1~PO
zYKODC%-XpUcP(uWt?iI{;(Vt|BSqtmo!Mrk{e^;|6O{ueCL3#S^0v^qYAW2lCDp<v
z)F>svW{*{ya?r`Z<9$+b=1i8>ZKW%pObGnTzN$UShU?LRe_?;tie6lQ`p`s;s*1lS
z9GkP-WmkrtIuyuv?DL5XAL&)*Julb))#g0DLX-V(^v_TsxwA}j-iZA3`>OJIEtli+
z4>Lm8r*0B`As{z9%Y4hae=`=htvLGV`^qOL4sKk3+_9(jufF!7_7n@Vt6`FtCSQ}k
zbAtb!%(dMU!%xTyK0i2fqWp6utxv~yufD%Pkok7|<KUx*kM+pe?5M41^6Qn*JKPr1
z>36kX%C;zD?W!xCEBUtmb?>{vYf|F!`@@oukA|hn+5Nfl^X6#D**%+a(DdzL&N;7c
z+x-z<{%b4u|2bYgQkH9+Eex-&E4v}lBPRLJRr<J)bwWh!|BG)Ad9G}UO7K1u9JJ8s
z^3~%@+^4oIcWdhU7r!=O;XI#hPE&=JMJ#mV4Bi?LxsZ2`80V=2CfWxM++V}MygYhg
zT8NR2^3^cz!`_GXOUK;Q<NUv{^_5ockNFc9@ciE{sul9>|HYfDSGSdO{GXb2{N${@
z#Kjk+drDk;Pu(}PF?J4FTPM~nS{v}b@8M0!MO9XFkJ@F1tTM52U-9XcMSiA5v+7#y
z!^N(vnE4+({G08*s`af+W8JBs=^tvgeto#^z}+ZS>lX2jkZ3udl^>i0zpqrBF|Dda
zoYS;^_D1s{U(V)N?)-uO<qTU6b+^u9eH&%6X5BZBHLpsUcePIM2uasdW4>p*CuB)k
z;4A0QlV^*!^6Lw)Tr`pEh0M7S=?#plPJLZ=Smkh}QmBo?TRyibt<$?hj{jTSCmU#8
zbU|>Ba<+Wj!cB6a)+GVQKX~y?%j%sKxc|259<L?4uE?71s(Zl^`Y0oG(yOwUzYaF1
zR&3=G-Cnh;>cNRw>mHgass84d4XMAf;n%~jfBPk^yj*u=SLF8eZCb&zK6vKTrB$1g
zUrNR4ZwT7OsKuEtu(B-KO~!K3yK-eFA;%xTw>>-)EuQmQpv6em%<kjQm77A&2^V$!
znVBQ<DNd1pvUbZJ{}bA+ITNB=YeG*r+|zsF+H`9R@A=t3MLv~r9X8v<yHztkS@@6|
z(`=6dryK5vlo-`p&i1ujb972~KlDQ4=>aYFYY7eRb0^QOm@NA@u;W7gtr`0pOs=2o
zWJ#ROe0bT~>2m73PrY>No4P+J@yF&r%VqT3PrnN~#osEUQ!ipPr9FjZ*^&tdB<A*T
zNY2$+Xtc8=!Ai~BC^k$feD3SJe=@}aL&Hnkwaxk`>|U^|nC0I+y(gkh|D4Z>e)3Z+
zpUmB26H_|<bZd>ziTIQ&R;!{y7Mr=AT;SVXU+AeFdi-{9-MTX;{99!7--{J3_+#m1
z<)|n2Dedq5?JQj}({6tBiMg|ZEvBq6Xzt0Df6|$vZ9Xnjs{?LWcu)Q9eYNR6M`cHi
z=ZVQr8b2h>$_fkl8>IMsa`KZIJwm5H<zIZZHZ<V4*(r~VRF$5-K);Aol`Td>QI?Ez
z*PYyS#?7=|WR|7E5B&xElBCzZcFv7*4t3$@oNczcgD=!~d*F#FYbM8Y{aiC4_sMdn
zvdQYF{-&~5^3B^b?`GHCoCih6Ln7w>=Ratgs+ic6?WQ8+c3eYT(eY$nozIW{s)Y81
zpT*^V?E16(1H0C*_Enr-?)AIpt>d3+&bgDz*{gT%l3DB0I4hU<oS69O`lp>|K1^T4
z#@hDZe)bX{y}Jr?CoT13owBAm#X5!i{N__y40{hR%eR+SR6nu0^-uGxzN<!Gw@#SO
zX&HTDt5c};gzv9+u8x_f`{bXb;)@ye9J9jT|G)8ZN}o?z$*W6ljDGQq7krf27cd1a
zWirZd*%L6MYQ5N}8pZ9LKRKRRo9@;V%&Mx6S>fud8KZh-#ozNyla_wu?(%z<bZ?E5
znnqyAtZ+;71x-Z}H%gX9-ALoQ>HIctp3o;>#own^Zjvgj@=vh6y)b;&{gtfytd!+`
ziwgDsPnna#In{86VM~vrN)&JU=W}9bi;g9@o#=H`>G3lWPK!+IImndmy?D*?vvDW?
z&QZ(y+8<;h%u?QXO(@{Y=WTzU|KGdl-Z_)lW#2lp&aZhkzw?vA&xsREJ@)4dYz>uJ
zTIQE!y?EQTVq^E1&u<sq-^lgKB+|;|!l^Ptspzxw(*(a>y8mNtRL!(RzQEIeBxi*F
zI=TOpz1O6zuk4kd@-+VaxH79C^~#R)%~H?hf|o_*uDGFe)GU1C+0Z}oM?52%JtR_-
z1v<l$Z`KsJHkz|dG&prkL8$ZYWLx9KFa8Ji|6MnC=83tt+l~G|sW>xx=k(BSyZ+|h
zD5+CdCRw?JC7ulMy&b(zBV_irqkex~BClVXX0w@}+pQ??BtN&b`HGF7mAGywTv@Vb
z_n)x*B(=n%e>;CI4+?u=v1pr_^rrqfcm8V3*Sx8*J9_%FX2r#^e>P5xePVTg`Kv#(
z0@B|F$!vViZJIXiRqyHhSJv&^eQVFx!%G%^yJT(rS|)dP^xCY?zn;jkvVQ$gGo5X6
z$Fus$+VgXZkJa5gvg507!9)IveRa`qrLOvIjM&#({Py1}MX3uX{in&letTeVRsND)
zcbI1!`geZsxfdy!GK=TLuYY{Qq<)rb$kwpaHMa|{&S_n7?EUSw-tD%3-4^9myj5Nm
zcXGqWZr6O32dlm6T9$lLd7&CIugr5_FYgkK$vYGpzVCI(4-EeDI-#S>=keRVg}oaW
zelL>Zl5sA#XtV3&JsY!IrCsr%#H_axyF_;0ys+HT*2|*pT@g?17Nt)a!jmF-MJ?Oj
zmGRU{N#B}tIbdUQMqhG<cTUF3?wveaOL^`-mZ*~4+3RkZeC3>B$@=7shnJ;$7B0K0
z|J+E#s_k7dkL|xptFK)sw&dMf&U5#@#I0{GPcCv>@~X-@^INpdi<AGlIRDxEGl?sj
zlV3O<zi{p5?6nKudZd|#Jd&u=xEyeK=69F2xYL;{t{8sV@c81UtMhM4+_h4lzOb)v
zp}UN8y4~K(QoFR4FZAnMxYO^dUjM3VQ><@XGW@b4`9<}UH0S9Dcdlu(vTl<rUbW3A
zYwNP5mcIi}8Je8`m~pjueS~wq(#)#?Gm~Ew9>36a<AS#(Z)qWq?L&zuN$FdYjBmus
zI{RB0R=xY9;g&sZ-MPdSvy(ISJ-)bmqI+-nzOPw)&Ua;<xn=riiMcKQzdXF?Xork5
zx2&`HzTL;FO8(yAwd8sGNTTXg@5Qgi-8~DV6O30cl<Ql#e6GaaKUE@&MK{d6dSPAP
z!e#2`v`%IGI}vbMOJ%dvtCtd0#|*canSBZKbKY&)CYCCdwI{Q$H}LYQ(49PQ-$_Kh
zsrXV9Z1OAoxyhFH<c!Y87u~<#sJl|}F?(m!+B=3@t{9diZ|O48wXnX<vvYZJ#?0WY
zo6TIgpDdaadi%8DmOCF;y!@BZvF2=MM*i^&oBI~#&x^=S-jY|lPU7ujiKvGXRq{J;
zF8*HpZE>xv^Lb0dTLR@L_=}}(oj3fl=kdkVk9jG(Z)U7~!tcRmwUhT`%evoB*RK}S
zyY!;mp}Vw5ds1#`k>s@gGOM4w7Xs9$p9zlLy4SCc-(=-vd!?I=(ULd4N(80PhuSW`
z61L1_=E<%Lp@+8aSas>&DzDBJUiucHvxMJYDVs4fP*vPy?Y7XUecwcbmo7W%@k-0I
zu4um0teB<OFGiP4mD;YeEi@~pyL0~PFBf)&`%aY-PyTu(G;0sn$Cne1R^H*zcwVjf
zy!pgWaoOkld&M7XpRsdZn6A8XZhnr-q}z|9dMxt#BOSJW?v;LjBWLb?&g3_LzI$Xn
zmo8U0dG5c2_5N>DO>fRUelf_he#PTI-hS(2`r4ipZjwAL?EbI!>-t+K);lHK{HZP<
z`Y`Qz_yWNjKbJ~m-kCX#!Sr16^K<9&_mpmZ>+?Oo=Bm|x-nCP%{#<MIiQVGd{x^Qs
zACJ8WQnCCbo|t`fV*T%hSFiod-#9bjd47-5{Sx6xZ~mP1_~a3>O-qV%`T;%bdc#RJ
zLV8Y<+z+*SeDav_`CEFGiblyhtI#O-xiKw&bJW*<xEH%#b;*^JKeI#JU#t<;YiOO~
zH?Kcsrr*5w6!Ez>;wRTxRhoCbSf+cYIi<XJUyG85`h16jyI(x{_B-Th^@}Gw5jR5B
z&pU2f?&s%TWIoqM`sCfMcdHZ2`Fmd}ohtpbaO<ge^Q<iSUEeG%4PWs1-P+0d3#NX*
zc=GrBz>~F`P2Wzfnq=)^np=2f%laLk%WL#*c2pHywi7tZ(QBTdQh2$JH+G)jHieUa
zKe4M+2GmOOuSzZ4KZiBhW`)_p`33vu>{YwI;89&=$7TI+t8@4JkEK43KO}PWoc`SH
z2l-a5-@vfpvv0TAjk=$j0q>^IS3LQ*$avBneS7ywzn>nu{-)&G7uJAx)8my+{{1Aa
zQo27Us(WsMobi{&b3A`n1ut#=81_(dbCqJ=3fb_NGb`Han1k82AOFLD?Z&r?-)c)w
zhek28uDf(Bqb+ZboNGb#oOZb*p;cM$UhTQH_*Q2B^*NP~G+Dam)*pPM6{2`QdHun;
znU>EC^7?;9blv#r>u~d@`2)4`Wz!8_7o}HyndrOCc3x|2UjOq6PtKYAZS=LAv){+M
zeuMDO>$1<q&&TJ^tv4+DcWCD9HJ@LnML(Y%*QIp-3#%Ki^?ruXr04Sw%1xR3Un5R>
ze*fHfG0XcV`sYu`KXa*@`uNZGkFn=IwJ)AnATK8L&g{B-cJgIYkD@2{XY4&_Rd2V8
z$-3T7t*q={jLOd2Z;l)}cP^e|%G~R_Y=pinIcWT4?TVmp6Ak#472j?-o|Ue0X8-AJ
z?vKNtHLT*Y)Q&2dwT=1dxh=fC2ST%2n8H2dnLl`3$QJEyd099$&q2^eXp7;xOO=IF
z(-cmIUJ~c5x|kW$7b~rA^_=0UtNZPzuFE+m&yOpSx_@lSj8j6K8zzUSRIT<9ah+Ik
zxbw)?45_{&$umA$2z@^)J%6W#RiVndZZo^y(*+JsGT+^+_*ttES10c@srs3+N6}+D
zf%BaGOiw+|I8R!>Q+>jdr{#>3tb}@3Z=6=FvTjFqbMFzGGaNFV@85;I`|#=azg_un
zKmAtP^zqYg!DqYIMLMzGn#dJ8b@QCHg-%;7_Bq6L9-VdkV3E%mnN_SWX3DIJp8kHz
z!mLC0W?7$|Qz5hF@QJ5|8$`u+T)xA{`p(3ALa+1JBRZkhd{>hrw(q=LlMu!-_0GPM
zX5OnxDVG;!?UH7E5@6eR@YKR8RxYcMUTZ;9zN>F9TwRzohgE)4E0_N4kQG{U0@ju~
zm1dUgym%!gZ&Jvo<<+?>X4Sb&a{g+PXJ4`JHsh4)t7R%X!*yF(`L0%(=zU-1-%zyv
z7H2HqRhcuwGON-T9#|OF8C&>G(^-FB?HtX=y34)19|<42${`m1a#zLzlUT{~P3A6}
z6E1J{D4Kfj@Sd}4|A+3Gd9&*4^KD<BCo&Yhwr^c{?Dcu`8}nakndUj0$!YxWWAl2v
zJmAHQrDX|ESKSJ=+FE^k=40{1r_cBOU9<Cd;EQ61o%!>d4<6eZEhc|&np^bNWz!cQ
zj=ua^LN;diW&`e`w`mEi##_6WH_rUn@`F=qyNb81gJ1C17q8cZzPI?gga7UMwO^9A
z9L>Ddr?UML|HXA{UskK^l-sUwC#JvDVt0}4J(o$#H<wR%(zl(>^Ie7SN2lMxd$Mm!
zzQ0wbaPsoa;}LEZN%6egHg56mLH!q(g-?8P`G~j2r;=?<t{)^~n^gSMy+K089-lIk
zj-8tO&E%t!&WFrLqAEX4KH5zBWpeR{>a+Q=n^~k&ctqdS`7N)!E-7Sg^FyjhR^{;5
z{G7Ugr;A)}8w51!C9S?YS$FfBoBzCW|3BX=wAa;FI=Oys^}1gDT>FD&ed>4C%XMw5
z(T<N#PM>&w!GYeNnR}i_x71FzoZ=q6Z`tpQo;!l~wHXvFui?H~abhp??#ef_vgXX&
zagXyHtFgWL!OR+Mu09PN3;W`x&-0T1d)s|se-SmYmSvd*|L+H>8o&3y5KXyJe}ChC
z)ouSXQ{=7pF}?YJY2*Hb8~+Qx*P8lwc74|yadENvhv)vNd61V=pnc?@z4*=i=ox?G
zEx(yteVgwtpZI@%@0;z~Xa231{Vi|xZF{0``v3X;Z`xaLzJGt?{|U*MXQHxi^t<0|
z*FW=*;rPGfeSdH6$a(PZzf+2R<cz;j$N#DO)x7I^b6@86`+ymL8~gtHzWdSjEB$ic
zi8{~3Z|s)e>`UL&%iZq(U7wlwjotd2ees)mv77llZ_9Pg{1fc^d$#W{ztZp5<!;}s
zMbqj#lK&SU|5v^7f49=_O$NW6Wq-$8e7oQOc)!V}`#TMO?=O5)|MEt?`n>zo+`k34
z-n758@&DB1`cRqwM<@Q>bm$F#@tgX$H}+f1vX>5b`L<gmt$s)Hf9dqP9~18{KKyU?
z#J}rWZ^}Qs@&DS#`saJA)H{CUcf2XrK2tY0xxPF3zuTUi<lpbzzwH)J`>%2QU)b?~
z-*3z;sJZ6;ZKc8Q{iSd6i{HGy&HBwgF1}3j%s-Fg|H}P-&y&5~e|x)o;x~88Z~M#t
z>{r;d|KHma|3LP<z48CxNBeE}MQ*>3oblJN@9*2b|HX^{UH+1oQosGs8~L|4{$Jeq
z-y>ze;k)@DE6e--%J%&&t&wK>Ev@{!S|shi#PNTA$N&90@$Z+v((k9OH|@=~t$(oX
z;aYF8oYxaL%vCl>Y5aCv;ouu{rQbC8;&BJ*6rK{NV+Z8F7=93uWUilRC?HnL^TzSm
z0j(4s6DN6Q%L#@P*d&>~FC0JcH<@RS!!ZY@FNOiXC77S~8y5Vj;W=Y_?7$1-Z%Y4@
zc#hZ{bGUJNM%s(Y8PDz-vf0VKZftm-I4kV9#EY^u>~(Y7Gq1@cCtNmUbDQ4ZC~{ol
zL{U$p?DND~pN~oGC|JY(X?B0&{xcFQ^5%%&G2_47V|q-k^NU05=Z&Wq7deI`>#TF{
zdAMk%;fD(nhif;sXIe=nFPOPet|HZrZJR`L!bC&1TQm9_d5%eVB=t0kK1+U3Wyr=S
zk*rW#Ib&IoAzPRD>&DAZlM}KG+1SLNv!<Rt?r<cDheh`sx7)P#%z2{858@5k4o&TE
zbUP~XBDAN`|7qeZ)uR$Og4eL`n$n&rB$BM)ZpdafrN8m<5s4lCJ&kXkB+fc?L}G>S
zvYyZ|{bL6Ll6cOj9&-r&WZ>(N#B)UD*a4SMh99~mnBRB2ZOnL*ynx@3ElM!?fneo~
zG<HL_YZE#hmxw3M`g2&q!?CB4^-1!BZw74V_-`|xJbv8aZX(YVxnl<&el$3HCXuH{
z_8PP6@#6=sel(m=X5+KDyvFuIMGa4loILZ!<Hrwt_-GhVTf;L)UY_~q@#6=WKGm|x
zo;dFCK9OgO{5kG<{r!y}9w#UK`yrv>Ah&F%!#+6=$9-})9PQX<O_(oUqZrSBL{Xl(
z`NVndAl_t!+Z*I=n9427G_zyd*E?VQh;%$Zk4!v&h)g{H9GQ6jCo=NP-p9{#@9JC6
zey^{;@%;gb3W1)+9}kif94clkbNW&Bz~x7kg8L7N2q8PRT`m2M<`0t<0)9x$5V2$P
zYVB`~eweHf`9tD|XHVnyBN826a?2#W?bu#T>Tf*!<TmrnlgAHaeKNc-yN1U`U7q>j
z$>RrVJ{blqsNspxxSu{@QH||}#Wg%8n)doXg6!BHO_?vwqb1Kg_0;hLD?b@dSY5-T
zqrE?-U}25zhjlePEIRVc!l#crocv^1u(^guMOU6V`t*1HExPgRRp&cC`DAFYtEPHE
z*-r_JI6JmY)ARXjq>mjqoXB%Tn*TD7j6AdG@#6<hel(m=T*IRyE6*Hz{P=;}AOA8p
z9zTBI$;Z!4mmVi8tTtp5l1@%o`%_|uh8>&S?Ec0J&yo|i{glwivtwH~yT9?vv*d*R
zKP55>?AYw)^f!JyB{5@zjCMf0txs`6El)~>JhS$-?d(-+*0axB)8A<DIyvFouN!@0
z1_hb5JWHbPiwA6wU^eYGEZAt{GkH@D&l??k{fsC(wtds)iyzUIXKp@y+~Mh`x1f}*
zQ2tXQB5t2;z#IwYubqYkb8UPk&#U2SQMK342(V+bo6>*u`PCb7d(4hWX!zE}6)dY+
z!y0eWed@Tw@=u?SJ%1v>eDKuy?%e_L>s9|B*!#(F!iJjagfoV0YI8NeC+?o!WmxdS
z#%J=&8lE*)_WCbM?bznU8tbfb?`f=jp1k0}&!<h@&y#g;Tz+G7UoNA{j*U$;IU&rD
zO>1g@WAM{th47ye5n*<0yQcOxmOo8aNcbr+Bf^f&Yg&Kf?5BydmOM>P$o^UBc>9y#
zhwU{yE_(OnBx3i;t%$W_>zm%+_~z+t=GUjMGygq({6K9I&m4_&+(J|O8+o55FL3=S
z@gvZVZPAqeM#HCxv#g#bKM43KaU#TyEo^FkW8Bl^2a!MbH55F3-B|fFSs}!TZINnn
zLRi&|Wf8xs9z^|;@R)AL_HAiCzlo1Lv;5`b4rjg?8kp4bg!sxcr(ZtqaPN!Z28&vr
z62JX&4@!SYaLlt~TeiHv@zcxcjPI8BH?q7+PH6u1%^@;{C&cX<bNR*N4oP1OH%Qw0
zT$ZY}{UBY-<KiyQ{P^N__E(G7v-2(KZ{&HIT)gO~<uQl;FQ*y&FCG7Iks<lVjE2vT
zUNiDvo-b%}x%-`N5|6ytkIIj&jr|XUneFxWS$;6Asd@1HXgG&m+j+r1dw%F0Jbs`h
zg@?!U9Jkk!_RPE`>)9tQS<k+0$$Iu%OV+dhThia?@$xzA;!DRJ)_yT8(5bCfi2fxJ
zG2M>sk!-TUla00pA8L3)Y~-2M&mVU<_t|j6ml~cDTY2XE^M_3u&tGSreEzt@%g=@d
zziX-!dX3o3G?N$f|B|R!V#nsRvcEC%RpP9qSFanhUnMKd{Uvc@xgDF-s{Y3QSIG*?
zeo08IvSVAds=smbt7L`sza%2o*s)oy?r*&QDp_I2FNqoJ?AT7N?r;44DsdLe>(`CE
zuag%X{Uz~Z<33w~)LNc9e#Z{{OyN=SXAf>#&wNNHS>aNak8!%J4o9q{olE(}2MwFn
zE7V#=2>h~|b@-&jj=6LAQ~cPuos74;TFsh$Qo>@k)MXW4cJ4z-{_e$klFZ_hPc#3#
zbg*f|+U1LPPU&e(KKZmU<Yj{6jdPbT%6J|-F!#wPhqS^C3Ou!Qf5hrpOl0f+B&ox3
z`?u8t6C<{D%D)*tEo^2@ymXy0e4=52#Ll`xyJprzc7OL`0ZC@_iM0%p7Y{aVICA;o
z9j9XlET7aA{+86?@V1pbE1AL*<8<s%4IB5NzI}W<8hRR)R~Y(om26OW^W<elpM3Iz
ze}-(E<~Or0{8D=0Zt|P%6?F<9Eh7ZVzLl7$_Zt>`HCcADl25Ef)8D=LtpqcB|7-S3
zbDLQcXD(lCS<=%OeO%&137=R?tc~p1-ejIRmgm@orZ%%ChR3tdF+X;o_3^L9KhF{z
zZ=Ah+F~;oJfx^c%3cMiGzn7S}_ikJ($hK)#GppiHtB<v(x2@PyaYssrqx@ru$?~3!
z?-$h+#_))>*xJaR6;0-mG3w2{G^3gI;ktQ!&l(Kb@+6YMLE!K2Uc6g^`FZ!}#wSk`
z9CyrKzSuIlr}6aBh&z02+=uSg$`)*txGk8dkZKtr@b2O3hUB9XJHlneC#;px;W!;D
zIpH7Ujt#!IKO7eS!hc|@`XPQHDdDQWUN1tIMx6ip_6yIQxbxjVPFmZ3EV**I@k+DF
z(sn=by9;-}%yZlIvHFGD%azPqcbDyt`NT3Y%X;I(mdC$(bj2sRD>0i&T?l4mzRLCD
zOmX!p*@lv(VHX$A3;mTPy7!L6)Gc8bnWu*S+9<jv#ck<!r(H$!eM@JQUD~0nW^UqR
z#g~yD*Vq%+>h?t{ae|hf9<!sa)kiyp(3&q(jMaMe#bYb>ET44hTSMn^(~QfpJ2$6`
zaQIt)yrvv#)3Ukjsot!Gty<+z`Q|qs+Nx(0^Ehci)UjH<t8tCW4;O@8`Rusn#Lllz
zgqkntJf0{1v@J>U`#+Ayr(VeVtUCNLSpDj}s#_=8G<ky;uKXRpgtOl2(>&GCB__vT
zd^;+1Lie!m((6)e0&yq1#h91vO=f8Ij^mSTXjiof4;HNJ+R)-D{p01;I7iOS%q!Lk
zZaBKI%#HW`8O;!<MJ@W3EA|R?dHwj-Imzw7Yrj_sJPrTdRlPLy5|tFEN-^dKtXM2q
z@YZ>5Pv)F-PpyTyocnVYopa-TBjY8>=+2{M{Pe*czt}UvF*SkVkNG4@uK04k_4?7(
zylZo2?R$q578#~YakB;a+$-8oGG|G=&6?LB>}Gpbc*4O9b3J$Cvl->e4M}d*Gi)AI
z1n$&ipDwXQy?Sl=gDumA4hh}ccKM#$ft!ur44KT{Z&@!`aB0Eco`%I7Z}VB^gszw_
zSdg%Yxu+pmOg4i>X8j8V<p(})?9z-Z;#NmO7~dFKU8(5R7O*<Q@t`FzU%BCj>&v2b
zniBCLf#)tVUhUR8D_pQ=#VH%c#Liz5j6SNX%(50}1l}*Y%l~Ds=+!C3D;$<BsC&j4
zA@apoxxvoS-khmI_zSmkgN);T6Q&5kk{yB$4;REsGT!0%wN<cS#?pUJWtiubWi|*F
z$SjLeez3=fxwql43a=59-)xf`92R|-1l<l)PHmH5Y`2ukVlg=W!rbjZ;e<XDrgM!Z
zXE-V(-46FQY~OEksG>Jn_3BLZ3U(j&nd%iQ-S(UMe{h@aCdIg(IWxr4T>0Mniy6uf
zirS7zFs`w-+BN4k`xlj8b1Rwd@yjO9YbbF)E_Hdw*G2bdtM53r=&_Gn!TlHe&j`oN
zxES%7#XRr}`)8IC%~j@1KODZeD?e!JJSNHLqiuChf?;pRvDxZ7)-MwN(W$mGkoosA
z$z3H$JKxp(;_CJ@%Gy!Bbn<D-JcZjAT8iG)B(PtY-@|yp_~rQ=hvIb^O7<6@X#DE_
z$l#+gD~06(>q`+a6ZaNB(`yAD%l1$E%)`Bn$v2JPWN+uP88#K_-od?#cZe*$e8c>&
z*u-U$jO!g=<{xADcD!VlVej<cC2fW5=CWVP&n=FBe968ibI<oB^|RC!8ZXpKUrzXb
z;lG6Gp0efjjv7zP<F9Be2tSpd{?bSJ<@bn^X|-+A9#*&Qn7?-~bJo&J6=R*7JpZ9y
zciN5g9leW-*Rsg5&SlpOJY}9;vahynxB0FEUJ0R>S|{9^`l(hrHf5gaLte{R9?nvR
z?G1W{llD0-^H$ud|Ma)fE}o!3&HKkL`n*=Z$9hR8JJ@@=^m!BW3F|hfK5(11Zi~>p
z*h`_umep~)hDXn?SnhQFMtX&^`*o@KFS~7bo%2fAbm6Po{JM5W^9b!7f=lb8wRh+*
zk?x&8tJKLn(*B_Lve}A?UI}8Cbg%jEF<%nxTlwqT%)HI24`l7`=BeJ1xhOl~P>`MW
z#T<`CQ|_x=p1aKEZ`_o3n@SljH^18!wqg5nOEdYhHzoa3Kh!eb&CYJo7kFW({V<ws
z*L|-aU5#=Zg!Y*)U|-8pW^bFKS|jerAI+)bTy^9Z(>>L*TZJN8zO0z~VK0+y+UJMf
zth2<YvcLSe!p6ftg7d!Wg~?%u#5qmwd;Jh=6pP?oUJ%8>S$aHj-n>mhc|tETwI9|s
z%v#fOo3rYe*N(>vT*D6ea_VjqdKaD1Inm_uv}sOG{>Q9qqu4m#@@>=8ZEv~Acu(J!
z+w-gV*>_7t!-Q>Os?OOlXU~|o-Rz+DGVwK{8;W1LPWHcl@S^uM@eL&}Q?pt3)Kwkz
zO5nWgmCd>*wCd`#27TQZrrHmyxvK7Z{fKG~n_2U#Z(^9U*P>8`y@@Jk4VRcsFj)1$
zt@obEq`G$Z?6oY*#P+gs>axl4?aiJ4P;LG_!=>5lEca%=T9D6>DXX%D`JUp1s@0v+
z)m7)C7^ipM+b(qD@0NpEk7VU)6TI20=bPP1f6#ZieVfgOV=t9|^Sqz`BH5#ZWrpaN
zPF=?L%9+9{Js}&Oy$qhsA5-@0%q<q-KC|1GKIXO9ZJ+hyQNz9sLicztP2a|1ko8hK
znrUD2!u4x?Yo0pXzfn_R?|%PQO@i`evEMxJ8!}d}WxjE1i|#7<CGXdyC#YQf9wvPM
z;|2b2k`cSN+@03&JM@>JP!y{U>n%pkzrr?}w=&l@1iIVbta<P(F!{iwwAM>ACj>CP
zi+ic#@nUV1m9$Wm<-PKYC5u<ioLSO$mVF+_*@l)t)-v_E+4CFcdp(cj?D4d^A9e8(
z>o-Y>X(bD%7QA;ApLyx)TkhB#)jJO_s9rO!`RFj+>C)Pwb(8dr_hl?&JG(__hoZ@8
zuY{xvPtD{jzLv16xNz!-@4A%r=(of!E}>&;GftFjy~>i_vTmbLgjUJgsRuqTdA)|E
zOg1)O^@H#WHtoij4*$0aReUH>R{3IOVDm!lHTOOLOJ|RX|4kLVoAkONfANd3-#l;B
zcb&b(l0PwT`}qS`7Fa7NUVGqtDd#s&j`yz1UJsNm#fC9?PkOgaXy4;SmJ=?VKX7J2
z^%~y-mX~p_C1*@2nSZuDfA5Pr?M77({s`X>94{TU8*4rIBRTK5RY?dbF@96FO;S0V
zzGSCc@MhJQf!dAFo%$uue-V#2Id8`t-X^#G{DEhS)Yq`w<F@7GwB|R+$k<oQrYE7h
zK`6p_%LT6oi!TJ5$xA%>vZa>oorP&6=bFN*V_rYfdc_<M{a|M95R0}ysJ}>cLO`^E
zSH{Y-?EAQMHw(>B-Ezn4!ITS|!<c5bghg=95v)4rmC$|Ra~P9<OWXz_38gQKrhbT(
z+nb`g;ls;Rj}^K*E`RA-%Y5VNmP=j_;xDfbV{)Dyw^^v-(U)CQ52!2;4P&}KCoGyX
zr=;qz*MlRMrL-GO{qv(Z_f$n?s~Wt2sjL0anz8D(*N;d2_ZnLwn!h`~zm=Xa;eyp~
z9vi_Ze$KZHZtQ#0=Qq|ny?1une{8nLEe6hFv5J5%j4GnWXC)T)x&;>}zi{^GP`LN&
zvik%B=f}Slf8FqyrRX_7f^$ybt&~qM^>tqcX9s)FmpuPvI`5X7Q`YTgTyoy`uZi}Q
zeH)f$zx1BX&*T5=l-=b^*TW9Y7Wj4S8o!L|uWQ%%-vr-EvOQcreaqD<wlMA7&0!zz
zHSF6QcHqsD_iv4L|0b+`aF!+4eAX;+FZ(E81E0+Ht1Ru4%B12=l&98hI)7l|l4C8E
z%a$7VF1hb|A#y@M)3kHjgnmpjkrh(nzos*n+4H-Vhq1y!7K7-QG0HFBJHE8>c%hh3
zdEsZ6a7^;915pRBH<m1%dSLzH?wrbm;7dm*7<_(cYZaR`@1^<tl9eBW_n%*Kdd>A8
zQjKY|e!YC}lre4Ufrcfgdwu`*GQ}p&f2hs9%V<*CS)E0zb6zIwxOnxN@rV2uQXVfp
z|F|`2ooVTX`?;4&CtR3*Kx)zJx5foWUa)y|ut-e!lAkSnKloCwnf#3MlF1)k>%Y60
z->&(g)tk4`>|pVt=(Q|i`ghYlH=g(29?f~iXV>9r4e$47Y+L7iA$kk%^f}uLqS<RE
z*_C_0)Svt^S<PpT!OJh7ZWTWE&$HOE=i8Lv^D}H7E}6W1TKJcBFJkZ2KRQyR>!&&Y
zj=|EzPg~lA`QA!x$-JCq{&l}u?qTtDbGI)I+21#F|J#kaOFKVobJ@OR&W(bmfA*gC
z>+aw9#W{JI&s5hd+ub*vK2kW@KWl|`-Ki^ukJZg&!tCqrJUN+uB577ZL6QCUi$8+Z
z<{9n$C!!p-DXq3P|K>Emck|xJ%=G_m_U(4y*Mlc(m(MlHd$D9C?__@&^L-CD9NfBm
z4%<SzyWf9s2KRPyehoERV&0`SiQU&O_tKKKlcmT1uT1=N<*=V^YL^z@$zR5=mLHnF
z@~ULyt<{dZ<BRy!Pq+L!p}RCVFn<0t&#lw6&1FoV-tXj{tghYvTHE;Z3BFecYBDxW
zU-jw;XRz8Fqs)ZEAxqZ_t*~|e`dHofXtY%7{(hZ>6MwD_KNTcZ8c-y!t|Sxs?c6bu
zt*<?@1qIyAvmGvN65bnm#k$gg`&sVdP>YKbC*9LM^{hYC-PihZwCit`^3NqZ4VTM?
z_x@U%+Lb2u)$85OMVC6iPL}qw;;VI@8sj!ws9oiIvDDJkaP=$KPv~W>p0;=C<%~V+
zviQp#iuCViY%cRSotHI#oBO0?e^T!p>5RL6{q2>x&(yzpy}R|OSlD{evLg#m{*4vg
z&J`*ddZSe?`)*Q{_0?uM{}s=QLyczrDY^W_=0J$}&8c#}GSl8nwQkOv%XM?I+)01?
zvw^pI<<9uW|DHT;_hsgvFRyR-Cs$Hm_p3H?|D1Q;Z|1$ZSHx3&+V*P6uKc3!I&=M5
z{oc9Ct4F;)z9!T-?47Utvw&CDVeg!!i#5JVYkyzbU!)P*KlS%TcFQThdZzxq+$Q4{
znw+X%W#1n3G@_DW?{sHP+b}tg*F_qkJyY2){*v+1dc=Ntx5R7RGe-ZSj27;Tl5-Ll
z`?>m<N!`LTr@JF#zi?PgIj4Ur&uX6AuTzI#EDBq`VRG*B_rB?AfvXahnwX{qu1Z<T
z^=swT2XkuX%<R<4bQe=KUK@6?|E!Y5**!a+FF2sj=N0vBVSaJO;#Fb0XW9Ax$Ub25
zciY~bPxpOsO?sc=?`}S?UHhv_u=8xg6|!^1!fS2&!%o(Hi3<GpYwD-;FIkMgL_a;>
zvWemEmOrd}CoQ*2d+YVnyJ{!HU#?1vy;s)MZ(qTmUgx@AJi$h{-dBIyEq(tdnY)6%
zEf?K6Wz`dgDzoW9@{!)Bi#0<}H*V4C4icTB^+X|RmiNjazGbQ)C4yTlrU%Jewuq$$
zUOFAzD&uwD<hHEuX$eV*XEsK^PTs%pdnZrWN#&O>W4xvoNtlYRtZiK`^8VzTWrs?x
zKislm#aG3Xv3V?K&xhv8N4onzHJCEZ{o>@Gzrz&ky}7$iP2RmFM~F-LWoMdT-bp#N
z_kmw3=IshCGC6yCqs|d+zg8LNc+=HWH(!=BuX~mGSnZaBtZ(_#TpQhb_w7$^Og`TC
z{h;16iCY(Q>Sj#a`S0l6by0HO=FdN<#{FOQ<b7uLy<3@;GIwX)omio`ZrXoW^QXDH
z`enTBpIqp3j!^#ZaQ`_+;+oh_foqq)$w)q$@w)l2{LABe{;r(#IK!Lov*ay<dYjzS
z6BWO?hbP#Wilv{Qurb-GP58y_MYfY|=kToK=PfBKw5ps?y(m9({n~U7*{Q;rLHqn(
z%&Qiv4ZosZxni>7>CVu(=M3-9^?5G7y6~;ct*E$1Ri`;kqPJMNzr3;Z>HLhejaKH*
z?Lr=iwP^oJkLqd;moqgLzU?w=;^NvzY**iY7XP(!g;8aJoaCd+Z&YT*-MO{Kws-IP
z3Ck~t&e*znZqL(|C3P1}Z+ZrMem(K@_RRf3@2?dn=}(_FIdiGTfwx>cY+KJcR&Sed
zeE#y^Csvk}Uu-<Nck|qZzE7TJmT+&Iw{}POrQatrx45hbys)WnmH*T~(z?7=D!YA7
z&pCD`En=P@d&+0)&kBWXdnZ4h)$Bg;k9F;>oZ`Dh23k+;Ul^{Lwzl%V|G&v%-8TB0
zE`8envW{o!wKXppf7@@-`yjq=+Nzvi7x%x|yQu3)W8X=!W93$5ivlk^ezf4pJ-=zw
zUag;F8GPaGqYY2~?K~sB{7Gb!oKU;k_tV!ZA90=7JoTrG)p^6!)}KlotwZ0Jte<T3
z=&pL#avS%6$tTY5=zPlKIDfUT`!-ee;?KqF7Wj96^M7~o)0yMy%0Fu6E$FSBy>`lT
z?psr~S54jHa3MWw?wL)hCu}0(G+xYh*>_C)iEEQx*zew&V_v;`A}%n$N|=&<eeHzl
z98t@koz%IWFZwCSv0M1}Hlv5LF8jn+&RlT%%AaYa=Zxl^n%R`+m9jd>W_ifz$fkRG
ztNi3<t^R-fu($iqBFB8zn~Udc^f|4~QX4yE@A9g}|GXSubARgY{~FF2CHurM+GT0z
zsnx8utESCnTDRx-iENhGxH;|RfrcGXy?eqgxG$QJvm#{c9={80g&uXm1xxqDU1(P7
z7jThYbng?JV|n+gHQMWTi#jf==iar#qI&kO(_8igUJ$ojCY<|I%~5{E+-y1XEopmq
zM_!mCvw6+q`lWkZTTSM_d%DF%zH;@f7aKOENVk<O-Qjy7w=?eKr<m0f_QYy-{oQn5
zVfpb>)-1J?Zd|?XIwN@I9Nmn1(@u-jqZ5x#Grt>sPctJfVCL`Ka2xw8Cw8;!)!cOT
zP}ui8NB>T_lbbTn>VJG9<M_Lxf6e3X9JhXNsEJFRxoltfg^oR6&gQ<TZEAbIG=E*h
zdvOyT{o3OE-wg|tucoOjx6A+iw7IG6+2XvoP#cvDkGOj?!WH?sfA!wFnO5DHRee{v
zNvdeYE#D6@j_R_L{%*}V*7qvVQT<u4tMGy2EML#N-`#TF#*z6`p!wPVI~Lrkx#Ii2
z%IU&^nk#2@e@$*;d%8I9-R0-pQgixuRleWia6#~{@xA$r{S!{;h=fe;be8=Q-1IB;
zQGw8p%T0CjIuA=f@NKG_)~U>PV7}m&Zl61RS6!RxW_I4bxHsa$!<jp5Z|Vqs(KcPX
z^~<9BYd*cu^Jbmf{M7vVk`(bOosQEid%GkzmtL-l-W7A<<|~s;+1**oex$R`oq2c4
zzT**!FV<YU`emxkm3hJ@%HEw-rPXl(i*KwBmCb$5>iGXu)U0h^7A+`tO?#rt{7BVt
z|2^~Qve>WFS^s`cc+aI$+;#3w=zgUaKd-%(UwcQJ)i+#y67%PoT322*>8$7awR_g1
zqWc0fUw^#LGI!qeee(*npT2JT7dG)cuZX`vLFUv2owk!>jqNzs%1hmiUn909{d$Uf
z4ePANeTo_Db=tR8w5wg`*tLDZ+sM|M;0v~_sh+2|X1S|u%HMkFLbdk=ojKZ3vG*R=
zPOgc*;3QMK`OT(B+k8)#v(!dhxpgpY*4zd6MN47@Q!iD#`LfY3V1AAFYvt>!*P5Q2
za<eQ=vinMPQ=VVS>hfcf|7somlewQ%EV3`YCU@I0NYi}90`a#K-@MasvkN=P&ystt
zZbI+-ZNE?SHp!h^CmVlq`P25MeO@V7&($pcHPNd7sfEh%*$2FSZd}k^`0ngg#<McI
z_JUs|&RO>T6#FvQ`li-S&kL1D*M67S%={zd!q&)NQ{}#eelm6p7khj8*V47`{>~2F
z+qJn}@m=!OPsLlA^S3^kTzPZdgm{i!>sO?2^7d}8`?++1^(@oi?(gRezD`{bnvnc-
z-kyrn4flR+U9kVzyEUSpq#eI6-n{LJTGHpW3#^OhtTbBJ74S)H>l88Zg03stcf%aT
zABo&N<nYCKX3{pz<IQh^qeZno%w6E!wK=vqC62jPKO?Vh;<H?BnV*gqt}sukwwky7
z%9NtrStSq86qddIvUtHNC%=Uf>ZV2QQm>Wv&k8*~w@L1($)#JDMDD9AW!Q5@O?kUY
zF?jd(9G(}F8~wAd?|pWqKFvX#WmWW(RVjN82D@L~%yjEr+nIMx=lNC`Rc}92!Ox|>
zoc)Vxo@`X1(JkM(!WViD`5mu)+S73Fln}#>b(5a6mBd}xB@`bsSNwu&<dhj-3hNx6
zrv*MTj}~VsDdStJRhj5;nfbrb!EdL!nO;4Wz5aGlO`*f@s{S|2K2K`+mYrKPC+)n+
zbT*UK52~l{`?RUyp7x7JJX_yrr?Y+Wb-XF?Y+q5B!+en`zW3LkIL-Xa3DiXUBxk9+
zp5w)N*01ZcDpMWackIbKbf)aps)l#R?ye8^@SZFrv&(9M_2--6#~x0+&ho{>F|z7(
zHglE5?J1Kgt0NWHbH8X@^WFDb(4$`sVjcfZ*6p<I?0)t3OsP4G$^ZXJ3%CC%ckqA2
z>cf5R*JQ!`olkoi_bPqM`CA$tUm5JMK6(1wcHf?Fvze=Q&irN4UM8Neb@|h@hIyj@
z99vs|c`o-^JKrMj66dOU0eejsJdJ#2_T^{Yr)>?>5;e09Mz=k>H)q;*b`y5(a&582
zhrh|Ln#}rBa_ywe%)7MxpGs9OfAukP>f;O7PVBxCacb3^ov!<=7gRIvpT0dOQa8Vt
zY1Uj-p)_Bw)74C~q<=`ipSEUJ_S<UaU8eriwV%{7-klt3S+;Zj9{UB>pT4W;%5%Kf
z_UEhilV--dbN1Iwt<hKz{i$SMe~rZg>CYkSruDOw)XqCKwJ6Ww`qPzn!tJCkY;~M=
zFkV%j`^BrYz>Gw%O_%@fX$VW%9HA@E|6*26nW^M%{huxl;am1K8{A%{&b&)2`eN;q
znyuHw!%CLM2wXUm<N7*qqrrmH#XWMhd#be-oZcjPnSH`?=3OSUC*6}aV=eLAc52yE
zW5(E+h}7RFT^audT(E0?Y1qwtD=NUu=jzoWPlxDFC&l)QUx_VRsiix=>*#uS?Wda>
z_HlFRt_so%mlK<_*2zx#!gkhl@6)1L#SsB!_s-gjU%1YizIw`e_Aj9aZ)!c^e)S=!
zVB40Oz+BhOXO)*n7p<%`{utyid-K=#Qa_6v=5Mw0DG`6I9MAdUvW(Z&qEv_3MH*kH
zu3x2F!M9ZEXOx3{dZ3fWuM^jqe`&M_ZH*DV&~7>9*Qu+Fxn~xJDBtIJ(X8Y3qN?`E
ztOm0u0js9vvwf-KTe`1kt(C;nfK?OoS-0#fRV`loX`5A6%4?&Gmy&m#v1Z&Wu;o<l
zL&m>(4*u$=qW?b7)xFNT#bDx9kEt>87lPNm{_vtoe}QWx*QyEcS6_Bo6uoJ3xv-i!
zOG(6Q?ap<qVUzf;NUBw*UM@;@IIqIB_lwVIe&(pjuOC_6`+cJS3Lk&`;;l6&-ssx%
zz3^XawzV(J{->vd_tCgWiSDUI!4BTDa?|IYZu;?Qr&pcSh2+YwvY%ZKrN6o`X|40C
z-~(x;|2RHb{hrnR@cdOz2A@^a))r}pp3rB?I(0hy^Vdhy8Q1beZC~~Lw%5<8uTD5_
zo3QNZ^;P|5yNfnXSHI6vk|1|^&*3t!)A`I*#@+c*D}(X^WsTQM&9=x3O+T`xbmfHG
zOi?mle9B7sXMLOWVs`^y=3VW(Z!drP-5_`VX?6b3W~o1Cxi<ygmb<WU?d%Jzp(o^-
ztCYMQcQIZra(DRrIWl9e*oq0(S6`e>-Q)CcN!t9T-tbdyf4;dZ-mExZpjOARB=XFg
zr}rW`t#;fz{G!Ozk^O1mZsFgW%O8Kgx``+Ctg}74z2T**DJ9X%pYr@E@p&C(HEr+H
zoj+#Is;Sy6w}0v<n?Eky^?&c&`qHKJ;KR=!d#C)#yK(tb6N~MoSJ?|Qr#&x9Y+9#h
zWfM8~^!sV~v!A&BSi8&SS5t9el(PP@^D|{9Of}A1;Wl06#pagc$9X>$rmL+uRGHAJ
zI$c%cZr0`355pDHABAs=3V+mR$8Fyh>hZK9yWFzw`I^$(d-laO%Ky!(?YzF1%ePLo
z?#b!^`BhItf5>jL*|j+G>(i@0T3!F|Tle%`$KSiAKQuM}*Cu*vcl15p%DHR#iTa<<
zYa13uKi$P*JEggCZe?&uZPU9WYik{&XLD6LH|c4dOSbCdU-jw!kHq8Gj$JzW?ek=5
z;gbA7$Mj2FmBMu$$NN*d%^&}YGId;CEHLeH+NE%o?J6&3?{SXO&G)_Vre>AEeeo|7
zw?7PD%ylYQHUD_Nx9Fv{KUK=v>r4!)|320X$iJSpx-l+h5|6v@j9Ui3dV-}>3IjLw
z`e>xQ*sx2v?Hb>z2}j>fKI;6MEhOxe!@*K9JukJ}eNxLN9bWok${T~iLm#*D3ae*H
zdwyN9?f;31$HPu4_=xe!MOb^ZX)!+P^;vafgW=-KMh7`lJB6oi(p6uTVyOP>MA@7b
z)82+MH9L0wXASU1-ki?<U2=mSBLl-UCI$v!<PFC0#U-UldSw|YIa4Rb`yVn8IeP!Q
z=DWozn+!r0z2SV`q#|*~<<ad+dDkyq*y3H?{pR-Vvn}>+J{7y)zMnI%I{$aAN6B4@
zz_px<+J6VuTS)LdboN+ScHJP@l|xulF`HG)XiLVnh_*(NI|i2z==ff?C=lDNRTFLZ
z^z+e@X-@)8cJZozu9RU};Ss>>9lQU<B~O+_=7#WGj&%pZ8CvfgkZ5VzYya+@<;o>x
zxmt4{zprn~J!+(5d#R64Cw}S4qKQARNNaqZuEfjy;;n4|rH8H4Ryu}Eub7v+xa)qK
z{1&VJC-s|M%H1{N?!69+ll%7e{27sK$A}5W9Mfdj|5pA|>^Z^l?!;rar!kw7CKvDh
zyfG%b)90xBB-?Y@Vb8W^geTaBT;2HUi=zAu*8Yb}BoiBZKHC<pX~~lAZ_W?!W@Hj!
z7J={n4-&Z-vV@U=!GeW>ft>*Y7#SECwlsp+Dai$T6}dU+nzEb}ujVi?Ff3z$Z2JZ&
z1>r4?Cm9(K2MFjDSLT&uple=S_u^qA8w0~95e5cckS-|R(wM}Athp#95nXGo!L>GK
zW(I~Z9fVehWBE9dwU(!(p=%91Zn`*_fq|iqfq{V!Y9mCe4G*%`%)I0xbiE=h)^~Ld
zGB8LSWMJTd>IG3-8h4}Ut;j>yxk~fIO?5^F1_34p1_6*31_lO*t%B!}Y=tIfblsN)
z_4f3vV_@)M;)I73M0eVGB;9(cnBGM{)B$8SM5}BaBO-?2M?9cgjlQuTq#uO0G)6Wc
z>4$9dN7sy=X+atxmhakwq#5e#jFg-JZ&o&tB5nq5hN(;p43hg88T1%jP0TGrf}H#e
pv;0a8v>hwF(h5txiX6)l1GBP=${h`j3ld8l9m~ty3_>kDZ2{9*Ih_Ci

literal 0
HcmV?d00001

diff --git a/game.runs/synth_1/TopModule.tcl b/game.runs/synth_1/TopModule.tcl
new file mode 100644
index 0000000..514b28a
--- /dev/null
+++ b/game.runs/synth_1/TopModule.tcl
@@ -0,0 +1,147 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+set TIME_start [clock seconds] 
+namespace eval ::optrace {
+  variable script "/home/prasic/game/game.runs/synth_1/TopModule.tcl"
+  variable category "vivado_synth"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+proc create_report { reportName command } {
+  set status "."
+  append status $reportName ".fail"
+  if { [file exists $status] } {
+    eval file delete [glob $status]
+  }
+  send_msg_id runtcl-4 info "Executing : $command"
+  set retval [eval catch { $command } msg]
+  if { $retval != 0 } {
+    set fp [open $status w]
+    close $fp
+    send_msg_id runtcl-5 warning "$msg"
+  }
+}
+OPTRACE "synth_1" START { ROLLUP_AUTO }
+set_param xicom.use_bs_reader 1
+set_param chipscope.maxJobs 2
+set_param checkpoint.writeSynthRtdsInDcp 1
+set_param synth.incrementalSynthesisCache ./.Xil/Vivado-73025-LikeUE06/incrSyn
+set_msg_config -id {Common 17-41} -limit 10000000
+set_msg_config -id {Synth 8-256} -limit 10000
+set_msg_config -id {Synth 8-638} -limit 10000
+OPTRACE "Creating in-memory project" START { }
+create_project -in_memory -part xc7a100tcsg324-1
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
+set_property webtalk.parent_dir /home/prasic/game/game.cache/wt [current_project]
+set_property parent.project_path /home/prasic/game/game.xpr [current_project]
+set_property XPM_LIBRARIES XPM_CDC [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language VHDL [current_project]
+set_property ip_output_repo /home/prasic/game/game.cache/ip [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+OPTRACE "Creating in-memory project" END { }
+OPTRACE "Adding files" START { }
+read_vhdl -library xil_defaultlib {
+  /home/prasic/game/game.srcs/sources_1/new/header.vhd
+  /home/prasic/game/game.srcs/sources_1/new/background.vhd
+  /home/prasic/game/game.srcs/sources_1/new/collision.vhd
+  /home/prasic/game/game.srcs/sources_1/new/obstacle.vhd
+  /home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd
+  /home/prasic/game/game.srcs/sources_1/new/priority.vhd
+  /home/prasic/game/game.srcs/sources_1/new/runner.vhd
+  /home/prasic/game/game.srcs/sources_1/new/vga.vhd
+  /home/prasic/game/game.srcs/sources_1/new/TopModule.vhd
+}
+read_ip -quiet /home/prasic/game/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc]
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc]
+set_property used_in_implementation false [get_files -all /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc]
+
+OPTRACE "Adding files" END { }
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+read_xdc /home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc
+set_property used_in_implementation false [get_files /home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+
+set_param ips.enableIPCacheLiteLoad 1
+
+read_checkpoint -auto_incremental -incremental /home/prasic/game/game.srcs/utils_1/imports/synth_1/TopModule.dcp
+close [open __synthesis_is_running__ w]
+
+OPTRACE "synth_design" START { }
+synth_design -top TopModule -part xc7a100tcsg324-1
+OPTRACE "synth_design" END { }
+if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
+ send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
+}
+
+
+OPTRACE "write_checkpoint" START { CHECKPOINT }
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef TopModule.dcp
+OPTRACE "write_checkpoint" END { }
+OPTRACE "synth reports" START { REPORT }
+create_report "synth_1_synth_report_utilization_0" "report_utilization -file TopModule_utilization_synth.rpt -pb TopModule_utilization_synth.pb"
+OPTRACE "synth reports" END { }
+file delete __synthesis_is_running__
+close [open __synthesis_is_complete__ w]
+OPTRACE "synth_1" END { }
diff --git a/game.runs/synth_1/TopModule.vds b/game.runs/synth_1/TopModule.vds
new file mode 100644
index 0000000..c30c4dd
--- /dev/null
+++ b/game.runs/synth_1/TopModule.vds
@@ -0,0 +1,394 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Tue Feb 28 17:00:56 2023
+# Process ID: 238750
+# Current directory: /home/prasic/game/game.runs/synth_1
+# Command line: vivado -log TopModule.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl
+# Log file: /home/prasic/game/game.runs/synth_1/TopModule.vds
+# Journal file: /home/prasic/game/game.runs/synth_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 2482.416 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source TopModule.tcl -notrace
+Command: read_checkpoint -auto_incremental -incremental /home/prasic/game/game.srcs/utils_1/imports/synth_1/TopModule.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /home/prasic/game/game.srcs/utils_1/imports/synth_1/TopModule.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
+Command: synth_design -top TopModule -part xc7a100tcsg324-1
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 238787
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1958.719 ; gain = 372.586 ; free physical = 4425 ; free virtual = 31401
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 2964.164; parent = 1961.691; children = 1002.473
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'TopModule' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:46]
+INFO: [Synth 8-3491] module 'clk_wiz_0' declared at '/home/prasic/game/game.runs/synth_1/.Xil/Vivado-238750-LikeUE06/realtime/clk_wiz_0_stub.vhdl:5' bound to instance 'pixelClk' of component 'clk_wiz_0' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:167]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/prasic/game/game.runs/synth_1/.Xil/Vivado-238750-LikeUE06/realtime/clk_wiz_0_stub.vhdl:14]
+INFO: [Synth 8-3491] module 'vga' declared at '/home/prasic/game/game.srcs/sources_1/new/vga.vhd:34' bound to instance 'vgaInterface' of component 'vga' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:172]
+INFO: [Synth 8-638] synthesizing module 'vga' [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:48]
+WARNING: [Synth 8-614] signal 'color' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:91]
+INFO: [Synth 8-256] done synthesizing module 'vga' (0#1) [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:48]
+WARNING: [Synth 8-7043] port width mismatch for port 'FCounter': port width = 12, actual width = 16 (integer) [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:65]
+INFO: [Synth 8-3491] module 'priority' declared at '/home/prasic/game/game.srcs/sources_1/new/priority.vhd:34' bound to instance 'priorityLogic' of component 'priority' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:188]
+INFO: [Synth 8-638] synthesizing module 'priority' [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:48]
+WARNING: [Synth 8-614] signal 'color_runner' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_obstacleS' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_obstacleS' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_obstacleL' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_obstacleL' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_back' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_back' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+INFO: [Synth 8-256] done synthesizing module 'priority' (0#1) [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:48]
+INFO: [Synth 8-3491] module 'runner' declared at '/home/prasic/game/game.srcs/sources_1/new/runner.vhd:36' bound to instance 'runnerObject' of component 'runner' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:201]
+INFO: [Synth 8-638] synthesizing module 'runner' [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:50]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:73]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:73]
+WARNING: [Synth 8-614] signal 'btnCpuReset_r' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:85]
+INFO: [Synth 8-256] done synthesizing module 'runner' (0#1) [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:50]
+INFO: [Synth 8-3491] module 'background' declared at '/home/prasic/game/game.srcs/sources_1/new/background.vhd:35' bound to instance 'backgroundObject' of component 'background' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:214]
+INFO: [Synth 8-638] synthesizing module 'background' [/home/prasic/game/game.srcs/sources_1/new/background.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'background' (0#1) [/home/prasic/game/game.srcs/sources_1/new/background.vhd:45]
+INFO: [Synth 8-3491] module 'obstacle_S' declared at '/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:36' bound to instance 'smallObstacle' of component 'obstacle_S' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:225]
+INFO: [Synth 8-638] synthesizing module 'obstacle_S' [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:47]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:81]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:81]
+WARNING: [Synth 8-614] signal 'btnCpuReset_o' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:93]
+INFO: [Synth 8-256] done synthesizing module 'obstacle_S' (0#1) [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:47]
+INFO: [Synth 8-3491] module 'obstacle_L' declared at '/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:36' bound to instance 'largeObstacle' of component 'obstacle_L' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:235]
+INFO: [Synth 8-638] synthesizing module 'obstacle_L' [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:47]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:81]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:81]
+WARNING: [Synth 8-614] signal 'btnCpuReset_o' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:93]
+INFO: [Synth 8-256] done synthesizing module 'obstacle_L' (0#1) [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:47]
+INFO: [Synth 8-3491] module 'collision' declared at '/home/prasic/game/game.srcs/sources_1/new/collision.vhd:34' bound to instance 'collisionDetection' of component 'collision' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:247]
+INFO: [Synth 8-638] synthesizing module 'collision' [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:45]
+WARNING: [Synth 8-614] signal 'btnCpuReset_c' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:51]
+INFO: [Synth 8-256] done synthesizing module 'collision' (0#1) [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'TopModule' (0#1) [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:46]
+WARNING: [Synth 8-5863] Implementing Library version of Mod/Rem due to signed path. This typically leads to poor QOR. Check RTL to see if unsigned path for the operator is possible  [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:105]
+WARNING: [Synth 8-7129] Port FCounter_o[15] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[14] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[13] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[12] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[11] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[10] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[9] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[8] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[7] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[6] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[5] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[4] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[3] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[2] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[1] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[0] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[15] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[14] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[13] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[12] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[11] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[10] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[9] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[8] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[7] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[6] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[5] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[4] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[3] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[2] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[1] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[0] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port clk_pixel in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port btnCpuReset_b in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[9] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[8] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[7] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[6] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[5] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[4] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[3] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[2] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[1] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[0] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[11] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[10] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[9] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[8] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[7] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[6] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[5] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[4] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[3] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[2] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[1] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[0] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FStrobe_b in module background is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2029.656 ; gain = 443.523 ; free physical = 4516 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3032.133; parent = 2029.660; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2047.469 ; gain = 461.336 ; free physical = 4515 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3049.945; parent = 2047.473; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2047.469 ; gain = 461.336 ; free physical = 4515 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3049.945; parent = 2047.473; children = 1002.473
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2047.469 ; gain = 0.000 ; free physical = 4509 ; free virtual = 31486
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'pixelClk'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'pixelClk'
+Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/TopModule_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/TopModule_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31400
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31400
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a100tcsg324-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for clk. (constraint file  /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 3).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for clk. (constraint file  /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 4).
+Applied set_property KEEP_HIERARCHY = SOFT for pixelClk. (constraint file  auto generated constraint).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4480 ; free virtual = 31458
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   3 Input   33 Bit       Adders := 3     
+	   2 Input   20 Bit       Adders := 1     
+	   2 Input   18 Bit       Adders := 1     
+	   3 Input   17 Bit       Adders := 1     
+	   2 Input   17 Bit       Adders := 1     
+	   2 Input   16 Bit       Adders := 1     
+	   2 Input   11 Bit       Adders := 5     
+	   2 Input   10 Bit       Adders := 5     
+	   2 Input    9 Bit       Adders := 2     
++---Registers : 
+	               16 Bit    Registers := 2     
+	               11 Bit    Registers := 2     
+	               10 Bit    Registers := 5     
+	                9 Bit    Registers := 4     
+	                2 Bit    Registers := 1     
+	                1 Bit    Registers := 1     
++---Muxes : 
+	   2 Input   18 Bit        Muxes := 4     
+	   2 Input   17 Bit        Muxes := 1     
+	   2 Input   16 Bit        Muxes := 1     
+	   2 Input   11 Bit        Muxes := 3     
+	   2 Input   10 Bit        Muxes := 2     
+	   2 Input    9 Bit        Muxes := 1     
+	   2 Input    4 Bit        Muxes := 9     
+	   2 Input    1 Bit        Muxes := 1     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 240 (col length:80)
+BRAMs: 270 (col length: RAMB18 80 RAMB36 40)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4462 ; free virtual = 31445
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4343 ; free virtual = 31325
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1483.411; parent = 1272.714; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4333 ; free virtual = 31315
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.198; parent = 1282.507; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4332 ; free virtual = 31315
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.198; parent = 1282.507; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+---------------+------+
+|      |Cell           |Count |
++------+---------------+------+
+|1     |clk_wiz_0_bbox |     1|
+|2     |CARRY4         |    56|
+|3     |LUT1           |    47|
+|4     |LUT2           |    98|
+|5     |LUT3           |    64|
+|6     |LUT4           |    77|
+|7     |LUT5           |    51|
+|8     |LUT6           |    88|
+|9     |FDCE           |    73|
+|10    |FDPE           |    10|
+|11    |FDRE           |    35|
+|12    |FDSE           |     6|
+|13    |IBUF           |     2|
+|14    |OBUF           |    30|
++------+---------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2204.219 ; gain = 461.336 ; free physical = 4385 ; free virtual = 31367
+Synthesis Optimization Complete : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4385 ; free virtual = 31367
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4491 ; free virtual = 31474
+INFO: [Netlist 29-17] Analyzing 56 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4433 ; free virtual = 31416
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete, checksum: 66caa5c
+INFO: [Common 17-83] Releasing license: Synthesis
+48 Infos, 78 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:30 . Memory (MB): peak = 2204.219 ; gain = 879.715 ; free physical = 4651 ; free virtual = 31633
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/synth_1/TopModule.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file TopModule_utilization_synth.rpt -pb TopModule_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Tue Feb 28 17:01:35 2023...
diff --git a/game.runs/synth_1/TopModule_utilization_synth.pb b/game.runs/synth_1/TopModule_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..5b3d30e2d3ae7dad73d0cce7b1e3898f7e08bd57
GIT binary patch
literal 242
zcmd;LGcqtT(leUGtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp-
z<zZm>;WU?*Va0d%r@R90Cv4veF)%E4-Yd+oqTIbzBxn({eXk?~0|UcdNrr+cZcC*=
z?6vX?3=B?x<rx@)oR2EloiBDgs|pgItH!{<?)+2@#O^f$k#~(47z&)18iUwtEkNX7
z5b1Q(62zWl#o-j{=I$I4<a5>zBrw;Wf#HC|Q+p8mtqX|U>k1NSbpx^227<`HfeZ`{
J_D6$2YyhJrKr{dV

literal 0
HcmV?d00001

diff --git a/game.runs/synth_1/TopModule_utilization_synth.rpt b/game.runs/synth_1/TopModule_utilization_synth.rpt
new file mode 100644
index 0000000..86bec31
--- /dev/null
+++ b/game.runs/synth_1/TopModule_utilization_synth.rpt
@@ -0,0 +1,184 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
+| Date         : Tue Feb 28 17:01:35 2023
+| Host         : LikeUE06 running 64-bit Linux Mint 20.3
+| Command      : report_utilization -file TopModule_utilization_synth.rpt -pb TopModule_utilization_synth.pb
+| Design       : TopModule
+| Device       : xc7a100tcsg324-1
+| Speed File   : -1
+| Design State : Synthesized
+-------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs*             |  326 |     0 |          0 |     63400 |  0.51 |
+|   LUT as Logic          |  326 |     0 |          0 |     63400 |  0.51 |
+|   LUT as Memory         |    0 |     0 |          0 |     19000 |  0.00 |
+| Slice Registers         |  124 |     0 |          0 |    126800 |  0.10 |
+|   Register as Flip Flop |  124 |     0 |          0 |    126800 |  0.10 |
+|   Register as Latch     |    0 |     0 |          0 |    126800 |  0.00 |
+| F7 Muxes                |    0 |     0 |          0 |     31700 |  0.00 |
+| F8 Muxes                |    0 |     0 |          0 |     15850 |  0.00 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+Warning! LUT value is adjusted to account for LUT combining.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 10    |          Yes |           - |          Set |
+| 73    |          Yes |           - |        Reset |
+| 6     |          Yes |         Set |            - |
+| 35    |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       135 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       270 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++-----------+------+-------+------------+-----------+-------+
+| Site Type | Used | Fixed | Prohibited | Available | Util% |
++-----------+------+-------+------------+-----------+-------+
+| DSPs      |    0 |     0 |          0 |       240 |  0.00 |
++-----------+------+-------+------------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |   32 |     0 |          0 |       210 | 15.24 |
+| Bonded IPADs                |    0 |     0 |          0 |         2 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |         6 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |         6 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        24 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |         6 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       202 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        24 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        24 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       300 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       210 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    0 |     0 |          0 |        32 |  0.00 |
+| BUFIO      |    0 |     0 |          0 |        24 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |         6 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |         6 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        12 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |        96 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        24 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++----------+------+---------------------+
+| Ref Name | Used | Functional Category |
++----------+------+---------------------+
+| LUT2     |   98 |                 LUT |
+| LUT6     |   88 |                 LUT |
+| LUT4     |   77 |                 LUT |
+| FDCE     |   73 |        Flop & Latch |
+| LUT3     |   64 |                 LUT |
+| CARRY4   |   56 |          CarryLogic |
+| LUT5     |   51 |                 LUT |
+| LUT1     |   47 |                 LUT |
+| FDRE     |   35 |        Flop & Latch |
+| OBUF     |   30 |                  IO |
+| FDPE     |   10 |        Flop & Latch |
+| FDSE     |    6 |        Flop & Latch |
+| IBUF     |    2 |                  IO |
++----------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/game.runs/synth_1/__synthesis_is_complete__ b/game.runs/synth_1/__synthesis_is_complete__
new file mode 100644
index 0000000..e69de29
diff --git a/game.runs/synth_1/gen_run.xml b/game.runs/synth_1/gen_run.xml
new file mode 100644
index 0000000..0a47233
--- /dev/null
+++ b/game.runs/synth_1/gen_run.xml
@@ -0,0 +1,115 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="synth_1" LaunchPart="xc7a100tcsg324-1" LaunchTime="1677600053" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/TopModule.dcp">
+  <File Type="VDS-TIMINGSUMMARY" Name="TopModule_timing_summary_synth.rpt"/>
+  <File Type="RDS-DCP" Name="TopModule.dcp"/>
+  <File Type="RDS-UTIL-PB" Name="TopModule_utilization_synth.pb"/>
+  <File Type="RDS-UTIL" Name="TopModule_utilization_synth.rpt"/>
+  <File Type="RDS-PROPCONSTRS" Name="TopModule_drc_synth.rpt"/>
+  <File Type="RDS-RDS" Name="TopModule.vds"/>
+  <File Type="REPORTS-TCL" Name="TopModule_reports.tcl"/>
+  <File Type="VDS-TIMING-PB" Name="TopModule_timing_summary_synth.pb"/>
+  <File Type="PA-TCL" Name="TopModule.tcl"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PSRCDIR/sources_1/new/header.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/background.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/collision.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/obstacle.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/obstacle2.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/priority.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/runner.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/vga.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/new/TopModule.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PSRCDIR/sources_1/ip/clk_wiz_1/clk_wiz_1.xci">
+      <FileInfo>
+        <Attr Name="AutoDisabled" Val="1"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="TopModule"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PSRCDIR/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc">
+      <FileInfo>
+        <Attr Name="ImportPath" Val="$PPRDIR/../Schreibtisch/PrASIC_Data/Nexys4_Master.xdc"/>
+        <Attr Name="ImportTime" Val="1378293982"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1">
+    <Filter Type="Utils"/>
+    <File Path="$PSRCDIR/utils_1/imports/synth_1/TopModule.dcp">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedInSteps" Val="synth_1"/>
+        <Attr Name="AutoDcp" Val="1"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+    <Step Id="synth_design"/>
+  </Strategy>
+  <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/>
+</GenRun>
diff --git a/game.runs/synth_1/htr.txt b/game.runs/synth_1/htr.txt
new file mode 100644
index 0000000..9db90aa
--- /dev/null
+++ b/game.runs/synth_1/htr.txt
@@ -0,0 +1,9 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+#
+
+vivado -log TopModule.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl
diff --git a/game.runs/synth_1/incr_synth_reason.pb b/game.runs/synth_1/incr_synth_reason.pb
new file mode 100644
index 0000000..4cb4ed4
--- /dev/null
+++ b/game.runs/synth_1/incr_synth_reason.pb
@@ -0,0 +1 @@
+�6No compile time benefit to using incremental synthesis
\ No newline at end of file
diff --git a/game.runs/synth_1/rundef.js b/game.runs/synth_1/rundef.js
new file mode 100644
index 0000000..f1b6105
--- /dev/null
+++ b/game.runs/synth_1/rundef.js
@@ -0,0 +1,40 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;";
+} else {
+  PathVal = "/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64;/opt/Xilinx/Vivado/2022.2/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log TopModule.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/game.runs/synth_1/runme.bat b/game.runs/synth_1/runme.bat
new file mode 100644
index 0000000..c51ae31
--- /dev/null
+++ b/game.runs/synth_1/runme.bat
@@ -0,0 +1,11 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/game.runs/synth_1/runme.log b/game.runs/synth_1/runme.log
new file mode 100644
index 0000000..ecec201
--- /dev/null
+++ b/game.runs/synth_1/runme.log
@@ -0,0 +1,392 @@
+
+*** Running vivado
+    with args -log TopModule.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl
+
+
+****** Vivado v2022.2 (64-bit)
+  **** SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+  **** IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+source TopModule.tcl -notrace
+Command: read_checkpoint -auto_incremental -incremental /home/prasic/game/game.srcs/utils_1/imports/synth_1/TopModule.dcp
+INFO: [Vivado 12-5825] Read reference checkpoint from /home/prasic/game/game.srcs/utils_1/imports/synth_1/TopModule.dcp for incremental synthesis
+INFO: [Vivado 12-7989] Please ensure there are no constraint changes
+Command: synth_design -top TopModule -part xc7a100tcsg324-1
+Starting synth_design
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t'
+INFO: [Device 21-403] Loading part xc7a100tcsg324-1
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 238787
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 1958.719 ; gain = 372.586 ; free physical = 4425 ; free virtual = 31401
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 2964.164; parent = 1961.691; children = 1002.473
+---------------------------------------------------------------------------------
+INFO: [Synth 8-638] synthesizing module 'TopModule' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:46]
+INFO: [Synth 8-3491] module 'clk_wiz_0' declared at '/home/prasic/game/game.runs/synth_1/.Xil/Vivado-238750-LikeUE06/realtime/clk_wiz_0_stub.vhdl:5' bound to instance 'pixelClk' of component 'clk_wiz_0' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:167]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/home/prasic/game/game.runs/synth_1/.Xil/Vivado-238750-LikeUE06/realtime/clk_wiz_0_stub.vhdl:14]
+INFO: [Synth 8-3491] module 'vga' declared at '/home/prasic/game/game.srcs/sources_1/new/vga.vhd:34' bound to instance 'vgaInterface' of component 'vga' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:172]
+INFO: [Synth 8-638] synthesizing module 'vga' [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:48]
+WARNING: [Synth 8-614] signal 'color' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:91]
+INFO: [Synth 8-256] done synthesizing module 'vga' (0#1) [/home/prasic/game/game.srcs/sources_1/new/vga.vhd:48]
+WARNING: [Synth 8-7043] port width mismatch for port 'FCounter': port width = 12, actual width = 16 (integer) [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:65]
+INFO: [Synth 8-3491] module 'priority' declared at '/home/prasic/game/game.srcs/sources_1/new/priority.vhd:34' bound to instance 'priorityLogic' of component 'priority' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:188]
+INFO: [Synth 8-638] synthesizing module 'priority' [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:48]
+WARNING: [Synth 8-614] signal 'color_runner' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_obstacleS' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_obstacleS' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_obstacleL' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_obstacleL' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'en_back' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+WARNING: [Synth 8-614] signal 'color_back' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:52]
+INFO: [Synth 8-256] done synthesizing module 'priority' (0#1) [/home/prasic/game/game.srcs/sources_1/new/priority.vhd:48]
+INFO: [Synth 8-3491] module 'runner' declared at '/home/prasic/game/game.srcs/sources_1/new/runner.vhd:36' bound to instance 'runnerObject' of component 'runner' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:201]
+INFO: [Synth 8-638] synthesizing module 'runner' [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:50]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:73]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:73]
+WARNING: [Synth 8-614] signal 'btnCpuReset_r' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:85]
+INFO: [Synth 8-256] done synthesizing module 'runner' (0#1) [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:50]
+INFO: [Synth 8-3491] module 'background' declared at '/home/prasic/game/game.srcs/sources_1/new/background.vhd:35' bound to instance 'backgroundObject' of component 'background' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:214]
+INFO: [Synth 8-638] synthesizing module 'background' [/home/prasic/game/game.srcs/sources_1/new/background.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'background' (0#1) [/home/prasic/game/game.srcs/sources_1/new/background.vhd:45]
+INFO: [Synth 8-3491] module 'obstacle_S' declared at '/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:36' bound to instance 'smallObstacle' of component 'obstacle_S' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:225]
+INFO: [Synth 8-638] synthesizing module 'obstacle_S' [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:47]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:81]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:81]
+WARNING: [Synth 8-614] signal 'btnCpuReset_o' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:93]
+INFO: [Synth 8-256] done synthesizing module 'obstacle_S' (0#1) [/home/prasic/game/game.srcs/sources_1/new/obstacle.vhd:47]
+INFO: [Synth 8-3491] module 'obstacle_L' declared at '/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:36' bound to instance 'largeObstacle' of component 'obstacle_L' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:235]
+INFO: [Synth 8-638] synthesizing module 'obstacle_L' [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:47]
+WARNING: [Synth 8-614] signal 'pos_object_x_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:81]
+WARNING: [Synth 8-614] signal 'pos_object_y_actual' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:81]
+WARNING: [Synth 8-614] signal 'btnCpuReset_o' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:93]
+INFO: [Synth 8-256] done synthesizing module 'obstacle_L' (0#1) [/home/prasic/game/game.srcs/sources_1/new/obstacle2.vhd:47]
+INFO: [Synth 8-3491] module 'collision' declared at '/home/prasic/game/game.srcs/sources_1/new/collision.vhd:34' bound to instance 'collisionDetection' of component 'collision' [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:247]
+INFO: [Synth 8-638] synthesizing module 'collision' [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:45]
+WARNING: [Synth 8-614] signal 'btnCpuReset_c' is read in the process but is not in the sensitivity list [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:51]
+INFO: [Synth 8-256] done synthesizing module 'collision' (0#1) [/home/prasic/game/game.srcs/sources_1/new/collision.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'TopModule' (0#1) [/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd:46]
+WARNING: [Synth 8-5863] Implementing Library version of Mod/Rem due to signed path. This typically leads to poor QOR. Check RTL to see if unsigned path for the operator is possible  [/home/prasic/game/game.srcs/sources_1/new/runner.vhd:105]
+WARNING: [Synth 8-7129] Port FCounter_o[15] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[14] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[13] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[12] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[11] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[10] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[9] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[8] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[7] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[6] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[5] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[4] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[3] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[2] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[1] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[0] in module obstacle_L is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[15] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[14] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[13] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[12] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[11] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[10] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[9] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[8] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[7] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[6] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[5] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[4] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[3] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[2] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[1] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_o[0] in module obstacle_S is either unconnected or has no load
+WARNING: [Synth 8-7129] Port clk_pixel in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port btnCpuReset_b in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[9] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[8] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[7] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[6] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[5] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[4] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[3] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[2] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[1] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port HCounter_b[0] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[11] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[10] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[9] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[8] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[7] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[6] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[5] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[4] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[3] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[2] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[1] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FCounter_b[0] in module background is either unconnected or has no load
+WARNING: [Synth 8-7129] Port FStrobe_b in module background is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2029.656 ; gain = 443.523 ; free physical = 4516 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3032.133; parent = 2029.660; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2047.469 ; gain = 461.336 ; free physical = 4515 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3049.945; parent = 2047.473; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 2047.469 ; gain = 461.336 ; free physical = 4515 ; free virtual = 31493
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3049.945; parent = 2047.473; children = 1002.473
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2047.469 ; gain = 0.000 ; free physical = 4509 ; free virtual = 31486
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'pixelClk'
+Finished Parsing XDC File [/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'pixelClk'
+Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+Finished Parsing XDC File [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/prasic/game/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/TopModule_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/TopModule_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31400
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4423 ; free virtual = 31400
+INFO: [Designutils 20-5440] No compile time benefit to using incremental synthesis; A full resynthesis will be run
+INFO: [Designutils 20-4379] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate}
+INFO: [Synth 8-11241] undeclared symbol 'REGCCE', assumed default net type 'wire' [/opt/Xilinx/Vivado/2022.2/data/verilog/src/unimacro/BRAM_SINGLE_MACRO.v:2170]
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a100tcsg324-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for clk. (constraint file  /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 3).
+Applied set_property CLOCK_BUFFER_TYPE = NONE for clk. (constraint file  /home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 4).
+Applied set_property KEEP_HIERARCHY = SOFT for pixelClk. (constraint file  auto generated constraint).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4489 ; free virtual = 31466
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4480 ; free virtual = 31458
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   3 Input   33 Bit       Adders := 3     
+	   2 Input   20 Bit       Adders := 1     
+	   2 Input   18 Bit       Adders := 1     
+	   3 Input   17 Bit       Adders := 1     
+	   2 Input   17 Bit       Adders := 1     
+	   2 Input   16 Bit       Adders := 1     
+	   2 Input   11 Bit       Adders := 5     
+	   2 Input   10 Bit       Adders := 5     
+	   2 Input    9 Bit       Adders := 2     
++---Registers : 
+	               16 Bit    Registers := 2     
+	               11 Bit    Registers := 2     
+	               10 Bit    Registers := 5     
+	                9 Bit    Registers := 4     
+	                2 Bit    Registers := 1     
+	                1 Bit    Registers := 1     
++---Muxes : 
+	   2 Input   18 Bit        Muxes := 4     
+	   2 Input   17 Bit        Muxes := 1     
+	   2 Input   16 Bit        Muxes := 1     
+	   2 Input   11 Bit        Muxes := 3     
+	   2 Input   10 Bit        Muxes := 2     
+	   2 Input    9 Bit        Muxes := 1     
+	   2 Input    4 Bit        Muxes := 9     
+	   2 Input    1 Bit        Muxes := 1     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 240 (col length:80)
+BRAMs: 270 (col length: RAMB18 80 RAMB36 40)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4462 ; free virtual = 31445
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1387.041; parent = 1175.688; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4343 ; free virtual = 31325
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1483.411; parent = 1272.714; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4333 ; free virtual = 31315
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.198; parent = 1282.507; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:21 ; elapsed = 00:00:22 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4332 ; free virtual = 31315
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.198; parent = 1282.507; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31316
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:24 ; elapsed = 00:00:25 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+---------------+------+
+|      |Cell           |Count |
++------+---------------+------+
+|1     |clk_wiz_0_bbox |     1|
+|2     |CARRY4         |    56|
+|3     |LUT1           |    47|
+|4     |LUT2           |    98|
+|5     |LUT3           |    64|
+|6     |LUT4           |    77|
+|7     |LUT5           |    51|
+|8     |LUT6           |    88|
+|9     |FDCE           |    73|
+|10    |FDPE           |    10|
+|11    |FDRE           |    35|
+|12    |FDSE           |     6|
+|13    |IBUF           |     2|
+|14    |OBUF           |    30|
++------+---------------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4334 ; free virtual = 31317
+Synthesis current peak Physical Memory [PSS] (MB): peak = 1493.366; parent = 1282.675; children = 211.354
+Synthesis current peak Virtual Memory [VSS] (MB): peak = 3174.680; parent = 2172.207; children = 1002.473
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 0 critical warnings and 1 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 2204.219 ; gain = 461.336 ; free physical = 4385 ; free virtual = 31367
+Synthesis Optimization Complete : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 2204.219 ; gain = 618.086 ; free physical = 4385 ; free virtual = 31367
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4491 ; free virtual = 31474
+INFO: [Netlist 29-17] Analyzing 56 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2204.219 ; gain = 0.000 ; free physical = 4433 ; free virtual = 31416
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Synth Design complete, checksum: 66caa5c
+INFO: [Common 17-83] Releasing license: Synthesis
+48 Infos, 78 Warnings, 0 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:32 ; elapsed = 00:00:30 . Memory (MB): peak = 2204.219 ; gain = 879.715 ; free physical = 4651 ; free virtual = 31633
+INFO: [Common 17-1381] The checkpoint '/home/prasic/game/game.runs/synth_1/TopModule.dcp' has been generated.
+INFO: [runtcl-4] Executing : report_utilization -file TopModule_utilization_synth.rpt -pb TopModule_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Tue Feb 28 17:01:35 2023...
diff --git a/game.runs/synth_1/runme.sh b/game.runs/synth_1/runme.sh
new file mode 100755
index 0000000..659a555
--- /dev/null
+++ b/game.runs/synth_1/runme.sh
@@ -0,0 +1,39 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin
+else
+  PATH=/opt/Xilinx/Vivado/2022.2/ids_lite/ISE/bin/lin64:/opt/Xilinx/Vivado/2022.2/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/home/prasic/game/game.runs/synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log TopModule.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl
diff --git a/game.runs/synth_1/vivado.jou b/game.runs/synth_1/vivado.jou
new file mode 100644
index 0000000..2fb91f7
--- /dev/null
+++ b/game.runs/synth_1/vivado.jou
@@ -0,0 +1,13 @@
+#-----------------------------------------------------------
+# Vivado v2022.2 (64-bit)
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+# Start of session at: Tue Feb 28 17:00:56 2023
+# Process ID: 238750
+# Current directory: /home/prasic/game/game.runs/synth_1
+# Command line: vivado -log TopModule.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source TopModule.tcl
+# Log file: /home/prasic/game/game.runs/synth_1/TopModule.vds
+# Journal file: /home/prasic/game/game.runs/synth_1/vivado.jou
+# Running On: LikeUE06, OS: Linux, CPU Frequency: 2482.416 MHz, CPU Physical cores: 4, Host memory: 16699 MB
+#-----------------------------------------------------------
+source TopModule.tcl -notrace
diff --git a/game.runs/synth_1/vivado.pb b/game.runs/synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..8db73f0c0f643056e4176a55cf095e6e7b69bca4
GIT binary patch
literal 57272
zcmd<u#mL3uoS&PUn3rOupjyl&z#_$BXkl)k#aWP(nCF<0nwVnL!pK!nl$w|lpPZ4J
zoL!KgnOCBqn^;<sAD@|*T$GxdnpcvTqo9k-(a*@wP1P?bN-WMy)=y8&O$8Hr#YM@*
z`lThAImPjY`kA=}`9&qg`o)!bB^mLC`XTuRzWFJoIjMRn$puF2DXD3Rr8y;5Fh&%+
zp^>hUg_&6fLjg+#%LGOS0Vb}Uj9k`1sfj5HMX712MX7nosR~GrOe@OIRZuNfNXsu$
zKz1G2#?<1>VgXhu7GpzG6J+0payin<^`;g^rWp(ctQD*f*N1TF2IQnB7N;tt<`tI~
zr7DzUq!y(rBo?JA<mD?Q=jRod6eWT}J2@jUFFh6FTyrB+B<F%d#oW@u64~5xj5uX7
zHP+%R%PdPw$uCLHF>>QF0*66-N@{UtdY*!ANq&I>Jp2`O3lfV;6e^O<6AcXvN|KAy
zjg3rn4Y5QCtBJ0mp)qoVSaFF3mn0UIWagzSAX@=SSj>hdmPoFMVl~k<HZnxkJ)e=w
z!Lg(yHMan4KuNwrdTNP6qC!q)a%x_2DmWt3QWHx+VWqBGtgeulm!h9vq>z$YmYJNY
z01^UQWNcue#g?3(o133!B+JDa3`$|3_{Q{tkqj3H%%hmHQEZ0hy2d8v$ZqUs<T7y2
zFCooMtWwOzh6dDh6H0`KbMgD+C#HY`9UOJ4prpcVXlky-1_>G?6)pjoH}S+Ln~|Ze
zi2*1=;c2v<E6fj+7IO<Sb5a#bGILWEl2Y?h(=tmGO7ay-i$Qi`&0E$AjtXg|IXMbN
zso;bF3V((2%$yvBq*R5X(mYV!Gcq<c*5ZaFLU6GV#cpJvYieR*fSjBcF>(E1bj!&v
zSI8_@C@#+|NzMS-T9U5-Eh7}tK$0n?sURn1B9%$WMVTe3MVW~TdHE#@xv3?33Z7{S
zmHDL#<@u#KDGE86*<dY+IVGt@3MCnt#R^HO8Hr_?`K3jmq@|FNSO(6N5DQ9Di*hsb
z5=&AQGV_W{QWH~j6bc~Ow>Y%~ly?=<@^f<X%R!DSNGwXsO$8a7oS&DLnI4~+TaW`O
zDoZl+^AxH<<)>#}a*-Z5aq0!7W~C;VI6-VLQV4-rRSOO#BO^=9U@|c_M@a;Yj9ebR
zr8y;;B^jX78sv)9yu_rO)D&>O0Y?HX!$aaNQ6V?6A~Ux%S0O(QR8AHY<tL{W7pE5M
zfowIgFtX5M%}*?@EH)D5Vl=^)JuGz14NzT?$Yt-7SegfkqKwp>g47}fsJRel6eO1C
zf!vmyk(rZHl$xgiDVAW0Af=p%g^@Yb?kF~}B^JmjB9Tkk1J&ppu$`$X3gww4843ZO
zE(+j0z+z%yWCAtFNQ#Ti$k@W%!W^ehP)g@sCN9&`yp+`BoW!Ek6oul-+@$;*a2cbc
zkXT$?nwy#ePk?!#1W;K3DS|+OXKrd>gk*1!tGlzaE2h0h5?n0hnMJ8sWMaA8_45l#
z^dmBJGV?0*!@z~NzL9~Ek)Dx$N@7W(epzZ!W=?*(esNK<eraB2Zens#zP?kCqi=k$
zr=PozYrL<cbCADYnE)de<E6M*j10{U1eh@8EEpXaqu4EU4GoP<K*ck>EV#<Z#iUv+
zz@x<qPE1C77`c`+!i)Q$5FZ8CoW!L3qC{{Kw^9fJl@=PsnpO(Q1*HnM3I+yNAY`Io
zt&p0NSWujrf-I<~;G3G8UsS1};p?PnrBINXn5|%|U}$M-p=WMrsbH;;o|u`ZV5?wk
zZlq^wVWwcMkXDqMs!)(oS)7@in4@5;U}9oq3KK5NEGj7liy9l67#MP4dNYHefTw~7
zQWH-@^I08N7C2vm3$5hRqN3Ei5{QceVAeq$8yyfF9E;{;V+(UV0~14Qg@Qz|Mq33#
zLvvF-GYboA1$eTzRWLF%)H60Up_<depk9W#EDXbCMwVtKdWL2u$S$)qGt@J)G(>Tk
zp@D&so{70J0jC{d<dOiVgw*29Do~!z1-IY8c|?F!irLH<UVzAQal+ddm{DQm%VmzQ
z(N&yZT9lj$YBc7hmLoM3%Q8~1CT<BXCKEH9Nt@L|*UT8DJlV_4<$>gO1$d@UECG4O
z3e?m{$}i1JfmATXC5fPxCaAdqDmjz$a|`nGKq&+y0?Pfy#+LBhFU!T5oRb}2o>>)d
zfW_wpTroI&UR0WgXdLTBWadE209{ZaW@@18lbM|w>S|!7UzD1d1FD$y;r7HAmy{;y
zm1U&lV6jn@i_sK|unZSRL1sm2j&n{n7FhzmpmO|4axoj4nd6K=HVa*26HAn$=_N@?
z5gt%jd|E`?2*H(J4NY)*7c;$f(=fe?b1|2tCt?X0S1v;wDHYtUL(QXQ>4~89hs78%
zE+%6vZ3!a<E}pXVM9;jE)S|S+WNbAePM6Uj^_d&tj1DZRZwK+I52q*G$p~ItiOIwQ
zr|U5j({n~HS5Pl75z@E;HL^kNM}^EhaGMs^cuOiR0ZD;c(omV=)V$)%lFYKql1hb~
z%;FLOHYsK^LlaoDN0N&*IX@@A2um8Ere7@$@%q)!B!i)Vt%40w&wpm*QccOvONI1J
zu~b_M8mh(0s>Pb1>dVN~4CWV{u_nsJh^<r?<zmFrUpAtqzwyVhktt}L1m1F6!^Gte
z8f{T1&rB)FP{_?J&P^;y&H%T_!Q!Br%Sr*vgeb99P%YL`NK6Jdq7Xs~8ku<|sp+Xj
zngVQ6EGFg_ux2`_Bkks#Ukb{<SYlj^i^<RkhqxIQaVlqN2`(lxQ=I7p+`}*db$j6n
zWi|~<SWrz{l$l?YSyG9`=U!Z9#22##P;*EuYZbY8VLE;C(=(H?I0L^UsT@6^`rg6<
zU;Log_lJltaq;`ahuq+%O4<9FMrE%87Z14XjV~(A%S**p1XJDLrnqZNP@RKO4%4L0
zQQ+c9&5O@ZDlSP(&Pff%lCB5V-`{8y-^yJ4koX?FUZ-JFM~ZTvksIZM&+G4Kl-i}a
z*i-Z3lM<7$^;8EogMXxvm*u#)AQ^m6`uZ=K&1(ErGihyWs>eG?4eTj2Y%fZ2vEi)7
zJ-AGWZ!JPh!P8d6))H6X;(_S%PXbL*U@2emxsUn{MI!^;9X>2w^L@lO6!H1Pi@b2g
z)krkOJ@5`{ETN80{-9BrqRu5;kY601502OPig-w84@(47+4JVcI9vK4&!e^!>Erpz
z!Rh%=G>UmeF5aY)Jm-Sapw!~jl6Y+W11iV41@7KAW}N>dvpT_-fk~@OsO)?E*&S`H
zW(f_e5;-m|Py-^p2sD|2C8zsvSrA{HAWXtjrC^(CP~j3l=*3yB5OOOGsunzh@K~yr
zW5ic2g#6-1ez@bRVodPoIMgbpk%_!<Q9}MAE!WXK6wq?seA?zbSl>Jz+qeWt!+tQ6
z@Z>veO*us_-s0TEoE(4X_z0E)K#q$GW;j-7(je0r;ZCSnG93wX&V>9zQjY-^Dxe_8
zndNX#S%NA#)GYUlMs+*UtvafE-vW2T9OQk}b{&1ZkEdNXu-^Ydqu57l+2v!&;#Bvz
zB`NLs|75o92xVr{Y8tACfeGHMk5<ntpi@1AZA8$Ul$AEHLeB_P>S0Mrpt*b;6-`cJ
zQF<zo6%96b(x9R-CaI!1N>b|%7E<vP`vqnaILxV1)BL7USwnP9LsDE4<$sc@8v6Jj
zPgOI({{Kp&_$Q*Sp}x;as%#p_@7BPQA=V5{T4h81Kp?5MSxm#)2DB_NKPM-%I5R&F
zOZ`AnWs?ju2v22$ZQ+<2mk?a5OKM4KGHCTN7RL~9D@m~gU&{(|E7n3<l8f00Pc%{1
zv!SL};9-Z;E1=c1xT{W3(;l^FL#Ofv+o~0kB9SPco08J0A-8c$AU<hW+z=H8_=l3v
z8n+aL0X$b>$-OiT1Gu$#bATDXNei?vSi{6MkI@sh;|H`d-X}AuD6yzgp)9osR91qP
zjr-=O=m(|dDnR!wftFyVrYIC7mSpHDgn;%Ul~fjhHa6r`D&(XlrWAwLo)_fj7byh#
z2k9v|gZ5%7fc9LJ<SP`XrYdBnDU{|R83JD0SCWyckYA8mlvo1V0$Hq3kY8M!nUs^N
zzy)5uXJlatTj5B}5pU3PE(6>p2AhShsf8KJa=ZDAT$Ta(MJ1qJNSS#G(6#ug#h?|Z
zshOZ{kqV`G$@zJCsmUd&DGK>T3K@yTpiPoF`H3lDuUMFw!Mvix#Rpr77@r?)Xc~(p
zIU#o^u{7Din~n^PK>MrUO9E->Mw21nM&lviMx!C%M#CZCMguCjaUM1EwIUZUQognv
z{7$qO{7y6<{7y6*{7$4+6+^@9LaiExhEAkb1w%t8QmcNUp%bZ9z0lB!)T&)**&-vD
zrLj!n4!Tz3AaEnWOg#wPNHA9q0yh%O)`P%}1oJhKZlqz+L$K92@J=MyY8-ec5^OaN
zyb}qw8VBBq)T&}=m|Y0A8V5cUsa3(yFchg(ztGT$)T&-+=tOGOF0-gPCIK3`&&iH2
z0G*tHeV7rk(iKx4TZ56>A&9)tI|<VXMg*2{(!+@!(0$|aNzu6K=YbAIBq!pkp9hf>
zan;X*$ceb>=RxE|T=nxHaw4w!c@Q}fSN%MQoQSJ_9z;&WRX-0RC*rD~Np&L4Ms<>+
z4XG83v~(l2!jaky3HaR0;H{;RoH$r(X(T5O)><0LiG#J4Msng{t)-EiI9O|GBqt8m
zS{liTgSD1Maw4^=7aA7b)T&*m-HUb$E-A`SN{y#d7ZvMKwQiYtnZ+5YDd1&OL>*NN
zI%O8)s9G}xJ*-F78W|W_>Y15>^gxfQH8C;PGc_`Xok@#)RIRBYNFDeDTa=?}EeRdE
zIzo=BH8wCd(lazRMmnk%624{z<Q!F72R^x&3wCmG8ds1mwE_0Sa=9wFAV&}SqPoD8
zOA&l>vVuoqUP=yViJ)_7aY=rzf@4WZQD#zUNop|x0|(wq1Mh+dXy-N`XXM(%2+!uY
zgH{3O;nbj9NkWb{G1oIOLpq$=#LQ67*cfC8IBTQkc<hH$kLGyDN#Di>CYE}ZCZ;Gk
z9u&Uj#zQm54}A8xhn78#GIDJ|WDn5dVgG`X%-qZ>$cgO%8KApJ3`a8uQW6{_C$|r~
z%<++lt4OsN{e}S5VwAf9REu#mwZQ72jVv%5y|hILB3f6V>y3D%m`yAY7qRGZN%^Ig
zfDSKKD9(r6S_3-GJtws!RZjuSWQhzH2j~U^&^-oNWaYWIVX}G#*v|iz;o>kd02OIw
zmRPh)a<Lld85m$YPgsJB#l+MAhbCh~6ALW6aKV??m>U@(-*e#2r5R9^T98--YH;L1
zeGa-FDF<{6tU^9gX}|?K0@>KaQj5KyC?9n4R}`zEuBo{J@;w}OTryk%kV`{A21d9z
zD>%b%EdpOA#cX6^f?|S^8OTBK3!H4Zq&@R8OEMF4AU6nrN~64Vh19(C%)C^{T|Ooj
zC<d6CfmY?i4Y<k3r4o=>1ips`WWO8enwn_U;#lzhhvd{8$f?#~qby7;P_9ui%Hj&a
zaokOMYMwsgMDxr7#M%1TSn-*8@yYplC8-r9dKD?jST}^pA<owq;KYo53&tomBLiIv
z6VSy3aNj**<kE#VXNdQv`QY~^%AHD!7`eo-`VJgzhUTcZ-xwuwdE<yS@JaNLi?cwt
zMZm5Y3n+37_H>SS0o|J7ms(L-Y!dIASX`1?ge$h7v0(_l+XfyRs~NfE@y7<#y=cjd
zj_yTCJ$=kvHxb*AAa#6kL27blT4plR^<u>e<)CZF(m-cIDU@e`G7#thcu<ap1SR+m
zvNVu5C}V+kC#4prq8b3b*C{hSFCTPS4yZ_h-3(H!06IDzcJosy=+>9aJO$MIkn|Kh
za|;r|dwUR87K3j>0~OAxDX`P%5v~B2Pe#VZ@WR0;o~p?LGm9GOb4i2m;ec-#i!Uh3
zF97ZH0;MBN4WJU!$Qb1yb`LH!=X}t{Fwiz8JVhrsAsLyN!hHue3%qFxo*dr|!zvVi
z1q-S_jSNimj0|y9h4|`E6C-2HC^C}dVg+3ggr(BOR)5xUDTd}{7H8%vgcK#_6{qDF
z<wCMmaA|ICVo{|P7x>yKW<x_mc+44Da;f^|D?s(7LT&+r=D8A>DXA%XSi1Y5NH;V@
zx#;Bkponx6E~JWHAuKT`GX)Y3L8W=1t7sIgXw-Td(AXcn3lFrP6nxp<AioQ5^zJtF
zyW0kSFyc1aV8kItuC<842t>aDxj4Z!OlN3>(ocl+x(tmKa16{tOAW*wa%P4WdImV|
zkh1^{=Yji^h_0itp^2FpmI4~NZ#7Z|<_*nF^vo;_kj6-i49$)7j10_2hv{(4DPT`I
z@Tq|?)TseGE-lE^0PIfJ0MK>3iFqlY3l%}Fa?d<?!-Igy1E1@?QT=AjB@goz=%!jL
zj9Z2Wy@y_+6=N3|xppIpF_`D@L>bQE)zLx?d>`yUT#RWvTBzYDx^N2<$f;4N8Q+OZ
z4^pT(78K-Ef=V%U(9z?d4s>c!Nu|01Xz&iv2pFCG)Ya7`5W;WKN}?-_T>B9vQ6h2S
zJX#tdHDm_MWY1`6guB?mAwwXqkTnx(G%%UN0JdHTrT<}Sf!&KE?GEeFsv1WQz$1)3
z<-q$NKB!f-36}z-ss=3(0F72dF1HRYNi4}MF3C(TRv=*BVC;3cq?ROR=0Ml}Av^`@
zN-0<o@{kp304Z_tY3u6hI;Nzg78MiL9f7LbflG%|K|#S-!85O*v;@R5R&dG$F%%RO
z6kvwhDj0*fgj|N*Y$LeYMg~~THl&8xh8EN|8xbak=F~PD5hgS>+l+?pHpFVSDOKVU
zdpe<t*$S3e-EBmSyKPbPjw+WRDCY&Gre}htZ;8l!nB5Ri<^x*|B@E$FhGeX*0#VK*
z!gP3~(ZqCkw4s<zRJ0LxJ0jvxOg8}s9DzE32-A&V$pXc6qKYOWOvfJXM44`hn&=d{
zctMHIx3nUahy+KdL`Eq!6`+RNDi9T@1kFY+H4$bL<!ORu!?Ot}`r+=Tj@j_YLNS{v
zHJ~9pi=dcIRQ4tmCWs7zVm4L6M8O1>Jy6WH1o0?s6)0d2Z=&2i@Qpiv)a+@_r3_z`
zGjv<510Sp&sKIK$B?IXNfQPb!QX#A16rc+o2$cQ<@0(`G;23<zM>bbDibsmAxLkq*
zimeokObiq>lJj#Ea#HisOERo13^ci%f*gH8Qs$^q3PFy(PKFi=76xFJv6+I2f##qO
z3CtN7cy>Vvks%Yc1E09UQL~CYmo_A;I2Yv?7b`e{7Se$Z`vy(fITocRDj=`2AN1+P
zgG((Su_!SoCpAX_v4$_XD6=HBC^HdsB6nVXi9&8_3FO>uBTMMH+u)O83@ktkgyCmq
z4}4U;f|LV1u$^BQ8M*c#2FZzuFx-QHCfF7sm{MgCf*ELm1Lh(G6I1NTXLJxyVRR7!
zo&i9R6!w$@9|R0SEz_*H)F5RVe7+7eK^Kyl3!1tcou>l@C>#?A*{5hl&>2Rq?T8|X
zK=|S=eL%~U(03{t8BnA2F*bp3Sw^0MFgBuZ>0@GHtY>0qh&(@MWNxHqZfJtC(8$Qp
zP|w)Z1bZZqQ80#O7L}AH=D@aiM~4Lm$0}&}I%!%d6hL<>j?T})(>}2b?9l@+ecVt>
zA3ZK9Na+JDaE4R^YTz3^H_=L*1B_g&5hV_mFvVHQ7=b1uF-jRDs+2Ou*h?8hI+rq*
z#(IX97AU2Rg^`{q+H%IxQigC&Cy>VnK3}+^mNL3rlF(8nH8~?MKPNxEQo%Q|pdd3Z
zeb9Sl-~;mpS|PKak!uxFA%hX5xXTzrEd3}WDwQ!<`%%WDWz1+xhH!a?T?K)n!5+1Y
zQRfndlrf(E3Z8kzsYRgMDG3-c@OgXST@Z*GjFw!gkYIGnNh~Qz%>(s9ol?{Ci&7Ov
z0~R%%5=dMjr~zxkr2!uf9t~U6ki_H>2wPlJC~lc~i8%_elO~*VQWNt^3kaAs@L6!+
zU4UomVl+rGQzux2K(e}o)@s|y$h8E~YC|qdaktm7je8qWW!&4?7-TlaxVNz(eK+5k
zSQ_gYo0*~a3C+w+M@OE(iHvxHKyn=TG6r)s0i>Z3l$w_a9_)5c%>!Mao0<Y@zm+8B
zC6AuW2a0|I5&H<OoH@zJwFObm;0xT*a%Z&M0VOcXFoEPb@Z}E9Mfa&mrI|S?pbk!G
zacYr*M`mhKVo`EN<)HV`z=!F5v_j_?BiBacLI+34j+Qv1B@Sh!4M_K(&!3pRDV!~x
zfc&D8(Ji=yx8M@kR*2bz1PAP(DsRlOr&}^6&I2+ki!+mvX3hgJX3h;qdsd*Lf+`q$
zyuoMG2fj8QJ@K5JcqS07R6p_D2sGk?F~d*W(VWpTCox;WR$+82GwB(GK=$xLo!v0v
zlEc}?@k<?T;()@RK#1N&>r)+J<XVs1r@|N|1BL5o3um-P1xj0#VFD?0;9EGjdQ{;>
znI)hBoZ!m5l8n^i%wmP0)Pnq?l0okwN7Uj|lS`Bf;&KJ2oW$g8r~Hc4VuGh7V2-GR
zw$JM7>Ov`4qCnzm69^ehBWu9>AQft0?o!B0%uQ9O@ysiR4ACppkZdH>Jy41|u7qr<
ztVzzvjxW!wiZ=ke8tQfh1qH(aa3v&;;TvL*;@BB<T7GD8VtOjBIEMEMA)}XYLrl07
zpuq;EV4(+#a~u(j$2@2t)qouZ4mL1R1HLO7SM1_359%-|MFsbvM{RskQhtR3#M!vw
z6_5LBAUl$4oE?LLB2B=41rcELOwDkl6MW`Dc2C#%goZ#|3NlRr;yx2|GR%Xx31l9`
zrAX#kT99EL<d6c8c@UQ(nP+A~hIwXS13>0MTngqw{A*5*f6c)LfXsuq6wHN~XG%`|
zT7V4znFnzxm<ut_f}HS!>;$iIb8&Ws7zHN5>BZcbjPNrA7fv;9E&&+k85)pd9yr<6
zxVZ#jm}hKCj(Ol@SL5aqjA5PvIr+m7oa|~mokHEfAqOGA;iq6kj{CsLuErmWdB$Xf
zAGDx?Qlyqw1K&2nKQxM~g+yY<0q0eWq@4JSbJ5A@Fvaj3rYJ&f<wkM2AsXswuscG_
zGfOfQ3=~p}it>w!brcK~Ks%tp!`tPFMWFSk#R{PP-G&M<F18CCG8hWr1G3Qb&*3K$
zfX_ciSd6^INda+<0{RVEkfY9xK+D=O2JK9U-j;*snnGg>tk)D8o8ia}aR1$b3>3iq
zcbJiD9U~6^fev5;U9vSG*_~VuI&nd6{m4lKckW<&<Ev6r6rfjWf$yX-HZ_3X{Q<hb
z!_*w*0*?`U@foy8#(oD2-iuo-vE8L63BI_++yv7+Y!|nrap^kdCFWFCfx6|Y#Td7-
zfG#X5N-fAqOis;B%_{*#B$J`J7CSUzjl{T^OtIau#%g4#YiN#qCt4Pl5%j7Tv@2p@
z7t4X~GEyy8a1IDnC{9hz&r2x=TW4f}uuhbV5!;P4Alr;US3I#+utIK?rSgStrCgc;
zrQj=)Rf`oe^U6|-N>Yn7iZvBV@)cBz6>{<uQxpm^^E8Sz^}uenw9sPCFDNm>?p_?i
zQEbMBx`xIm{usT>D6urB#ELy7H4Vf7T}y;FOBmz6%g7L0I%P97*G0PvaNzGUO5;)i
z*AEIVsl}P;c?z%`qN9+Uk(!)cT$*d80J^l1MT*(f%t(u~EVC>zCBGy&$4Hus-OMaG
zG0`*`TlP26H8e2>okIe@Dl3#rEGRW6H4%JGU`}RoYF=?F#B5e6CJSRNw&eWW-26Nv
zSuReni$G&`SWIR$G}pB-M$YZW8M)k5ixoh}h!*RBvPd|zaRBBxgT?_tGvY8&aFao`
zSiu$Cs!&MHOU^IND@iQ^UH>G&BE@86f$U2$E+!KTOlN=!BXexxq~$^u6I~Nf%>)mm
zI4&vGVt6E^C={0_C#Mz{r<La9RD$j!V=}TtcAWwj54Z}9PXWgsraPlRHlW=0_+(IA
zUZupvhwO0tS5+AsVS3C6eoL7#mQordYlOS9ljdT#Ft^k*H#Eg!FzB97GgF+^of)=l
zkFB~}#mJ=)l938Zkm{<%>IxZ&#R^HOsd)<Nu*J;a@|)Sr$Pk|P<+!-O*{&czGp_`T
z+g!N}aooCCRGL?;4+&C3efZ^gdMU{Tn8rl08=8X(e^APU*J3juO-EKKMiVWzqS8E2
z7O&yTaIHv9E(N!>tQ3mC1L*OkC7C&}>xy;3mjS}<LzasNyGF05phQ8pAV~qAnt~+k
z1I2L1H866CxK=<0^i_)$5=#_Ri}my%;b&xE1`j_eF19dm0fp&lBONY@kkV8Ix6~vB
sBMSvXb1MTwD`QgyBLi%A(t#t?$N=TuKt3)m*Sr*kpw#62q7(r}00np*T>t<8

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/TopModule_tb.tcl b/game.sim/sim_1/behav/xsim/TopModule_tb.tcl
new file mode 100644
index 0000000..1094e45
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/TopModule_tb.tcl
@@ -0,0 +1,11 @@
+set curr_wave [current_wave_config]
+if { [string length $curr_wave] == 0 } {
+  if { [llength [get_objects]] > 0} {
+    add_wave /
+    set_property needs_save false [current_wave_config]
+  } else {
+     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+  }
+}
+
+run 1000ns
diff --git a/game.sim/sim_1/behav/xsim/TopModule_tb_behav.wdb b/game.sim/sim_1/behav/xsim/TopModule_tb_behav.wdb
new file mode 100644
index 0000000000000000000000000000000000000000..fc35bd39176e60cb146bb74e7d823fe867240cc3
GIT binary patch
literal 38478
zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*A3`!^ZN1XCAS
zz!bwOb_m@S5248=4Hy_0U>Khn%s3>gQXmq$pzg5Bgz!hn;SmDAav}cM1y7j}{wO&#
zL*T%T<zR=uWM*Kf0I@hg1Oo%h4oD6^0pY?)s5HaF4ycd@0|O|_hr2lGxt68ol|Y4I
z6eE<;0WGIIpnPaK=NX)ts}K?y;Hu!}>Eo(kU|`6=z##YbZ!#AH149%O1A`U=0|PSy
z!-Aar^vq-iR;X@}sUQOl7z`Pr7$U%;$_xx3`31$vi8+Zy@tJugAT9$V14G0A|NrYj
zT0n*iFfc3t2{JG<ECA~RNx(2zfPsPG-~a#r=l=iy-wSGcaEMF1kH5R8GXoO?0~mus
zhm(PUK|NHRK|Mm9LES)|LETWDK|M;HK|NfZLET54LES^0L0wlJY@0WdNuiV(r2zG)
zpJPa9kfRUSIUt9_eCf!*zyR_k+|lu2uFfI;L12Z93=0@QAqVm?C^SJdNHYk-e4Wq0
zz`)DEupq?K*OdVj5uiv<D+Vb6<AP$amq6^iVulx>pkQENC@p4iaN-9EGUOIBFr1oY
z4PqCkCNnSyEWPrAfq@}6GmnAc!1`+w%@`OmK;?&Fpx!AS2AF?5{X$&bT_Mh7K#Dbe
zXwW(N`}?>$`hmRxiUyGLLA0BrPp~UPNKmLN*jXANYZ(|Af}nIMlwJm<KR{_~sMn*R
z^c*P74KkB~fk7KeLsM!{NQfs?Cxn732PZm^$SPFz;FRs+<gQ>~U<yvrU;h99zk`8+
z;RGuKgMcjq!woJ51_xyZh7GEixI>+Rp+ld6A;J=ZEvy(AB&-=2e%L^;3IhWJ2=_5C
zFo3WW$N^~hG9v@SA9e<YGoWP3z`!5_#pO_SAm=hNGB7ZL2yn<TF#P}j|363?EXRQ0
zgTz1>6yzWpgh9~`!XP$Cy%GZh*c5S)02G6)W@BJr0MVcZ3WyC-3vvrA{6J=ck_{-D
zL2{sE12WeXY97=mu-icH1F3OiU;w9LJ3MMYB@M`IcW919HwWY%TLuOOkeh<>sBvXr
zU;wEFHE2L~V>1VoyFr;G8;?19&~N~SKQ41D7#P4A4pg|}Qe(-$z`)JGz%Ub!T~-VX
z3_J`B46E>{0fjR!0|UcuJZeN37#R2%7#Pk#vLM*&pm+m`gNhlDpFy<-DE?j|sR4z%
zC<6n73j+fKNKGxINMiVfqy}UTDBXg>9;Bw1fq_8~gc-plKG-f$xJxrIfJ=CgnrRFS
z3_=VH45~<KK;Z;Rd!U91NX=pf1_ogU1_nn)1_qG3zy?9nGN>#7rNw;=3=AMYL@<Jj
zN|+LO8k1mPU;w2pkRKB9sEKA^U=U+qV93IwrkjC*0hA|7@TlowU|;~*S%*grD10Ot
z7#KPjA>jmbG$>DiFh~y6atDR!L`FzBp{oJOg)uNNfWmYZ9yQ_6vSKkFHIWPq46+Oi
z4507<#R)dMK;a|Dz`(Euk2&cK3=HxN3=G@ws3~J$09O+S@TdWmgNh6c45t_&@sI8v
zke@+i3@ELD!Vr{3u&DusA*d|@3R4h9RtHlLqe1B$<abb-0%4FiC_Sn{^AyM~P~3ye
z0m*^HK{O~`gUTHk4XOe`Egg^<AoD<KKxtVAntwp%fXo14P@V<JgD}W0P+15P2k}8P
z2&1b3m4zU2WHlhWL3|Jfu|Z`aAvK_~kdPWsSx86?s4OI;22>UjQUfXr38?{<g@n|A
z%0fbFK;;EY%~b{lhWpU+98_0<!Vna9d!S;Q7#JA7F+f_cAaPhZ1j^%}^aY|pamvAn
zPy<R!Aag+Z7!>ay8l*-JNe!qx0;vJzV^H{jXpkB+BsHLP15yJ@?;vwPG)RpbBf`xf
zvq5S=ZUeDFG^p$a`45DV(+|k4pt2Ao4$=dnK^R>PD6fOW@u>log@n|A%0fbFKxH95
zH6TBM!Wo|$P}qUWLPBalWg#Iopt6vV8baX%au291BxDY#EF`1`R2Je>14<L1vXGD(
z<S+!qD+q(i93yDE3zqgl=78D>;4~~*=MG{MrIvdUxc($oEvPObR;?;XA+#Je#^>ID
zhFsc6YE7VROHla?YNLRpK>h*yEz*t)Bo7h;g#)OK3hGV4)PmH*)rQ$|f$|MZtr?oR
zApLN)!FF7*-UUe999r&!%4Lv#Q22uCA+Q@57#IRTg3xv;$PAFZP$6&|1{5z~wPo8q
zLZA{Lvq0q#KDCgZG^D*N01Y#!c2sj$C2_?-B|zqa$_{+yCL*Z?)o=LJW+ABs`59d;
zs6z_zn;{phPYQAasC-6O3yK%8+6qH1P#Y9vG)OI|&4#WP5oU&5p!PRREvWv+sg`>Y
z!(@;`Xk38GN}Osd4Y}qasRfl$ptJ*uZ;&34{U8i>ueKo<tiK9!FQ{xlRtsXo)#@8^
ztwS;wxo-s$2BjSk4K}xIyT>*V2kKr>`we6_R0tf$AT~@bsGSVrgWLehd-&8I2PuS_
z3u@2dQ+owTEvRlnR}1%>>Y~Yykko?OWjNKAE$4#u?LqDZ^^b9?ty<3Y56N6mS%Fh+
z?Q$+2s3gc-P#X@)1)Bg0U$}c4mvc!&B|&OIV-Zj;TrG$J4&R%GT)I$6kXlVF`3<gC
zb<t#7B(<QnB9seKf*NnCizfR*B|+wb$~Gt$Q!V!*hB&AsNG+(n0Oew;Rb4c>04fPm
z3u?nbxtMCpwtIj_+@R?JRM+5B+Xs~dnG33Opj@ox&V@>X)Pe@|pj@nKLH!Pp1V}Bc
z4gm2%7!+?H8XOm8+dV*i3lJZq7F5RLGxrKq5~LPX2SB+X?Wl24w%y|eR1%~XR0lx0
zSk?XkjmJR44AhPT^$TF-1IV2qy&#(5fHe~v6J)#vWG<-vhO8D;?jfrcKvD}TKatgf
z^dqa4Mp6qJXFyg9auc#zHIU<=brmdcg7N~$bD;1=uA8)oRV#1AWrm~{mXEQTt7yb!
zjieTok3nT4%>STp0l5LJB+{143Cw_uNr3V(vRY8Mz}1Enad{!BRY$A4LE!>d8)?fG
zjHDKp=h58@R-$Ia6$xfQ+<;fDh7nghlG+St`G9UNI6Y_@ait=u1=XRT@gG<?fY>1S
zg4Ko;apfba1&vvO<Y8(-?RvP{NL#K3B(*uvaV3~qkU9{CnL8CpZ5}ktKxqa!J%H7!
z8FMW{QVSY!2E_$dwVK9U>ygwJ;4@d(m}?J`+CqF`W@yZH0!b~XEdff~pfrQwUJGNc
zn@DOwvm7|p+8A@agQ^9YwFQS8z)H9mF?<IzAY-Ikai|5^#W2~3>mQO@P(2P3M^6tR
zF@~u|Tx?JYP@4pA-kfH{B|xm&6f-V4B(<QtiJW#o?MqO40J~v+A(sl0TJ*dLYF~oX
zg1yul$)$^=7Cmo*+Lv&(^9#Amk<_B+O;Gz1uC_Ij%Lz#>-u#wh#^pt<+FUcPASAV*
zx*J>i1^X?}j4K*REvW9sskXq3D+Ng{sP4w8w$O|#7fCIw?uH71>mhhJ6q#|ALM1@y
z0aRyUGZ&mUOU$_Hk<^0fESzd9%(yy{)PnjgIMr60aZQG*1+{(A(+;Su4|nhULaup8
zYC&lSl%_!W2{~_q#2B`iajig73rag6aja^$nsIGFQVU8u$mti<hXA<&q@STRl4~!L
zT2R_SRtp-7fvcTg2+wbzwmRPYw$qI31k_xRT2MHE?1Kuy;|(OnaL$bDJX8Xt78VXr
zAyl;&%((7CB|vIH;eahJz~){w<ATlGfz*P+0jJu_W?Y|<%msx5PPJFexc(uj1?3Bn
zeNZ7(_g+QB1;`Dce1ROkpgtNXe8G9BHIj=1WGMp!14u0>Ux3U-k7Kaf8)jT$NNSz%
z)fYF-xU`VeI^$D&*Nn>!NiAqB6vV|^XFWIL3Ia(&-3uC{1#z*eeQm~-29kuT1&!5$
zxLDP`H{&V-NkY|v#tcAQtZKiQaW#S@p=v?nvY;?Sj|*^~|6#_}kEGTIM?C~q`^SuH
zA(C2Od}<lYxz;181&v#P;t1A$0QIdwaSS$BQk~~8l3LJ;ClD9eZ=f;)tQIuR50ZrX
z4Kx=8;^I;Zs|!KnUU>87nuQD(K?XtRNbsulH|M&Kq!yHqL0n`vfcypubA~{3u2&#Q
zsJWng4B}!{8)VM)6(k8&i#H#Km~(+wIY8Bd@-fIh^f(5IF@&1K%QH|ofbubNegoAD
zAoqgehhb_n7Z)_3L26<77;AouFh^<cfZ_;bAG#aB=0=)xA-8ux<t;X~ATfq{sO=q4
zc?%LpHy0$vu)v5*4C-Ex8$o3RNE}@)Sjj>oE)6gP(&hsB52xB?MqJiNYGGq5Sj}B!
z#1(|37B;qmRqZ+>u2dwou(1`aYBw2i6(FgFjjdo+yUmDe29jD(-wmhVb{TOUMp6r!
zJHx4VpApwPB(<<H8?0_PY{VrB%HYts5L|f(Y#jF@25Tg>pge@r4X2E_l91Gb@(@n7
z=Z&~Jkko?m5Kgt1jkwk$sl}Ct!0x?n#B~)(EhrD+H21a<*B>Ocusnn{%<da;DS<lT
z&@cnlg*eT9Y{cb(q!v~eVm0^hDy}>vwYb`dV80z-#WfL0tuDTD{q!oXZAfZiV?kKm
zaDElnZ6vjzu^>>}9~3koKZ4qVpmGQ72D=GdFf%~yJP-zrBY=uST8o47705mq2H6YB
zKhzSZmis|t4=^`^XplQW<v6v(hl)EvX$Rzg7zTv{sE)xE4xqj%Of858sRyOQ_>%kr
zhLHRM-~5!)oYeS|B!-aG;u41RoTMBEm(UP|6oXT0Mq*iJeo<l$Lr!L1YJ9x80Yh*J
zXr*>(F@tAbW=UpZj+tS+0i10aZwO<Xo5mZ#*d}K2#teR`B|)j_iN(d4>3ODR@usK(
z=J95z0v7S+r~;Pp7AOKn2Jw~*1(_A8InFuR49Pj!@#UFS@dgaRAwi+eA)!I83}xww
zo_QsyMQMr2sUQwRK~ZLYQD#Y{4|r`QjK@$^nwOVa<e!w4np^_qFeD`=XQvnCm*%BF
z#SsDwnR&$}2n*w(Rzrix%m~V`G=wq?jV)m;69YI4CTn5=6|yjcG0b5MxIP18sF0x{
zOu3;6%pwy|CzOGKA*m$Kxu7&CwK%mTK9j+txH2y}K9eB~N+*@%g~n%sot+5^jG)w%
zcre2$r!*D9axY3v&5O@uC`c{J%uiu(4-R5*4+&;)4|in<2=Z}Za1U|~4)G6iWhjU*
zEr>74Eno=n@rn2GclLH?2nh0bcZzrSaq?kscJ}f1hcMlogPovE7Z~jck#Y|X0xwy0
z4+#d-ARE98m@QzASBRr~d<aZ0gy-xHF#*DJftm;5`357)1qFv7ah)9lK*<70B*-<`
z6<I~Fho>7dKQzF_F~k*FL#SVfD~h4Pu0DoH3W8mIj8M78s9X~yZfLM;5Xf`L2EfFS
zO@WCa8wC@Cniu5n93SrK65_!S0%w5&5-JF028TEXg@6(Oa!LWQL!s#bM1fKRhz6$x
zNFstHA&^ERQ-<XHocyBr;>>g?3zBz`1Q7WIESQm;Ukb{DU``n_Ck=&DTvC*ulnPdr
zT9H{?5|3msL;%fTP_D}_Eiq&$0wwr7kn`d*^9;c>xKsf744xm#AkGE(43zN8Kn##q
z<H0PjXXDEt-i<GVco@WYhB%%f490MSGlIbmW`KDj1I_|j1@Uqk#L{?BLBo)m2lWz&
z1@a4265>xN2P_WGsYNKxFJkb3S{z>lcT9W{1I#%fCd5JUMGWBJDu&rskY6014=&o`
zE8-KAOG*=S7*NDY5{uGPOOVAXv8bzzhpK}_TYPFtdMd(tL*t}OSkW1uRhnCnngT6I
z<MZ-M;&T%7QV=4=C5a`a#SBTMB_;WJppv9GBQvcez9==FAtyC2y(EJnBQ+C5As1*#
zh!99Zgg_F)2}ulY&=5$1g#b7-LFptj&k$Bh#~XlC2q@*C3Z*6GmzKmQm8M}61{ngW
zUBIa=J|i<dgTc=yJU%x!IX6Brr7Rv)C&WAZc)L0IhlV)Chx$4D`}w&#hq$`nQWqTJ
z9}wW`LRhJ%AJIzvLqiORu-rd1#E`JPAXUT}W<-=>M#LFrOq5~9#2IEnlwqbssWKy=
z$|cApl7JFWT}d#?1A<-r{agu{<l>K_0Mx$I&&bbB)h{SYEY3{UPfyHE1rvJ3Majkb
z#hJPBhWdG_<@(4CKfSVy6bAi*qWmoViloxaoRngHOG`^jJ%e~pzmRwXOC!U0BSS-T
zeNf^~%*iR%Pt3`QFUUzONy{(FE!GdqEK5wuhicJJNi0d!FUv^D(JwAa)-Ntei8nGZ
zu+T3qNz6-0EJ~q=T_vd%C7Jm&v?((+H5KNqlz8wKop?h-GZT=NIW!7MTz2Xv<)>6a
zEyfY^nYqx2PXaaM^(%@qbM-;Ze7!OTyon{hv?w{X7(Ky&?82olJvC3i7_Kd|Kp$RW
zB3SXDM3|Ral9O3n0y2yC0hU*qn_85a9A8`lPJVQ?5IK6vi?a2TGeH?GMc>H4$Vksf
zzbGX$9-8Hn^YcnF^Gfqei@}odh6eG5Ch^8*=7yFQhG^NUG%vF_Gq+eDLdO@SLTcss
zFlT??08pS7Fz8d?wt}L}+{}{9vQ&MiP&apwHMF(G(<#)A_O|%?I{UgB#XGu$LF}SU
zIaryOUr=0Gte>2pTacNP8j|mcr3^_d$}Gtka94nO=BePELZhOrEVCpr$H>6IK))!p
zxTGjExg<43za%p^GcP^9fQH`3XKxa{?JX$EEh#Iezki|jLj6nqLIPSOg#~!ip@i@W
z4Kaj79FD3F+~|Q<NT7yKS$ZOgIzat4&~Oon+8{kT5;cMPDv<FeutrdO4m4hej6q@`
z3>xkMVbH!W5awlMU;yDpMg|5D{>8|^0K&RV3=ANg%EZ6`!kd^F7(iH=nSlX>XEHM|
zfG|4?0|N->vM?}!@MRVT1`xJqWnci|qpS=JAne1&zyQKW*ccc<*oK{f0fe`(GcbU#
z4hI7R2={X^Fo5t^4h9AgR_A130O2@J1_ltG%*nt2!XUqa`~#ywY|ywajE{>3sR7M*
z;gYA9IB1RqG{@l&*`CY*T6YebQv&U|1C7gq=D<Po%AomTKL!Q{kUG$Oa3FNAE@&Sh
zNKYsO0|RJZ254>&G_L@fF959x1+Dc2t>FZ%%>=Dq0j)~`?STR90Rrvu0qx-dt+%&l
zU|;~v9fRhCL395gdqI0ZLG$X^?1!lX&HaP+D}v_rVR}IG>!5jakUo%`VeSRFHI{*a
zA&!B8A%TH`0dyn>Xcv4MXfGfG0|RJH9cZl_Xip+&Z5wFK8fYyVC@etxH$ZzpLHj1F
z85kI97#J8pYt!o(7#Nxv7#La@7#P|Z7#Ki%Wk7pjKzm(4`z?_D4odH!FaqsO0qs43
zxdpUG6|~kqiGhIubnpgf9UwNhfb6bhU|^_XU|>LZLp=inLn8wNLlXl7Lo3uR6Brm6
zCNeNEOk!XFuXmryz`y|7iwTPxP+CRC$a4q*pb25n9!@BYK5mb!?`k~*g9Rw!L2(GB
z(bXgKLFyGi6T=J)3>r`xSsr9IDD8o05C*B|04*#5?MH>u=<1Q(4pRRCs_qArMpqA-
zn*x~)!XWiO#6c|RhEC`l8dL;Kf#<ovECvRU`UjwicLoNA7f>4Ae9$}@R5@7v3DATY
z0|UbaD2=WjI@Jc&0y4kC4LJlLYlgv+(7iFRH3%Rvkopf$3x7aqRNas{bC6mX-T<|5
z2b4xv4_a3XQwyRqpcWQDX>|4I^EVox34PG1G*B8{J*fNxnG3@&pz1zAX>|3V^{p_q
zAbJJVfg7MSx_Z#uBuE_$XFwfT0Hx8@qt7!bKpm(7rP0-c=Ad9^gXkMjbq}C4x_Z!B
zNRT=ho&fdG3@DAR9<&}3rWQndKs^)yrP0+xvnfa^1VcT<0j1H^L$fJFEoh(aKS+wy
zfYRvdq1hC!{svUt11OEI9-8go>L>h%m_GwbqpOEyKbQs&@PQGaJyQ^s5E3Q}*>{cL
zF)-|a`ttykMpqBbc5p2P&<L!6(&*|zbu(NssGNdEpaGOdR}Wf`f~@`lRNV_GjjkTF
zCIwmj3}}QefYRvdLF-bG)iW?cRB%9P2oIqGv^E97V_?_;Rd)bNqpL@sFE3z%sHlL_
z5FWxr&>TC0$H1V$3{hbKr6D|o3Q(H@!DC=}09E$_N~5a>tyMu*KZ6CLVgZzf@DM6M
z>s1gu28IAuh>8d(4dEeFfYz)acnl02pp6-z`UOg(s|T%HK~{eNs_q1oMpqANS0Ssf
zV27w^fYJ~iLIr633WCSLV88)UVF9HfJcJ6+8WsePf#C&I-3KU*t{&9JLsq|l6QW`T
zl!ovSDnM&l5IhEk4m(s8$UG1mw4Mc7{R9H)L2Fu&)z2WH9<;6nS^WY6>OpN#WcBFn
z0p#`qNFAt61fpRWz5Ro(9@MslsRhyK?GtqMpms1w9SozlH_+9C+Kw=_AR4{Bfvz6Z
z&IGB0Vf6L}x_VH19HtgTqqpDC)q~pZAayW|-hM+@4{GPb)Pm>@&;oS_ltxz%Y8!*p
z!SDfSfqDT-qpJt?Az*4j^af~wdH_nJs|WQrK<Z$40klBf0Hx8@gZd^gwIF%|v_PE!
zrP0-c`Y|ANFkAsGP#d5$x_TR!LMWX8El@L{G`f1wIS){!V9Enppawu`boHP<6<8sZ
zFn|`Q7El^pJ*fW$RSKpgparS|ltxz%>Z^ejLJ9Qvg;v8*Sq27Be+|w7?S+OmSR$Y_
zx_VF_5LrEX{zX?0>IWjLM|TgjnujX^^%>zDEbc*959(hct4B|t=;}dzQDpV#`5j$7
zsIQBx9zDOKs|WRqk=3K;cXaijJ~6U-^!$#l9(0BpvU>FVj;<cm-$quCo?p<_gZk#k
z>e2HHx_Z#~1G0Mb{DQ6?)bB@DkDg!9)q}=0kkwm!g0xp1pftLA(3k?UdWFvr^%_tb
zT|H>L1X(@D7l?WR7>z6m9a}*bLQikdW+hw+Xlw({0rk6}`5czt(ba>-Y>?HX=XZ4V
zpz$4K_2}g-x_Zz!2eNwf@)lh^XiNlIJ$n9yHjCj(BH%0t1HJx5R}UI*fvAL#==D3g
z`Y4DpIEkK~(ba>_34tqSU|>K`&*<u5=P<zZpr>ba^`NmLm|75xo}SUwgT}Z(>R=c>
zzR=Z!#-LzoK{R@Ofvz4jeg;wp!ylmO_y?3mR}UKBf~f`3H@-sB{{twEt{yZN22uyZ
z2fjhnpMcWn>Oo_9Fts3h#dnDM4Nw|gJ!sqyqz;BB{D7#R0j1H^r@|CM>588a^$k!O
zT|H<_5vmkSC4dIA85kHcpftLA&>1pdg-~L~Z;1H|pftLA(7s}*QZUs3+Jp`|XADZC
zs|SrMf)zpui@y-_9iTM2de9goR4JJ1$UtoYAgc$p|3TxGV1-bkhk$y}I4e{sm_pC*
z&}IQx4n%;)WI;3xqvv0A^`L#sFts2WJ^!Ms2aWfF)WI-%{zX?08XJbG1<~mF7hOGQ
z92ukzhSBpcx_Zz-n=rK?8om5PR}b0;4N?cg=;b-OdeB~Im|75x9{%X#L!dEmkUAJf
z4}Wy^pz(5;S`dxienVFe8e0dcgJBM6gIxehqpJsvg~QZ>XaQ)0T>?s@s|SsngVe$B
z52!i@Xu};{J!p&_rWQm$fU0`|rP0-c#^XWiVE6>Ifqwx?qpJtaAHdXt=nc>Y{thUO
zt{ybk08$6TGoTIp1yCAYJ!oD6rWQmuKpXfSP#Rr5X#N1C4u&(J4g3Nqjjp}{rVvU8
zKpXfGP#Rr5Xl??k6iit_8~6@T8eKhT9s{fpN+>`Z_!>|eT|H<H2C5WHaX=gR0#F)V
zJ!rlLtPo0kfU5ferP0-c=2W0c!PE_Chu{H}MpqA-3j!;I5(l6if)h|0U41)L9gJE5
z?GS8$(&*}8XC1-RPk?p^W<Y6l^_?(<P`U!zA!vZo=<2(m>R?m@v_sGVrP0-c=DlEQ
zL39VSLofkKqpJtak%82~@C0awU;&gyR}Y#`gQ*44(DQv=oS@+Y5(8mKt;WD`iyg#c
zVAz1xu&ja>G^Wr5+=p&3MB6Ai8UiCS1a@;UFs$KVV0ehy+#8W$F&I1ziUwVz$~h6e
zARi2l9CgEJ2n>=C&_!O@F-Y7rlAQr+k0F;|`Je?!BRN1u{XQB3ctQYu91xGvQ7Jlv
z0DAd#ZM6KNL#U5hF;qhUeO_p&`eV4b8@>E811$z0E}k3p$7l#pIRqp|`;Sx(QOb;i
zm0uN%rL)-2=%xy`)u%H|S99(1n5d?s<k}UI86>88X|{>xCWWSBZKl%}NGItFUeq`?
zsaLh>m{1aDR>9R9Nt_o2!jmJDyH#DBMV>$Yb!YDNvz8}q|IdFuyYk-8Z*Sh+tS-KO
z?b_Z|#^<Y_OyB#qUZHMJ?&7Umc^^GroVKOx^y29`9Zf;$9~YJM#B+VFIQXvX>Z48X
zHog`(z<84-xogqBzaF_;tnY8g+i{0u@y3uV<!e?)&#(Bgmut=b)Ya~HUTZT%@;b++
zNxi%5HhbI6DBp&hrbI3FmAj&(*Y1mMWZuNtwo>Nnv&7sj-+D^hYyQ4txXE&OOX7@O
z-!m6~-5>q{q`XTwGuI+#c13)2GRy3|P>9&&{I$O}nnmsXy=_sMgV{lY(2JLB;-%OA
z?P6k25$3skEb*1uZ9lgS|AbiiPN+#N^F3tv<yOY!W-gftz6L(C+g4g-R?l5ow;ZB6
z?O{gU%HC^oA1nf`6=o;QFzcW8F7#H$-*OQP5LZrkSz^0v3d`(u!C=d8Dal-YzV+fO
zUOD|Nux-;WUYfJG_u9Rcpg=hs@=pEk{H+&%EiS(@6XczccT9(KZ)IHm3$gs^qV?bI
zdAe=*brj0KmAh|U@3p+Gn^(w!9QQQn?YawYukDvY4Aa~2P%(GQ%PX-ZY9N13eZ6E?
ztn}J{Yr!rL-l;Rod7grD#BVEzKa0xB?Ju~!F25Oi1?=x4KR)xU#lGJ)3c#9!H{7b&
zUg3YA<7+F_a-S@_RlV2##X_Q@=HK0qyQfclmcR1fTBw4@a&i~kW`Dcu3^DN2%2565
zZmZcL*2NyalCynzPr_SMsOk%4^Dnx+zCKR_95BI_PKRgS%DDVn6daDH7+3yV&>JSd
zar!wHP^4^H|E%{`##?_#D4#O9D_4D*<Jx`)h+A6t<FC5SHe2ud7L*9I`d$U)Zu#{X
zV$ag0KbM<DRe!Rx5(Y((m-lzQ+%5mYA(H-FmkSaq{lh<e$%44D_HaOLxb)h48y`f1
z&8jLgF}a?-cx!wBBs%qd?zSB@?@#!<6Jo&c_QW3(%x--VhiGR$6e1g}=52g6KM1Ve
z%7EwW`7IZ3?dq{w4URGY&bD`|w=({kLp;LAb$LVS&Br!PzTsNnV3(C?dv*C%#@GFj
zVBq5nE{?K{%3StsFT}p~wuQgvnnl%TSk`R5#dwqD@RutY5^?K$&He^}gW}}|gV5d6
z9^3UNeD#IuXkYkql3CPl&z}luTS1xVWk<#fy-RMd?U#X5O-ag(w)%|4zUou@P0oVD
zdhw!#RlCii{&GY7wD|CqH{s`6D_OGR7lL(s*<w&~|B~D5?fUA+*MM@=F^xM4|EAQN
zHYM7t{U}&_D(BZ4CiWC-o!f~w`gyBUpT3#xzV(+5E8mH-8xnKR@Exv;Sz{_6Fmr2t
z$b*KQ_Ec-0-yaj-_vt*Zp0)SAh=s!K#N-kqYo6bn8_oWNq?)~r)a$Z5$9R)Zda-~t
z&+p60YpWKXTC*>_k$IEsw6_Lt)Z6ynTohCKdBwFjkdOGJ7f-&Kc*DPI>pNks&Hv)L
zWG1}bkkg)MZ~5l1=2I*G&HnGhK-TtNJo(1p&GI8rU$du1)&}g9JW~jEVC#*<8?z&_
z;}#ob?_Yj^@h0EtGKp`&hwr@&d1v-{#kKnjKw=O(<_l&Y77tCk?Dq7V@o`I#yG4@P
z6YX`ct@<3A_O)FU<dvIzhwG|t%-+B1%&p|pXLZj)>;MIV+nUn+u$f=&K_wSB5N0OI
zf3wuP_dGDSY9%QAa(evI+7s*3)`WgvdFEB_skOy@#SYsJAJaI(cepNWjcNGGGq-kw
z!wY0d*^S-*SDg8E`E;)(k|lm?c*|FuS>_1~tmkcet=8Om`aE#$zEF^unVb6N!yD#{
zXP@m4Nqd{8&NlBcD7*~0HXhzEUq1WpS*^|gPQ!w5zrvft%br@N$X#954fY%)o?17C
zebw5`x<dX6S3GBtovWq1+dtQd_vb|HJACP#*n-;jupeF%K5I%HKKn26NxV$<-4$ig
z4R!xN*;@F&&~`kxJfp|&Q{t0&q3pxjSM#_HYNNGlR8RaAd0Hd!^L*EztoBc~Ht)}g
zE!gJ=5$}DN_TS)>e(%<2#aY`~GxpX@KQH_XY{BBmH4;CkAB`&Cb}N_h7k^gkdKSz1
zi{|hv|NnGC{@;?a=!TaoK5d!!ZNJcynyzCnQvMr!THmqtmD$$YOjo{VBUGO{k^fE0
z-}>{r9L8JXnGkWqJ8$IO{%uZP`+FA1aNX0wmu(h;%{R0<**>v8bPezH)px}bel3Cc
z_S8><PwV^syix=izI<Bxt}XwkDm)K1aZ~@@KC#|+jp_Tq-MS9HrPqD{2~YW)_#|HX
z=iP%(XBOR?tLyMT3L<XOHv8}46ZL*;c<+br);+MLxKeMf`WKK66E}5`4c%K`xq)o>
zo&j;@9De2fy?>Tzoj(e8J@=MF;Z1Y=FG}Qn<5&LwE8?}?qO$0QfB8`lK>@Gv{P2nW
zil5papPF2K>pzoE{zXUxChxdu-}C4Bv8b;Nw{jU@)t<imAH}c9YfSZnL9X|OxDw=g
zd;L$lb5Gy5dv^CG)0c2)U_t`Tcca;zwV=RHL@2*6^YhRAeP91S*1GY3|3B_!N1gmC
z7)x)YzfnyUJ^t~jOjB~s#v>7ngmM;NjBwHk?AdrEFqxAvyvu}@O>^A?u19*ir`(k-
zo1QAZ#AEWKQw<@SmzH!q@R%qS-DBtC?AEKh@u<+>*pIL0OrHI_-cV=$^E2OVK7R|7
zzn6OQP5$qt)_=e6*Waq@z3TtX&|lxpf>xieOyVd=xbSh?X3oEfuK(?gugh<%+^wE7
zbI;GxzR2IxVvl-H`?H_#h*g-0hwS2ik#kG4i_iRWH?|OX9kC|)3V(R*jfcrqe&JgS
zBiY!KWVNd%?@qqbe<89ox-atYmZgs}=Q3~X6Xgv$zM|eQ{j8j2+FMmgnT}GOYlg2*
zhra(eEBV^~?~fl9lq+1@xXAHcU*P{e=h}jc&m22$1ht_*WN+2qJdh2)X7GUA@kOot
z_zL-@o1g8I+5C-lclYvAkSN%7taD3q`y%UWK`!Yo?fG?a760vb3!j&SN|&E|;IJmy
zHNU95=a+bCSnP!4u>W?=%p3cTTDjG>zB=ny{YvuPy}T0$RbF#T?avv$j{nK|am%^J
zh~r&sE0eGEU%a`&=6KE?W0=;dudZ(XcBy#Rs;j?K3P64^-)ZeuyY<{G?V>Zc>eE0%
z5yw}^ude#uxc4hh`TO%{5H=KANz0u#lrF#HZnL%+q}TD4#Mj5AVY2)C=LMg6)ea7U
zi?gp7zEXd&rt;*zs<x`?43O8ft0r5y)%Kp-HM{uCFZK88^R8J6ypFi2P}=h=YA&yL
z@tI?5VPX6_Wc|M>$zl6nPeuyk_a)bI&KYLcPdnHcaXd+OaowU<ceCx5J}(J<-H#Mb
zRdacr`)>ZY^Y`dwD^S!*E$IuqzhHCLf0@m{W`UwY?6t(#-c{zeWfnXy3C*^j1TwDc
z-jjC{UniGbn>#!C+J7}zI8A<aIGX>8@txY3=}4+d!~QB9UsD&UjpT#0xu)&UXKdY`
z4h_5fz`fPK96|A4cOGPE7&!jtFWUU@lFa6h`I6AYw0u?E-uIWQ+IC-Go(j^r2ow>2
zy{)qACMI9o_b>q|68$enZr3`#X1_huaeqs$wu{Rx0wojvQ;6u1v|62a!f^F=>ky>q
zF)qFKW>WICxEUZ{B*`xRmwI)#{gWAA-&^>|yaT7h6L$??rN7L%F(cXRp9;)|oU5zD
zYu(PjToooi6QmOoO2sAD?o3U-W>@{~^Fc%!JAXBDdwySJeJUubL8-WM761QJhSK&H
zzYCS_$aa*j$(XP^`O5x9n_sPw*<1xqP#gOK?c={b^W7Y8wQO}%wG&7yBrED)i2PpA
z7rFoMo8|q8ycoY?^TQ1?n?Ftig(xT*yF=E`Gx{x?x@*<d-ALiL+A3S`oMHC=IUq+T
z$u8a(`t{x2&GDIs?*7&Vh5VWqzMwoi>s;IX=QDcO&;6TYj7ZAerD1DN7_NSQ5)`Hz
z`vTvG=Fc<#&6}}n)mC;yX89{LxAgk68DGtQ2WCV)2W8UcD~7M8zsmWM(RZ^V4&)P1
zAY2b!UpG5BY=7>z$-z6U!O6Y+_=@;to1Yz#+5D{?<QPzyGJW;Ey*1x{Uj-#)q|7X8
zCH?M%q4a#i-;UV`OTu5Rxut!4%|0DiG-+L(z5n@)t!KFkk*rv|`P~<p&HtvuthgUg
zTk+V-cKPaCJFGw&MfaY(YxwH=i#1iCw0zMJsmP08z4_S#na$sh!Gig9$oqL)yw1N|
zb?yBuP>@2>!Ru19m?_C-{|rIK9kp`%J9}05`<S)qJF53;f`lM8n7>%_GqW%9_p4;2
z{J1|b{cfOT+TXh{*O{-hvw3a-c3nIu>>#Ni|5{{eX<y{u_b`V<hveIp?3wrQ-P@ZW
zozQrkc5YYv(-~j$?HrMkd~~VVnv;go@~~*!@B1~Y-4v7|Emur`${Ej5Xz%QryN@sV
z|68l<ytAdVo4>8hHZ{L{LEP!i`GAYF-yFYD-+pe_-Qv5?7QFx5qTjM({=yyd$^W-+
zt^eV@?zT+N`^e=xKm6rZe3!oBh3`K3<o|Q6R{Md>`L)sJAxK!`-SHd$W#8t<U4Lm=
zJNK;PZX^F6P947`wX#0{mH7SsQe=7ly4x~e&f5nTU*@e<Jo1-EbnnT!p1<X<b1V$5
z=kgtU{Rv@h`PTin%J$B?eEp`(73=44=gTMmU$FVvpP22I8THfEYe0q^{VVZ%|MI_g
zZ=1i6+*i-{>ucp9u$Ka7zdwGXzW-dC{L@`$5B})toi#h}1<0K%Uif}XezQM3{pzQf
z?UpOvpAp_C@TjisQC-j9@0s<V&rJR@XWzMJ3+`H@IRAQNc_qmC|4$&4-@pFv;osR`
zc<$S?KbAzc_J6~<RmVXgdGBM&Szq%ntpeYTL%yi}HvDG4V)L^dAm_^?S<9bU|NYU~
zD!=&j;tTSVAl?$)`m?U*FaPVD9h#u9$?AT#O!^l%IIAZAmG~`xF><TP^<2JJYoYeu
z>EHN2@NIwnf5vkUexR?SWdJQd0uA86XxK3r(AAX;ux+8R?VHfm(G1X4%;4i<K>A_Z
zt6<wSVB0BR)19#G2^EZQZ$##ZmWmwz=*=z4+sm|-gF|FR!IDi;EGhckSD4nimQ6Ty
zEto}T(-c=NYmNww0LGO|8(J41oVsG-f+PdI9WIO9ngW{(E%heum?&j><BQdkTkkjL
z8}q+?tA2mx@ALY#vpiI)JdaMi#ozntFSqIbqDRUTXV@!Gy!Z9BgIBXvK&`7vknrE<
zSu+CEf9;<qEwldFjf>hA_fk3f#KVhO0}E!I4lKC0!`FAQ@0-}E(u=xT%8#-NNb`F2
z*y(gU%FTRy%l)X^yell*w5+oZ3O;%o>1L%>weCjy&2p3Y`7Qbr%B~;!B09_0%k8;%
z=BCY(R&T3h&)i#BSyx;Vw4c>SJM-FlRV#b%$w#j*zI<$L!Z($(#?gx>=V$C%#%q;5
zm+A9k6UqBxcTZkg!TO)2@Rzpo?Q4AIRZ-P5_PAc2x$=ch5%ZbTnU|I`Tm7Ap#%;Ce
zvrFSiVH4RpWvkzlo*kWg!Sl4qjC~%P4b2yR<~F%`OLDH4_>8@NpZBbL@g#rNySHuU
zs><4bO3pg-zR_>}F3Gg^%y|?0{Prz)*){LQnwz=?_HL8s#J%|9J}dWC@44XL2cDSD
z^4lvq_pjsUP5WLfakrnb&wsP!-;0%p7-q;UdU>Gn#hc(+;=E4kp3RBBc+R?|Eht`o
z@|WU^EpG#6?DhHFdHBLf*IDl*`p)gT!}j^I$w~P}zsJUj+vY#3&39K%&)lWKJvY`^
z=$U)wBulQjb-tUgF1}c~I%kH=@|QbgO1^QQ^?v7|p1ISYc5<5Wu?3&KO*Hol&;2IH
zy}3Ul&Vp~QN?-fS$0pKdjC1EN_x$Kmaw|#bOx%LXcPS-Bjmx5!E!f-_oLJYTR$0FA
z^8So%=~7ny=bKMT&N{bSdTy+x?6XjllQSCq?3dsCH1mZ`rI=6OMU!eXtKW^!q(5Ku
zTy^M+%GqTi3qEJfnsU~0`Q7)8H>*wbXLS1sJv;bC;Vj!3_vg<uf=cwQa-%plFVCE}
zm0_-h@AbRw=Yqrz{bBJ{({ozB|MHp=L#y|DSk#thTJ7eTdsMfzlGXQ@p7Zkg7jhmJ
zmDGLZnjy3FWykM}H^WWhw+hYOqSf}&+eG>|gVq0;YO1R*WG=sIP~H6O^7RFiy-n_J
z7n}Pviv2TxX3!3~xn{Q*j2HjB(EH+vjc<6KQ~Fu?mY<(ZPTuYDt6y~UneK}{hr?#f
zS@d#C`-PkHP434w`CZz6@C);-vv>Q>Nl!bpq-$Ao`GU>oGxp`ETY27Nz5G1GY!}bm
zdjHA2{EIJt+nxAL>#X{FNA;^FcejeqEv;sG_Wsh61bM660KU!4Chz}iSXsJXRuz4*
z=49Ord)LWpyh?uQp1mgL@I06A&=VG4bs4Ah{TFL~C%;%yEb4QA+08YFU+lRj81dnr
z(YMryT#<hq;)G$xALg5vGOQ~Y-%i;7Iy_b6xc$7~BF?C$tb&4!odS;C&d$z!g^C>u
zTr&zacIY~K3p9E=mvb0xm7liXc(+B%jSE6sOztdLdeG!_%_jLc-_2J}EnM*ZdQI{9
zhXwx{9{l7vKmGmdJ@dc6p0|AWw_jOr!~5>letv)7Vt4eL8Ee8`f7s7*!G6=@v{{_K
z2V0tMU$gp<yyC%s(`7#<=Enb*w`R24^X#^2!^a-~46Zfv6<@0_{;&OG`S0>aF>80s
zWii<QLU<O_>+}^1X8)div0i9%+NZZd4%O%No=nrfA`)Tm%xeBpeer*hw7Zx0#WKC<
z|J}0ez%R*7bw<DTx7A1NZ7ja&$0Q;Dozu7Rt8vJMvwu&%u<yOO<@k1QhR?3^FMtfV
z=I{33{DV3F-p<Q!<Q;x@ft|6iDes-X+yCt|O4Vz2vs}3UU99M|cox^1`3sw7f0=x-
zo^A8P%KLhZJ@bDd>}#&y^*MLW=`x`Mf2JTC5_x9U;(f798TmipYOkl=UA((+)?elq
z<v+oKS}&gcOZigYf3x7+c5jBq&F>Yq*DM72L~Dnqz2x8L2D7*QEaOc0cSzlY_1gIf
zUngJu&-~|XTX~`0ZBK@`o9z~AZm4VH+O^YO@~?f;wc0ngRU7_&vpu03zXB|gcgf%F
zzyAzg|6gUC2{w=rJ@M=0i~o}UUUubAXL#2BT`B#WKPZd}CWFFRZu7Iy`+AI9me)E3
zC;a7!w3qyA{3mud|MN|ASuX5<fE*=^n;#m3f};Gbd)`kca5xuCt~2_jpJbN%^{vo>
zKMTQu0ghPlAG7O0;b~XKV7a*-5}bGD_x$soQM#M|LCh`HhJSm&suwop{W|$#f6vVg
zFBSYA^C#5Y1baNd(fn`9m;YTizbpm0y0?8o^u7R)14ZvFncwqIdxq)xpJkj2{vH9V
z1_zV$pS!Z}AI5B(%<xMWIhgh*o5_59D|DdZE?jNB=)cU*iF4BaGdz2Xtn|7;_PJkW
zoC|6n)S{+3tF*h`pj2lMNna@-w=w=NcrOS}1wSAu8k&^m&+wH8MPb}`MwF!VUp(!s
zFerI^hol5h(#<~*U;mZ$755eK75V}33-&qGHvVG5De&QcePcbN+P$KK6^w5$Y~($l
zz{BFud?>Hy;J@GpA{;@AOYKe{-JfDE{&8;Em5Dzse@Rz&p15ml6xV+({BFGvBNGdU
zfPzB<13|`z=me%yj*RQT)^IW?@UR@<SoAypp+uirnV|H+Z$VGzPk5wsZlz|Q+{R+h
zyE*0GtES(J;3Up)hX#g5{zlew&zYG)jhghFE2T2WKQ2}XR1RDq#&~+oht{ZRt_O^k
zFa@2~+03(YO<i|`tD9ud=@q)Grvz#SoYtu^wdj=MT$dnYp~T2^aK%B6I@yUkINW&6
z*3PT5>#u##d|$Qv`~K^D-%qc%<JT8jS?lri;H>T$R`rfwqcgv5zGp9=y^d?u!+1}X
zpt$J@+HDW)t<<XW3LoF9?rM6oV((&&8;{ydJw<G`2{zgIFL2KG@BMdVpW25*5mt-O
zp5^!@)#%%C_>jKviD_yP8PB5y-qbRk&+BTvlHImoH|Lu2Vx2!ajXHZoxpO7@6a0lM
zqPTUQC^fFj>1^Ga(fYuhBPAwV=ZiYi?Hg>|{yE(W?}c7`VbRekl5PqY@K|3K@gkFH
z_H{Y#d<BkAB8_~n8d_@|AN-WvIN@0*$1kJC-d(cX`&|@XPu>vlvLzv3up%s7=LtX4
z-FvLuukVQ-^cOgBCokf~*K&m$j7<K%$DHicFQnexxIwL4=|>`y<V9xgzkLU~s*@ga
z?iG0A%QUw}T<n{6<MgEoig&A&U%0cFt>zbt+ppjBM)^YB-whl5Ic(nN>8Nb8Z?bbw
za1Pp7pg!SH^@a=Am<8U1GM$&{XpK?3aJG5F2EXr0KMI*n%JsBf^L5@3%QCM>UaV-E
zgZPr<L~~xp56&!;%tW~NIwWYfB|iLkSnvr)W1nne>tBxts>&ND9N}T9Z9lNA_t2sB
zLLNsmbhbQ~V6k?6urqn%1h&TlUp$$lE#$cE{S|)BjL6u`$?;97G2Q2glb+y<U7isU
zzfW@1^*C&LcigF7eZwcsh>9Yyra1~1Ecp`>ulu@w&|>nO+tym=mf*cS`C*~4z!QT;
zzWJT4wcZb0Z$@}DDZP+pG1Fw{-tVN4x_QHeb^J;<+F9lqOLMQZXLtNA6mfrN#1BiR
zlhqvDxpTS`&I?uive7xhS@QL8M8xW!9CcG1jKmWb?iaYRtTm$Ik`jxh-vZ;$8#c^1
z$`R+Opy|Egf-#F(y{1^*V<Q$zmj%u82M_I2`Ox$<!lTBnX`fR<vfR-_^$HUvG3ji1
z%*9eY`@r&bN1WCPy*L*d5z&8@qi&Kz5%<Om(sIrQ${!eABTiH@#m4otn#MLi&=>MJ
z7Nzs0nkjlWA9wzCImbT17gKm6ZsfD<Tg$@jd9P!Eyzq@TK{|hK`EmrgF8FJnoH$?T
z$0Xedk2_&3wT%bbrY1hTFW_<UaYV-LT#j#6js7~voz@Awxb`+8V!ABHKgPx<Z;m*v
zU+J*HmgU}aPMs$*je4t&9FltL^dX%?rPf^RmuTbKTg|Q8?{q7;bC&$E(|ME5q<^=+
zRV7sMMKz1rV+OIf=L(1J*H3i$ue9Q))t(mCLrjT{8yq4ObOgjWxN&hG)bBVjf79uG
F4*}VZ0RaF2

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/TopModule_tb_vhdl.prj b/game.sim/sim_1/behav/xsim/TopModule_tb_vhdl.prj
new file mode 100644
index 0000000..07ee4b6
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/TopModule_tb_vhdl.prj
@@ -0,0 +1,12 @@
+# compile vhdl design source files
+vhdl xil_defaultlib  \
+"../../../../game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl" \
+"../../../../game.srcs/sources_1/new/TopModule.vhd" \
+"../../../../game.srcs/sources_1/new/background.vhd" \
+"../../../../game.srcs/sources_1/new/priority.vhd" \
+"../../../../game.srcs/sources_1/new/runner.vhd" \
+"../../../../game.srcs/sources_1/new/vga.vhd" \
+"../../../../game.srcs/sim_1/new/TopModule_tb.vhd" \
+
+# Do not sort compile order
+nosort
diff --git a/game.sim/sim_1/behav/xsim/TopModule_tb_vlog.prj b/game.sim/sim_1/behav/xsim/TopModule_tb_vlog.prj
new file mode 100644
index 0000000..76b7b44
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/TopModule_tb_vlog.prj
@@ -0,0 +1,7 @@
+# compile verilog/system verilog design source files
+
+# compile glbl module
+verilog xil_defaultlib "glbl.v"
+
+# Do not sort compile order
+nosort
diff --git a/game.sim/sim_1/behav/xsim/compile.log b/game.sim/sim_1/behav/xsim/compile.log
new file mode 100644
index 0000000..1b4ecda
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/compile.log
@@ -0,0 +1,2 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/home/prasic/game/game.srcs/sources_1/new/runner.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'runner'
diff --git a/game.sim/sim_1/behav/xsim/compile.sh b/game.sim/sim_1/behav/xsim/compile.sh
new file mode 100755
index 0000000..f5df861
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/compile.sh
@@ -0,0 +1,27 @@
+#!/bin/bash -f
+# ****************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : compile.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Script for compiling the simulation design source files
+#
+# Generated by Vivado on Tue Feb 28 13:01:27 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+#
+# usage: compile.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# compile Verilog/System Verilog design sources
+echo "xvlog --incr --relax -prj TopModule_tb_vlog.prj"
+xvlog --incr --relax -prj TopModule_tb_vlog.prj 2>&1 | tee compile.log
+
+# compile VHDL design sources
+echo "xvhdl --incr --relax -prj TopModule_tb_vhdl.prj"
+xvhdl --incr --relax -prj TopModule_tb_vhdl.prj 2>&1 | tee -a compile.log
+
+echo "Waiting for jobs to finish..."
+echo "No pending jobs, compilation finished."
diff --git a/game.sim/sim_1/behav/xsim/elaborate.log b/game.sim/sim_1/behav/xsim/elaborate.log
new file mode 100644
index 0000000..2d64497
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/elaborate.log
@@ -0,0 +1,34 @@
+Vivado Simulator v2022.2
+Copyright 1986-1999, 2001-2022 Xilinx, Inc. All Rights Reserved.
+Running: /opt/Xilinx/Vivado/2022.2/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot TopModule_tb_behav xil_defaultlib.TopModule_tb xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package unisim.vcomponents
+Compiling package ieee.std_logic_arith
+Compiling package ieee.std_logic_signed
+Compiling package ieee.vital_timing
+Compiling package ieee.vital_primitives
+Compiling package unisim.vpkg
+Compiling module xil_defaultlib.glbl
+Compiling architecture bufg_v of entity unisim.BUFG [bufg_default]
+Compiling architecture ibuf_v of entity unisim.IBUF [\IBUF(1,4)(1,9)(1,1)(1,4)(1,7)\]
+Compiling architecture mmcme2_adv_v of entity unisim.MMCME2_ADV [\MMCME2_ADV(clkfbout_mult_f=9.12...]
+Compiling architecture lut1_v of entity unisim.LUT1 [\LUT1(init="0001")(0,3)\]
+Compiling architecture structure of entity xil_defaultlib.clk_wiz_0_clk_wiz [clk_wiz_0_clk_wiz_default]
+Compiling architecture structure of entity xil_defaultlib.clk_wiz_0 [clk_wiz_0_default]
+Compiling architecture behavioral of entity xil_defaultlib.vga [vga_default]
+Compiling architecture behavioral of entity xil_defaultlib.priority [priority_default]
+Compiling architecture behavioral of entity xil_defaultlib.runner [runner_default]
+Compiling architecture behavioral of entity xil_defaultlib.background [background_default]
+Compiling architecture behavioral of entity xil_defaultlib.TopModule [topmodule_default]
+Compiling architecture test of entity xil_defaultlib.topmodule_tb
+Built simulation snapshot TopModule_tb_behav
diff --git a/game.sim/sim_1/behav/xsim/elaborate.sh b/game.sim/sim_1/behav/xsim/elaborate.sh
new file mode 100755
index 0000000..a657024
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/elaborate.sh
@@ -0,0 +1,21 @@
+#!/bin/bash -f
+# ****************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : elaborate.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Script for elaborating the compiled design
+#
+# Generated by Vivado on Tue Feb 28 13:01:30 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+#
+# usage: elaborate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# elaborate design
+echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot TopModule_tb_behav xil_defaultlib.TopModule_tb xil_defaultlib.glbl -log elaborate.log"
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot TopModule_tb_behav xil_defaultlib.TopModule_tb xil_defaultlib.glbl -log elaborate.log
+
diff --git a/game.sim/sim_1/behav/xsim/glbl.v b/game.sim/sim_1/behav/xsim/glbl.v
new file mode 100644
index 0000000..ed3b249
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/glbl.v
@@ -0,0 +1,84 @@
+// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
+`ifndef GLBL
+`define GLBL
+`timescale  1 ps / 1 ps
+
+module glbl ();
+
+    parameter ROC_WIDTH = 100000;
+    parameter TOC_WIDTH = 0;
+    parameter GRES_WIDTH = 10000;
+    parameter GRES_START = 10000;
+
+//--------   STARTUP Globals --------------
+    wire GSR;
+    wire GTS;
+    wire GWE;
+    wire PRLD;
+    wire GRESTORE;
+    tri1 p_up_tmp;
+    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+    wire PROGB_GLBL;
+    wire CCLKO_GLBL;
+    wire FCSBO_GLBL;
+    wire [3:0] DO_GLBL;
+    wire [3:0] DI_GLBL;
+   
+    reg GSR_int;
+    reg GTS_int;
+    reg PRLD_int;
+    reg GRESTORE_int;
+
+//--------   JTAG Globals --------------
+    wire JTAG_TDO_GLBL;
+    wire JTAG_TCK_GLBL;
+    wire JTAG_TDI_GLBL;
+    wire JTAG_TMS_GLBL;
+    wire JTAG_TRST_GLBL;
+
+    reg JTAG_CAPTURE_GLBL;
+    reg JTAG_RESET_GLBL;
+    reg JTAG_SHIFT_GLBL;
+    reg JTAG_UPDATE_GLBL;
+    reg JTAG_RUNTEST_GLBL;
+
+    reg JTAG_SEL1_GLBL = 0;
+    reg JTAG_SEL2_GLBL = 0 ;
+    reg JTAG_SEL3_GLBL = 0;
+    reg JTAG_SEL4_GLBL = 0;
+
+    reg JTAG_USER_TDO1_GLBL = 1'bz;
+    reg JTAG_USER_TDO2_GLBL = 1'bz;
+    reg JTAG_USER_TDO3_GLBL = 1'bz;
+    reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+    assign (strong1, weak0) GSR = GSR_int;
+    assign (strong1, weak0) GTS = GTS_int;
+    assign (weak1, weak0) PRLD = PRLD_int;
+    assign (strong1, weak0) GRESTORE = GRESTORE_int;
+
+    initial begin
+	GSR_int = 1'b1;
+	PRLD_int = 1'b1;
+	#(ROC_WIDTH)
+	GSR_int = 1'b0;
+	PRLD_int = 1'b0;
+    end
+
+    initial begin
+	GTS_int = 1'b1;
+	#(TOC_WIDTH)
+	GTS_int = 1'b0;
+    end
+
+    initial begin 
+	GRESTORE_int = 1'b0;
+	#(GRES_START);
+	GRESTORE_int = 1'b1;
+	#(GRES_WIDTH);
+	GRESTORE_int = 1'b0;
+    end
+
+endmodule
+`endif
diff --git a/game.sim/sim_1/behav/xsim/simulate.log b/game.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000..3a14ee6
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/simulate.log
@@ -0,0 +1 @@
+Time resolution is 1 ps
diff --git a/game.sim/sim_1/behav/xsim/simulate.sh b/game.sim/sim_1/behav/xsim/simulate.sh
new file mode 100755
index 0000000..a9cea1b
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/simulate.sh
@@ -0,0 +1,21 @@
+#!/bin/bash -f
+# ****************************************************************************
+# Vivado (TM) v2022.2 (64-bit)
+#
+# Filename    : simulate.sh
+# Simulator   : Xilinx Vivado Simulator
+# Description : Script for simulating the design by launching the simulator
+#
+# Generated by Vivado on Tue Feb 28 13:01:34 CET 2023
+# SW Build 3671981 on Fri Oct 14 04:59:54 MDT 2022
+#
+# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
+#
+# usage: simulate.sh
+#
+# ****************************************************************************
+set -Eeuo pipefail
+# simulate design
+echo "xsim TopModule_tb_behav -key {Behavioral:sim_1:Functional:TopModule_tb} -tclbatch TopModule_tb.tcl -view /home/prasic/game/TopModule_tb_behav.wcfg -log simulate.log"
+xsim TopModule_tb_behav -key {Behavioral:sim_1:Functional:TopModule_tb} -tclbatch TopModule_tb.tcl -view /home/prasic/game/TopModule_tb_behav.wcfg -log simulate.log
+
diff --git a/game.sim/sim_1/behav/xsim/xelab.pb b/game.sim/sim_1/behav/xsim/xelab.pb
new file mode 100644
index 0000000000000000000000000000000000000000..9025e1fbd4137473e90bdb089c0c532d30c21fab
GIT binary patch
literal 4207
zcmd<e=VDVWR!}YG5@3;HHZe5N;w;Y0EzL<R$uBZe;SvbTEK5wuR|tlSv8SY_C6?xt
zSiu-Z(p>CiMg~SkdPYVtF}P$DyNR)`v8B0r215Z$1<M3R1_36nLM~>oeaKdYa5*^V
z7gQEyre~BW7+PAG=^9#ETIwhm85kJqf=pM4$jr&itI$#K%uCi&aLmb32m+}sRtQQh
zPAw`+P0_=&9of>;Ok6xcrFnUodFfU#?;0B$BfDW56IUaXettoTKEy74i0Ab|*6SJR
zCuQd8m*$lhB^DH<rs(J7RhXIR<?C0Z<|HO5=;~(XB^N2^>ZYV7m8L6{R2F0=C*~;V
z>K3KuBvvTs>gJXxSSaZFC{$$T#6v?cCo>5oQks`poS9o3UzS<~<>n?P7v;nF#i_}q
zMX8wuAi0WyTm@a-;=IIy;*9(fg^>IL-~5!)oYeS|r1+%NjKnfD<MohLpv$G_B;_dR
z=H#a<fP9c&lvt9g2jXD{BP0?{Oe~SZGlWY#v^X;_T|u>2p*Sb8ELEW-qbM~o1(J}A
z&CQWRR+Nj;0;jo_#>nP6amfUiBo>u`j4m!oEXhoUyDu|851dMkO^lEXhq}(x0@)OI
zF2#Vv;$nr6jH3L~^b7^R{Jh}IDv+7}1tpoenN_JpV57_|Owo-pF*Qa>t<GGs&iT0o
zIjJS7DGE4TWMqMyZy+u*wlqU_kuR4z!e5Zwl$oEWkdj!EsF0SEU#^gtmzYyooCys-
z6GL=&8Jn1*xXX`A1Ib+kO*AsM#4yp=(iGW=DO{={nYpQ;9F?C_S^{!jT7HoNv^)TD
zGvOtLv55(iaYo`?%!UQUIHTCi0NI{cE?$t!LHQY!e83T5Y=P`NBMmN*g2d$P#Pn2!
z;*u1-;*!L?l*FPGTo#xbpm-#jumx&dLdX`Bq*jz<<`Zdr3Sr~*xujvnXQrm6>J^uy
z#OLIvXC}uR8k(69X-^_ydvv%YQ0&Po%}p)JOpY%uAvUa%30tDaB?YqtQdsGgCFkcB
z<maX4mEeqXv?xg>Y>fez49ps|FiR}TEXg1;(9#H7WXL6p!=mEM^t@DJLoSK1O}bo?
zC^nU4mL%rHg9@O$blUj`VoO0$W^QIlW?3q+Q4~YiKk8h<F#o_(LP0jMK1t>hMr8Y3
zaK)vdS`2P>7$BFzpv;e2P3nQ_DlS|BW@(C2fiyA__J<FbMPgBMMrKKBa!F}XszOp}
zT6%n$LVlV;YF<fZNu>hRcTS;h?h4UhS!ksli^~;g>3s?ju88OIMsY=EQfV4CS9m&w
zx+z4*fG7<^9TQCrLmf*HVF)6?TyxDBVjZ@G2#4i!MWZ+@H#a#q)hIqOr3{<%e0`mL
zU5(-$UBVQiV-OsT<ecoZr2Nv7_}tQ*lK3=ROFcs)Jv}{Q9okETLnF9cP#l_5T4IRJ
zi9Vqrh6>R!AW9=MFSEo}$-uzCP)Sq6K*yN)pj$?Siwe17QCw79Qd9~_V~E6smbjC1
zvg6A$tKtpfp)7@HC<7q`YcmoXc+-e*Y9f~}*-k}vB8fSpn+T_caXFzl4cxfR%r8pJ
zLCT|O!C01_s1RM2o=9p?O(nuT30yuTyQiQiGrtH_mPEr?q`GV(5iX12@*vq|MWuOp
zsYMFW5Smn1%_PEADO>?0yDBL$IXk^5zcepJAsWFZ)pgT}a9t9YAIYwR_oNh}OY#dK
zwHK*wYaqgH-dyG=ZYxPGF2SAV5l$&dBC=x2=8|<P&CDr5Zq~zll;Cc;6tj`J1#;tF
jjY|mbeRx+BmvzRLCdh4lJ}xfTycC6?)a3l46ahv6V=D>a

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/Compile_Options.txt b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/Compile_Options.txt
new file mode 100644
index 0000000..5944f9e
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/Compile_Options.txt
@@ -0,0 +1 @@
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "TopModule_tb_behav" "xil_defaultlib.TopModule_tb" "xil_defaultlib.glbl" -log "elaborate.log" 
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/TempBreakPointFile.txt b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/TempBreakPointFile.txt
new file mode 100644
index 0000000..fdbc612
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/TempBreakPointFile.txt
@@ -0,0 +1 @@
+Breakpoint File Version 1.0
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_0.lnx64.o b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_0.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..b85db7b85b9595ae2218fa9fbec616676b063ce0
GIT binary patch
literal 224648
zcmb<-^>JfjWMqH=Mg}_u1P><4z|fJ!4Cgq2Sq=<542%qp;f`UBp^hQJ9-WtuIYqEE
zR|PPZ+WB->J9JljbQk+{2ZuEO`rrA3-{n~IFGk1CZ!Y{U-z~qp@cVsm>HPQtWRi<^
zv4;!)`r;5@#(yvS85kIRdW$1GdYwHyx;Z?$9XLEXy%Rh-oin<f6FQw^y1jGw*B|6x
z@10_KqCUm5^S@`~af>O;3=<%Lf17iJPp`@WpYCjg3%b2yz&3S*w5N0)(hm0EUmqOe
z$iFQ_l);zrj}!kk5v7jc2+I>CLfyeRj2AkcWBAt};$MHt@<iQQ$1b*L#~8<0$2iCM
z!^fPQ82Gn2Ie7H49N^#P<><lqlYd(a<D@Cf3|%c2ol}?@I-HyiL)-!)v8eBAVSEf%
zhh5%eD$JZ?PL5#vx>_t+!GexRhEJF<Aru|~0Un)~yR#B{UH`ipertW}$nWv4(<$S)
zQw}JMJF^OoJC*$Z|NnpIdvH)Vb{_YDGCezw7=H5r@n3<Zy0a5n-@5R7e*J&er}H~l
z$fMJ_!lU!JPv<kA&QD+w&(6D^&2JkzS2r*)FdT1g0a?Ppz~IukxdSZF!@$4*vd*Ks
z8KlCavv~>w1A|9*Gf3K_vw02!14B1hth0Fnh~2$_fq?-Wv)wZo7#J*1)akrj$iM)L
zS5R1jaQ9@8I>rkSU9d>1ukz_m4(P7V=q}Fb4le2L1{n!<CxqE~Lfbimf4y^wM|XBb
z_im7jx;KN|+&vlOP{#%v7DmS{%#ICr7$Ca9Zqf!joqv5ZNR3NJ3M)f5SiBqRLjKmg
z|NsB{bSGDMcDpiocKdR8bUHhDbb5PuB+rKU^FG)(kItiz(DUfL1r~7W{12sJ;rqg)
z`3MI*nZ?2*`IwU<BPhLfwOI6Fi~oiy2gVJ|G7KF~kc0tF55XRdZ$N?Q(Rtak^Pf*|
zm4o41!*9Dl`Pv6+vkhZuut#T%N`ObVb3nIuL>CK3M~ez5*gSeeR03cTZ^K-w1yaUg
z_zkABi=o3s#RH^@1Fnk6fq%-;*Gz_QJ70J-9syY$4azOChau^citb?|%00|D-P7&m
z(Cy@6d63`tAUKTSd_M>u9Da}jt@(`vH~{~2XC*+hN~enoi%0TXM9w*il5<?Ts}nrB
zog+NDK_!-tihxI_iwcKl@_U#DP%#Eh3GX~QA(_pmH@m>2+eL-LqZ_Q=yTYT>xd34Z
zIA?iuc7u{&H<;52PL+^!4aqN{q-J@d&fsMLBbNLEic?U20VR4^e&O#|Wd!BM?11j-
zi0<N;?%<T}Zjb_Ss)S@&ZRZI7_0B2$+j3YL4FB)rU|?VXr=ZT4U`37%dvvBxWoBUD
zZ*66u)GYpOYjnO&WoGc{F3#w#F6b^U=?<>J;-Uin_0Bbp9Xf0fCw6y3yw2Y$`v3p`
z*CC#bM;1(FX4n@BbE{A1bFgE(vn!x6$cP?;NA`iT1yb?n0V+m<D||YifwlT{Cud-0
z@9YXhlpY4h=rdT1cGsw|fOWz$xku*>kLDv1STZ-P{0;_{-N&2?7(BY2JUqI+0z5jM
zDm*&7KrzzoRMF{F(%l6rt-#UkRpYpg(egx{)a!x?6DD*|21$TwtP)t{)<<}tR(>U5
z=X86QfQms6{`JlwF8teSSQ$LJvm?5z6S|92x`T5dYMe_t4{CcS@UQpIaj~l5WhmwB
z_O7u!Q99!_v#a4ZmyY5Bm(CB6qAJcY9+tns`32+$P)^Cpa5a3}3aWqJLc<)KYoKh;
z&f_Rq23*-V?g3RFF8rQPVG#z-1fUA%pHJr_u+w~ciz_;_D~>zYfa1%gb1^7zAQca&
zp6PamrejcR<14?*i_YWxF8`W;F?Jr}ce&R2k>BNn<p+MhtNecFJHcgsC%BF{-V7?N
zKn8SP&^*ywz}WobpCiBD*UrtL>Z)@xD1Cju_5G&hDgJ&3Q10^RM#(cEd9d>!6&p0q
zK!Vq?;Xh-Ey<@|Frjks@hX2g`t)Rw@M|XBXcXdT~aSbHuy1g?X1~_MEJ6G_p2j}r)
zF02fOFF`dO(aIxUmw@U=qSYI`b|G55Ys>!<wqq<B5w8V~v1o*X^uh3d?+l37AqA4<
zi4vLa$)GR=6*-{Lfu&0RzJvcjm1T8-XE&Q?w;ys{35rUOPSm>cAFQrK%1@BY?9qv<
zvYaqsg2(Z;04@e_6V{`5A1KN^8jo3UF)$cjGCcVbl+0i*htR<uou3=_UuR`tU?}1D
z*l)qWz`)MH0BHsIbT?mMVqkFWX6S5Y;07@qSUQ_oxEUBcdaE@&8V|pKn(NVdFB)n#
znt7IJ=5a8CjA7_(-T*gm3&gwxkH*6@xFPPuZJrgHc@8l15_mucgWQ+G19IQ)3!p-|
z@vsLEhLI4DLrFCETBDg?0W)8K7iPW)FW7tzMg|6t#=}1dm=A8AhJXsV&z_zCp=B*3
zrhR%h?_g(O@Mzxs02JhoUG1P~D9LL%;JL>R>W&gF&)ympW^fMi=-qvS31qh^C>jkf
zfdw65k;miNe1rvFd^8@mU`A33OI$vk-ywxp45)bmjxrS6IN%20wF~ShMzH-JIBY_3
z7Zc3bPy7O)l*ZuG%PRK&KO{K7m8wtYcc0FW=x&=ZVFFtCF@a(gnp1pwH(PLk!!H3;
zIm5!wspUY4u*ZHkXb5^VA7_HOl!1gWf$1+ngh}IJtOmfn%I*382+XHGy{w?_27mYg
zaGc}!Bs498C^Y|qI-T&y>)pHq<lpY*2Oz5TK#AA?2XM=UJ@)$`ybP&<JsJ-e5b0&l
zJuuyH6OlcR)fl)+WRD|7F(|TLM`JOW3zVqgv4Y=hP`YM@g({Na%*3T1wEQgs4I*%g
z@ab;$U<74rhR)^#@cexQlD{h;`FjN~{_+D9Um!a`9r1m7P}BFZASF|HD8ai69^K71
zSQ!|)Jp!6*7&#b9tUS7RKVSuAS5a`z{<afT#e<#LdZ5J7qkA_<xkoQF-y>vSvwQS{
z^HVoR^Fv0D?#UoiI=Q+XSehR&dUSV#xsEJw7jSy^)<Lsvce4N+niC}0@HqjboERs7
zOhIx2n2Y8FXv}vvd!RcZ0Iw53%879T$P^?efVpT+fR?D;%?;>I=)mg)kaA+205S#1
z31BXo6Ij6!?9tu41KkM+@HzpcoERs7OhIx2n2Y8FHkcDWpgZ9QUMGN*6XOJsDM(HL
zbJ3i@4s(JAJ6duy0M(7XxN-zYIWbNEnS$g5Fc--QJf6+Rkn?2%x+^m9x&ow}7*~Ky
zL2?C{>&ODCJ2@E`JUZ`#M+?B!F{1w+;M#fIvGbfq=Vy=3zmA<}eS4SKZjfSN@acT+
z(s>9RY^?|QTfm*q-n|8J%#cQ#NAKQ<Yy{gO2f^mZg|dA*byR$M*9w45d+*VC&!zK|
zOXp9pnI4^oJ-S&`JbL$NoB+!|^XdErmhtICQ|i<C+@tdzOxmOID9At$Bvr8b96WRw
zi{!tMU}VpAp77~i!al(V&2J@P&3jjXYNZkhkKVl>yg?y_=2A2lKvgv!E#PKifP2fc
z^988y3F@zbodDy2$3Gz9jm<p*{#e|j;16mjgWOZ#M~r*Gsv3{(;H2C=7J*pY6A%b<
z&x`<K+yhqCcyt06vF-`>Xnqp_N`u{Q8Qp#bpkXrD=odIqbTnIlDoRLyK!FidS$cHd
z^JqR20O=7ph6M+Bc7AKv$HU0Lz{ua)3aaNie|z-q|2LJH!J~IG`!r^TU7QRI3_C$>
z9mgH;s?Ub8#LuI5H`g?<GGVwfHU<U;RAuTOy}KnL%9P;BKt>`dYdKKD;drbK<O&9l
z-rd>|<vJkcAREDTDw1*=M*fxs;KufDU5HXWd`e3pO7$U14e%-Tf+#hFC^f>TR34(#
z7^2h!pVBYjNZ4%(QEG-y=}CxEbBIz4d`jm*lv+ZRTH#Y#3{h$gQEG!vDX7im(YxCg
zqSOwbQdx+p_7J5GaHXJ@C0cBK0yVjMcRN9pI^$D%9HP_}qSOtaQqVBHNAGSAh*D47
zN=r&TdUtz6l=<LM21?R?5M};&l!20TAVgUZE@j9`8YyXqK(s}Gw1L{8J3$0WV3mMO
zkAWylfGYzvB$1RMo9@xOI~Afl6RsS?RQ{HQkl4zFC@sXNv<#xO6r!{et`w9PksJZa
zhTagRRS>0h_>_XmACKPM^$?}a_>_Kyq=6QQ(hht|PeGJ+LX`I6Q#v1_v=5?m5^kj>
z6&}62CqtA?$D=IJqj&cVh_X3&lxcbN?w$)$)_8a!7G)lt_rQfUYMipB{QCdjv$G9c
zfP-gW;to%63<H(;9_S^$g9mgNvZL982|Pmy8vjvX0+sjRveVV@0BCRlY7uC#3BvVg
zKH?GW7zeI+LH%1ueG8f}g|=@zJHJ5MH=ez7Y&Eh#mDqhm`=+D})K+QOTNTR8z*x%T
z(YyCY2(%I7(Yv=J0?PL3UE7ffsvh6_bUs6B%y@Plfi-3vz(&JcD?XiQN<BL7gQxc(
zZ5@xsqaXu4kW^u5%)rL49YOx_XnrH%+IhsW^PFerSFo4Pd-l$;x5!5G5`W8W1_p+P
zy;~%h85sFnzJNJ`N?;CX+^%7-2!sQws~YyEDZ_mJKndzw(1esg!(J^Fn9K@gsEkYJ
zXP?d*6%LQyy#Xpvv4*{uLSPC5V1eh;yLCnuD8Rn^biQ-!yx)1trSl;;jd*q*h0MqF
z?$HPUxxG6EG>>x+J`Uaa-L><dPv<+A&R;M+;IMlKQ{mBg6l69y?B0PR<00z!KR7XX
z^zJo~fIAW5|5nhHsYmbL35swDNLaLf`S<@nssuP(_*<U*`~Uyt>wo|MgAx}?d_dC|
zC?&(&&l8G3enx6Pmqde-6wDo<W@aHMx@=V7tw(U6cyu22?A@cg0<0b0Aol1+SBKUf
zZ9Mt`Y#gH9j6D%S-43D<`2$CKDkudx5GjlBx7-0Ip<QC&Bm^qh8unJIf;o(gpp;ex
z;qZbv7eR@EzeN(v!ICndiK`Mb!@&|4*4*gRyY)f|C~)y6s1A_h$w^Qkvk50C3o&@Q
zfdoM7e@MFdfyfMyz-ax9Apr@P*5?ojcus+4AO4o>pp<qGk<vi1g|hwt(xpKu&luX#
zA`4QUdGzi*@SF+KUu)QF@P!FlwypRK74+#{o6rUdO|(J^sXUVa8%;ua1~L#FgwO&K
zds0MCf1oZXtUNp8**nMPK_{A*_*=jgNblYqyztOZ<Oe4|S8yUrf^Y)CoMZ?m7R*V3
za5BN1R0yXO%t?cA8o``&2&Wg!$$)TXf;pKW4!Bx)zz4P5r!z-IpkZ$ori^drUr^%a
zXxN*LDd`FtfPUPtHwRP7r+2GECnz$$dvuqm2!P8y$Q&>zI(qkL{{RI^cZ>=LxHk-)
z*9I4pzMb!VJD;M~N#Mc~QHC@g1sM*G7)W9H6MJC^_h7?bNPxDkhon>_2}t0!F2<06
z1a#|k3<*e}w{~MlKq8>E9zy~W8Lh<_5|D^#O~;S`M-qQaI4J+b;m$vh@(v@tedxkS
zZ+hT<(cTO1p}EBaDeZwO34Ul&XxIxb6T#^NUNnH34dQSGn?RK?SOHRH1g@D;1(9os
zhP{y5s^ttg4MJ+DmK$JBBB-|JZ+QadBtbYIz#M3O^#{z+1XbPqEgVds;u%Xlj#__%
zi!88vkjgO)HCUy-Ko#m8kKVm60ubyCfe3a)5R~1p7gEl(tO7d(TmkU6Yy)$!l=;xy
zgr)g~$W0nyaF>KaZGq$_kKVlnVNel|-n|y#P<F%K<OrCS2QWdO-mL*$_;MLXH)xdw
z*|`j4IN@A|+49hUq-P`vNF2AyKqTO;vWC5o_-+-zkbuN}D+`7MBptN=_zP~`z?*T<
zwjF=Vi@*Q>zkCmo#i)^5y&&cxNq}RJzr_la)f~ZD4N;zBYY$!M1%)_rdnnzbcdrIg
z#!~o*$Ych+psa}A=7F}2UVx24lxu|BMiAo&w2kn)f5QZ9?yvZOaC5;Y1Y2MN9`~OB
z8%2uyA;wYU{sR-SxgR<FPauWA!bCjozX3Li6!$}nqsaX`CS!9ya+*PQzr<ub?!N#w
ziWK)lj3d?kDD5+jX&@J&G^U$C3vfGiR6Kh3DtJH(EaZZ<VXqdb1r08aR|G>9`1G#L
zm<kFC-0d|5uvH|q*FXk>3oIhrYta0S;=dO&(EJB!e>UvB^cL3U40wykAU9xapWd||
zGw}O|VJ66E68r-)kW&9RtOr?&6kibkfGT|(XjC-pjc|fhE*Bi3f<C=#7p%kYp9rwg
zB=`qpAQ}Ea>5m3%067Z1{I#%!I@_an?*b<Tdjr^sILhA)uu-JsABb_J<{uRICv3##
z{s&0z&u~V#|G)-N?BQ^K1=uK3+z&C1BKH?;!sh-CJA|7JToCLF8}Ycm18fv2?uQsh
zk^37qV{^ZOJ;KdDklg=Z6CU@^02@V$`ys|r<o*d;u(|&RlKT(1BK-1UGamP^02@V$
z`ys|r<o*R)vAMs&0paEjHw2quD<1dn02@V$`ys}W>VA~^VZ%0%i?Efa8nB|XVebuh
zSa}-Y4)qLZ@^~A_>$vN?6JVoBC{G2z8W08&8lS*c-)USy^ACT^dhp;FmVO>&V3|N)
z4>TgE3H7u`@7@_&2=)m*C>u2Rd>MaWIDp+nLSS@U26==~U|<{XM2X*mw;*GX;+MY#
z*1o|y?u6EpHjqYm@xmMYUhDu{MS>SW22xsoPWT6M6mtDp>CwA4K^y99kKVl%x(K!c
zJjNjFA3ppA=|*HA*ibKQ%3uZ9ba<8|y#4`XAW`cdK=TFA^ovrTF8B{}5t4uSTe`vN
zH&hpv2QTPAJp@U=VVDvgy?c?<CIi?^+`ilamM6iNAOi{b5;8UlA~E`Jkio>x(>}dR
ztS>MyqAzFJ1omzq$XEO=r@@>c2<JMO6Aa-z26I9{9B@k<9x5K4GAa#w351GA?_2{0
zM$p0=@H_^5?F)GB1G4tz1=wluPyr9xLC5F7$;7AgxliXiq_r;)1K-09#8P~D^zMbb
zvtcj9TdkV^!F_)u35XY4Wicec-sNxM{r~^}OOgMODG&7UJp)NkohLjxSyX&_*I3-(
z0EO{;#FQF;%Ng)2<=zdR(3AvPGUSC|Gk7D|E0EX$J_zw2NbCi^2yqWT1ls}ISd?$)
zJD=XQ77#~)Cs`h0S$XsUEdLD_ys(@HR|=V1gh_ie9t9Z)OOqf~SVA9^8nKMmL&6KX
zzRE)n6j(_4ucW?VZz7h#s!kmh9HUrkSLlMwz@6U`z;?h>7R+z(M2Inm1TqljH;^iv
zegpXsJw2eVA4@O*xeeKWpz$6FK}4jz5J9jH=!5bIuJ$_ED7ZI>m<xj#hX@#~{=jfQ
zN`1z02IL~7`V7+lYuKBMIcyIa$by%Zy=ya0gS?KYQNg(wG=L9X?4|%VnuPibWFV#W
zm&93+qmbhx1y|u!0d^mb$S?q#LrP>oj3W>k*xDB;`DMZ-H23qjfc6bF>=gn{VS`I%
zq=8dmOhHH`_5wbZ9iRqHem=cxKU@SEj&LG4#*mgLt^ivO_cY;*1Tv6NMna@N$b1CG
zeExyUXi1R2g%3P>j(tc4c`R}QY+}Nrcdvs2)T5xWC8Tkt8U9f5hP_R}usWXsHqO+r
zR}pit9W<#W(6BcNvzS86*kT`WSGWucVZ1Z8H$dJcXT}y}HaL4gYE5iQ0I-%<DEYnP
zDq3Je@;j&^g^f`+?8P!Y;?ukK#TAg>aOd|0V53RM?;r!g9wKc#4kf?rxCU|*a(=IG
z*bANn0Ou9>1OOykV3`>5>0K)UHUoFIxB!+XAzOe9B$O>cQ3b|`{DH0gdg3~o|6t_-
zc+LduNA&p;R6&Tx!Sf|(61{6Rz=q@Y_ye#!2_6R-NXX+D{zXZj9(T~vC!&7^YE&U6
z-LTA&A<vHa^sZfT8x(Z7(`y3QDiYEw$UwsB6|_Frqx14{rwGv2lWr%E?joP=Akdi=
zj-4M|_+1WQ+ehli?{@*Tku*4=(>vw3a}Lt}QN#buKmPIi|Ld&I=nRg5Y#pr+1ScIZ
zaWOf<r@I*I(G;Maw;ufKy+a%uZ1|W<ng2USbc0U0z;Y(VPS7TE*pfA{xiA9ipJPrD
zj5P908O$m$jomZeF`&~wf<rn_X#U_|f5@@HhK;F25v;b@2V9SJJI81{gZCnXB$-QC
zjyXp#K+fmDdJ4z%F6RhHgDDQYZXIknXuoIYMUNez&JYNDbUJx>9Cre3gJ<yAq4T;W
z(%$pke~(V5h)?_iP7a^=LAw|k7}EIlPL%N&9!TTY11<VwD0hR&eBzHh@yUZ<=b%TY
zV}Qr5^WTFXFP(iv!Q=ZYkIoAo2VdEH9DKpfc%jqLgFpP_Cw{FHpZEnmBf#b{{Xfy^
z=yMp6UpyM$`~XeVgU(?1?{U281Oo#D$U4uxpcUMpB;(V$3cO46c+&$01_scYUXLB1
zMc^PgkIp7gI3fF@NdmMIw6h7c%JX=W256}$14DyHXOjU$9J~yrvkA24^>`C#kufAl
znn1zf)4M5x5!APaoHx<k{DFaiq4fZN-%bt&hEM!j2R`u&f>*MC;uq-t!2l8y0B=1>
z<JY+Pi9h028h`kcG=7bjpZE{GVNBx>xtqox`ZA3_?DZ%9$QPgZ<6eE@7hDZ-;2f11
zOdtaybU|6_6TjdZl^dXd=$!(V0C|g{vl-<6<{$R_ecPBA7<_w|H}HU#<j1IR_;hYj
z0fm)kZ~G$9lFrT+6>uo+0C^Z>q(^6q$_-E#ZU=}55(SBXg78?23fT1HEh=w7c7vv}
zdUHTV{s*sZge*+~wHM&Fcyu>|79E020Nc{t4Bpt<-7NqP)E*U(xgc4Pjo8fZ#A&o=
zZ~J)+4@iO>4)*|vN5}(c7R*rr1q3LNDnNnS-3*E?kOLc-7#NPVsC0nS(eW0Q9uNa$
zTkjO87LZH9;e!$ap1tiaFr1kO(g=4Zh)1F`kt4FZy#ln|Z-a;c14H)&1p&~W)i)d-
zy?azZi2%HO)^SHNXj{Wh&<;XSc4o**&Em>RhPbx*hyuuikoaj)0i}PB?jEpakT@u2
zJUSsUMKFe7N0xYW9)&Tx3pjQ`d<Qui2PW>(-FyJ#DE{sJQPY_j(mFj@9J~9&rZY2i
za=dI~U|{g<-F`q2lxpXwfU;m`i%J6{1H<tal@>+@22dvV=$!(VfgPRV*?FXUjS6^Y
zW$zZ10#FJ&-l76Zf1rZFr*n-8IPvxF0gHhh1qp%f-5{5APXX(NolF5eQGq}Fz$bn!
zaDD=1VvtKfnHZE8Kk>(aN~msdh;_pAB`+v%eBzIU(t<sh`4fEHN9S>{?VaFVq7ZAq
z<pijN!RvQWA%^aEkIthm-Alk8@IdlBs2l<%ROFJcMFpIud-te-WKn_v6xYyT@aTL8
zcAg9Lj1T^BP=OB44j})7O2be50+T_G{lp&$F3djhM@)u<4}@Q43@W-yrK==8_6IRC
zFz|y5K0y&kDe{S5Kt$yef81n<Q4wGxUh9Ij$XCfCw1Bo)f8xiXO>i=({QblqGa2Nm
zG=4p>G37$At-NXcdWZPijF@p2f-%#X89>P#ocuv~pFsyDbAot~<l6!+tgvJO4@4md
zJEa7r2%t_Oh}CG%-u4a*4}gk2ke5KQ>A4rg19<?H{vR-a3o&rSBA4ReLJ(mAEegSf
zc%4a!<3I@j<Xv1Pv=790&~h5=ca-Sy>}}tL;W$vVgA4_Qp~nso4-|$V5hBBoxDpyv
zjF4PHgX_-j9u<&gkc+@>BveAfVi#Wg5-y>SH_v2%p7qgq1k~69ZEb{XwuChjhemts
z6TbksMFuLkK*a>8AcvGXgP;}mj0$NFQ2_Zs557W4;nXRBuo~^z+b%Q%y#xgnIq-zJ
z1H>aL^B^psMFFIZ;Y?7w3*k%<k3?q@m&b=nD{Srzcq?q?jL}vYBY_s^P;7-wA-xp_
z(?Z);*nEu43F>?zGAD>fAaf3EE9?x02SCLh%mag_74{UbGY7a8_7B5xpmrB53`bjG
z9+<5#Nc$@UbOI>2RR|gl`v)Bj19g!-_khm+0*!e2bS?sSaUi2%AUU7TMWDto$`}}^
zv+S`GG_nQ49-UnfQ<2BUJUYRHV^{~qJUYQ6V;-I0QL*Dqpg}Q+K}`vu?s#Wc2B-_)
z1nQ%M#69<e`pOIp0S-Q$yFk7BPyAX<pe?JP_ywCl9r#cDkxkGRTNAkR-Wj5z@rhs1
zMI{4tM5+eJ0}P$bAXh@i3%fzpEvUf<Ql7@Iap4nx%*9Xqg3z9+fGo(yG=9BXWjr3;
z;BNiPi45RDOK{JzOz0DT1gz)Y4GNCeHJ|t+z#Vf)KR*VW14KXtD|n;;tP<2R2Q@Z9
zI$)y&pay3ezaH4^GAWn~Kk-L^1}7LmO;WIJd>+s-vQF^G8Au&S9OMS5XF-9t57eoL
z4<LYo8Ke*rVlhySg3X|wQ5wHqGbm(>y<nrTpdNNv9_W-P(C|xFpZQE?h7J!lNB-@7
zrZZtpfIh>Spe6u#@Rxys;e)+LXEP`YU%my6`gQIGu@OcXAdJvM7@-9=;^l4z1_sax
zy)h~p9^GI!fzEA3iofQ+jLkRxcQ)sMtK4m{K_AfZhR`vx<1H$nU8tb63>x?V$sqM(
zT)Ou_#xQ#!Lz^DQT~t5^LoxVtrl=@*^wy|IU>oHCX9xHw2js+H$S@4pC7>7q`4Tx`
zA$&pbhz%@Y`J1<b2Mi$I0}TwLd9Sk>l;}NsspByYNY+hJ5de7%GPDLx7#{FZENmWY
z-VMrDj3w5`z&#<Rm!O4uupzjvK08DN+8`p(0vv%*t))jHTA2~i?uyXmjL>Bd)`c+J
z2cgOfp~?-c3Tc=IoNCKCn|Fg!Gee2uvF48q3=GUDolsCd2X#V0hwU7OC}4Vh7dBY|
zTTcKg4M9QT(Rtb9coV313<@*P{h;InDGGOiI`JOIn?M6#AUU7TU7%9tcoPe#k-@;w
z(69@X>6l9uJitRjAW@Ib<|7OY3}F6F(0Jj??F<YIhHo2ogSJ6~k3<G{x;(m@ArSyN
z$mY0<3g~<=2GF+o2%p{(&|o>_gzsJ#SJ1g|(4@nGM;G)+aL3MLAoD9cx|<=MYf%9W
z<$4@%Q31II;*CAvv3*d{SOHSR;KKN!vl-+mh~s-*82;}BjsADCaH9k)NHO@7s90Ff
z9ula~^XEX}2+{^Bsysj^gn@R_|M%!@0{iqhcrFDry63S&0Cq5WCpd6DjyHh<6681!
z&}oz~eW1b_60{%<9-WX12CN$r*5GLvhzd}&!D<_@8Bi6V0@b5;7ia_$<b2TS=b(T9
z9ZUy0>wJ44Us`7XOSdOiCx=h>W>AcG?*=LC-VB<(=$;J95RUsnPTL70KvE146^@$!
zA<{1V>wh_SvDkGqgHjR96tI3raB|uSavWHvi#FJB7yk9&oCeW#6MR@ZY!n3?z^LI1
zslP$%H9Z>NfTI(=21f}tXmEr40&+5J7V9{;js#T+9^hk+K#AO=vkMgR9>>8!3yz$f
zps<F@fodj?<KVe1s7c`91gCD0GFVNH93`OY1SLv9#UaFf&?o^FbRNCCK=lwfP<(s$
zRY-z{@F9gGDEoReAK-!%kjGtA3P1_Ua}US`Aa{Yv>k7}_Hs6_$5e4wUwR=GoHb|XE
z=Nc7I$qz|@5E~I^s%wDH`1}X9)`qc^w_!IZjWO`IfDG~MeC^S_8f3j^cN=83ghv=O
zTOuLMzyPi;Ko)?kSMX>)%H`7=q9OsBKv@AgI0|GFYyt%o#-M0o$Vzn#3iau{o0SN*
z(E~Jz(%lV8e_a7=9f558+XGnAIs;j{89EtXt04-*NJL>6jwlR+!G$3xdxNS-kUKq^
z53qoP$#X9^17x}bv{|+Q<VH{sMIi?fDFMR?4VaQL+#v$8i34PlfJgID7Eow_r;|YE
z4x<cKqJ{=)P=GQFj-UWZId=7>A%Y?W5fllKpny!FdO#)$y8@Xy0+{%>bEb82pcGr6
zr~uUm@Igt``~;f81Lddxo_i9RAoCBf22Fs2N2gPU$6mxN_9{?9@#tIyPGX&_K<B!9
zbglyV*`sq6_^jy8RiN~6ylDd{MKUln9BbMFVtI6~0=dJZa}_B1eR?;6N=jJs<T!Z7
z1k~2`=v)oTklo%6pZK-FEmDw$ttU%`VaJbxr35B}gm=7P2AzHL{bwgwKWqvaWWXnW
z0q=}7e!YwQZJ-J$jX(T$8o%CUP&2nwrb-HQ_9^oxe!&nG4sgl@$$#P(2vOkxHDo|$
zf8vk30BR6}JetO@ceqrfN(iJ2a;Pgpl|XPt8o$P=PyBJ<SuRjWeBy^p)1>i-pG@P|
zJ6I}FB?i*Uiew?ovN*6uKo)@muN!tX1t?%&=QRIhEEV)P4!-aK6o_g3;Rjxued3P;
zWkhgm7^Ds)n#Qkpp_Hcze5flg*h{cR!zcbY@L~O+mKw-2u($;`Rzc47=xher{fS?|
z*#p#E198ApL*T>7LG57vwo35S(0bVXxBzJC7gWr8_O_=(^B5?-Ldrd5aCHrt2=dqg
z;(-bWP!AR4uwyOY88y%pC8%xzc?&csApo9$fL}=f%H?odJi46&K-$4pfL5J=uQuob
z&yaz{K_xvFWAT{i+1uWQ;cie70yEBI2Z%?&-RKs~0Z*NR!sZ01AJ^^d0W$6aBX~Lq
zbT$D4s2y?#WGbkC(7Obx{=W}s6+7gJc@&R(_O?&OaHb*yXg>)octJdnfgqc31TP_H
zLepG}3Qm`T=7!$Ej~42TQGrK|XK(v93>Sh@C&)dZc=6Z);!)~CNIE6Lg@`f?6c`Ms
zp^1A90I0}7DYWse0e~b!=mc?h4|tv&?%hsEvLu)sVMQMiYXD${4ZJ+zs{$R!tOzR+
zKk*Bwg0j&k{y6Z31fTc?7lX=RkK^FA10bnm&7eY<>3B1=RA%V*cIai{gp3IsZwB?m
zK~dD}!^voPsr4kk=f%#+px(O2aqv<UkP)p1_&e5u=cWa`JwEXZfG?K;O>TqA^E4NJ
z4NyEYd~$)VZvrvEV+9i?Oi1I`IP!@<^3W&#h{I|88b3bq$NWs=4}bfK|ImB3G=7b*
zY5bwz(;WH3f28q;yiel~dza?IuXnag^b^0pYEW^M#;^CHh#ley=1-3N5tq8VK@Atn
zgC)GZfsCD#A)K0Npn??S9#CNis%{!~gZ$0R-vT;A9%+FOa{Aqkk$yo%7Cb^pE5P74
zaG<0-4+;t}>?V5lw%@~WH|RJ(gu6jJP^5xHK$!tol0>qAv;qt?$N&m>aQOncs078=
zp1tjlFx+UyfLwZkcqF^=1$YtJ7L^rD3=C<<TU0hMF)(m}>XR*?rWVNj-YF^&MTpf#
zh`iA4?9j`@(Alj58f0tM0Ue3Tz`)S!!T?J82lzcNbWR41j(Hq!mH{~pv_|bDe+TFw
zxKI3$nNXiz*dm!VV0%Cr6qHOsgIS=A_lZ9e+{pdJ9|67`<`chQHz+ki+9RMb1yHk~
zsK%ocJ;0km%0Ll@w<vywJO>EzD4x)OL=S9c8|DX)n;|(R;t(jefY{y5pfKs~2Kn3a
zAm|beaOhm@1dH&mKgGZPkmW)CJ|57GQ}A242(Dr30vA3WjYoDcF)*MsL?PYh2_D^T
z4%`e3-F+V13=E*tZQDF5K*yl(1EnsH#$yH*3=H7&>JaKH!0H=d>OVl#g9iOP8jsz;
zR1do9qPq`Nse{d*Q3*C56m}ks#~Laz%zptk{{zf?hbpl82vA$O@t8&xhI$1akoz@w
zpzePGQ4hW<qVd=TO!X09^`Hwg!0wq)4K^QqwL#;tifRn=CxF$@fSGSm16B{dU!w7t
zLJfv`30aVP6l9_9c>q>lr{U3f>;#(P2@@uOPMd_BFWiQz4>UN_3u_~HG<Vd3Y^?^}
z5>fzSBA+n~jT$h8WFBg~qM2t<2QqIrXl%lx@vsDlht)_V^HAd*&Ab~R6MA=V05!fE
z4<7*W2$_ePF3`+ts0X_bbi$@b<KYYtkC1t&=?2X_jRvrJ6TqqHumFgM)jW^xwum%P
z{3WC@Ff`b3Fqg`Bbhj<w2MMj<2MaNkavp2D(7?dJ^qL*QVE1S|en6Os!K3lmjs{S-
z2$5qvy4!AmH9z179p5Cv;nD5F!Qs(4t)dZB7`HWmsNQ|xJ0Kd5B{YI`gNH#}4G$np
z0Y@#EfUNKS?9tuE09sAi#~}bsW*SYPV@bEo0Nr=dcvzqbY!Ijrb=;8xYVme{hn<4D
z3&h_EA|Trcq06cvwjs>70GsasGyej_d=3`ywJ$p;Hy;tE;A~jY40itoi2D<o$#lO*
zcbfq?ye;^l0i)0Yiurw@E|W*&F^(3D{Q83r6uF?qe_)54fT#yIdmE2!z*OJB0#e_>
z0yV#&6>R<raZtb<i)h6#e+O9o0hoG;Hn92!5cLdgsOpjO+Xt||A5eXu^xWMx0h~5x
zq(SU<QSk(gq(b`9HlTFbe4q`IF2M|DkKWx95+HXSUIDTdON#gCZu0=!69BcREdzA0
zV(-2Ji2DNCQQha!-3DrHcJ~>uGB9+DaCA-+=wM*r-yXujlGZs*q=SK>%Y}ojqfM*>
z?8^?Y?Z<w!gQF8P770ma;Is@PJi6NsfK2K;0Xi7H8<9VDfDGttI{>13_eFq)!5WV(
z=m47o8vlcu<I&yr0<8Z7D<n+XGC-#h_U>zd=m_Y<aDN9_{REhL0nh<^z58}R)Pv44
zgc=QU9;ki{0ILVhf`QY=4v6{>5cLbXFw9o~T_(||!3K3t0_fbf-hDGfK=E+QqZ?H{
zQhY~%^(8>{LE`%aIKD4ni|>wRjvi1L?@j=leE0*%9*h`9GJgWt{23_bGo*vkGDkYZ
zttjcKqj>|wd<}^CGeA6i=AQtYe*wjO3$XbP*v*dsou}5j+d&i*GKU>NJbdPZF6Qd)
z<6wum)x)E^tpIF(1$Ofp`oQ56AqF-dbU+)*(fr`}MTAfL3n3<k?*0!#OyG79DEyJ?
z-xUzEK-YkIG#;J+;$gTIWFWNs^z8iS(Yp_nMhw4!4ub}D0vK8j@V8_$GB9*Cf5>ED
z=xF|!$-vOn{RzbG{+tP_9=Z>J27M==5N2TL5)tf}3{vOO-Q58a-8=y#%4668*3s~b
zxm3Z1xm3)vcb~*8W`=#B1t_~fOMbvsL5ywq$y_Smup4AHM5QYhmCau=8Ng24#=yW}
z!^qzXE-Aa4!A|K0IR#t|YJ%42fvSXVP?ghQ!@&f$y9i{W0qDL(xZR*jUO^7-200k4
zR|hn_3>JbK$5blm*?4Rbie7lHyt^4(wZZIRF17OLo(y))W{7qprc(A}U}rNl*f27e
zN}(Ii43lSs)@_G98;@NA*#_#YgF^{a^+7gJA?J4F{qY`+Z!|!aUFT=d&VR?-Zh$WD
z19x!t-OvK1t8EAR85nkfdV1gxKi<428`M_^-G=)Eu6B2TCP?k(2WV;!z|~5Ch7rN$
z?(Tr7Wtf0!?h&|J3xwJO5VZ<uYEQt`Mj+JwfT(pqQ+o!kwgREn0PMHT3216Bz}3z`
zsLg<=Z9r3d1+I1nLhS;G+68E8Z$Q;H?*@e)V~JVwZcxxLmgqL`2Kk$@M5TE*$Wx3Z
z(#^X;E@mtdYTgZU4r2*t^KOt`jHOD)n{P~D01YI8?zRxn1_${8G^>6f9HXHFR`CE$
zg#>7w1tcmiKvXbHM2?DM%@z|G7??bIcL$__4FH`W2#$P@?&bo}%{ko_?4X@b0xY1h
zZ16$~&={^yXNd|2e_JGYAa@yPAlIjN_Yd%S?T~c=p1p0~XF+C^zyn<1NiI#0OFCg=
zjUXPVH3#aTf~>?gqR^WI8XWwNwAIO{6K;XRY=i}#;5*s4z|F!f;7tP_dqF&i1#7^A
zyI3sn=&k`x55v2_Fw;H2_t*9|Xdzhv8i@ssLHcx;fX)TRX(o754AVr&y+<CsdsJ3{
zdKA!O^+BEo2T*qlM7+C41>EC+1rxpzKpf#>g5+q(7`hrtz=L>@aDi+t!xAo-egJRH
z0*xmyFgQ3lfSiqM?Y~Fw8tCpUko#aG1+b9y>}`v}aGwH-`#?PM+=rZIJ-S&q@HrFW
zMRe!+_V!iHW@dm-S&D$_2gn95a1sWM34u%nkLiF$ARt+^vjw~>3gmULOz$3*8H@}J
z&_Uot&>99%NebBl779x34B+WY=vo_(?iR3m&>pY_j0_AflbFHFqriip{B0ZXWwRQL
z&;(^HkQ+gX)nf;UM<_J$X0xS87SJf0tw*wgYT2v<M>YeCclW4F0Lw#5BQmntP9#SU
z#%y*U!+mln*$l)ZlrTU?sG}5R;9`I(+3YVd*$gz5;DM6Oz>6q)*FZMn^zH%gz9S`@
zfd}=vd%*h|;hBW^*oQ1x15dL+n3!8FA>tn0%@*ML(*axkNucWdj}dhmC{YLE5sEsz
zd0KrA&8tpBBrB+vr@@O|uvDF3@$MeT22={FPHQAb(<DzTQC4*(Vz>`fS;Eut4iJw}
z!oZcM5y_S8s<Uwp5mhI67#<~0gBRbxXF>L;fL0@tlBYrHK~*PcZ4@b0XER1<f-)8&
zG(kK<p@}z}twyqdMpfrlBrB+v%^)jkAva8cf&<n<1&eq0sDM|cQIO5{BRP67X0xXl
z?gLepppbyHsX#nJ34?~&jCn2**$lL}7PaaGHB~_^RIp6%9u?5)LsGICNIfW<foE7q
zk9}yp2-*V+VG>n!Ldv3M@V!-_)-w32vOVBqH(-Yab~Z<3Gca@>Jor+c@nGkvi@!Q2
z$7C}wG(Y?YSz3NT^F(K}Mh>VOE7+Wn4Wb3QK?cIM31oo9;D-lvTR_x84iP}I1uQJk
z43g%D9hMSv5H#QE0G1MLjsTtK-@BV58Qht60QI#&7ny?_{Gd5fkWH|K7iDtCnkyzU
zFfe-b?v79gYe<;L0J%bF1LJEMh%Bi4k1lI?prhF&2Q<W05(Y9AWIN1M(DJb3%?UZ6
z?gnV!W(ITw;I#-u6qIa_H-kh$ERWvZ7m~nMH-Ov<ANm2A3Uccw{zz~i<P*PO3+9mu
zoy`T{>%_XjRmWsdOUI*|N6@3Q`$aYbLw7egTDm`gBB$9Phk>E9c?TqX7&O3kE<mxf
zyBQQ~-QA#=>TLc3*7XBKcK^v{U^w`YnYkNmNH;?c14Hvq&U(=KG|iw(5<Gf$pYR2n
z2)ca%F@V+G4AS4c8>E2)qWlNM0Ro__XL~n4Kyv`dJKbRGIu9LuCC_*Wl$Ka>7#Mn4
zx;mRBCV}kO{lO7LZDyE+Jdkp{*<unT$US;@CpdvsD4?joY!Jc@>nUZb7VmBbIkNe{
zzvdqtMV!Z)BPKy7JwOc5q=$nV*i45>&=dmE@c#r<eFaQChyhYx0a2fTP!EcYgAbUQ
z!I9S4Tmp_dq(L4?PQx0_71<07(7Xgn?G2z<LC$V9knGlZNb^+ZWSt!FxG#A0Xfky4
zh({2X*E+I6JzMa>7AMMBn}0CY%N=W;0SY^h-rXD!M>oJ6ZFm5b4M4J>OoA@Efw806
z6rAw{nnA`QCDvvSusHTa8v~XT0INaE#{pm|!R8&1sCIzl;{~9Igy-Xi-5^UDOTk$W
zqyaVSrNFb^4Un%G7#KiV4<ri8aL1cLq9B$>@9qr{;}3w0N6C6<zQGzNGr;lJjR<CF
z*5k+lWj#=gb#@DYGu<3ebT$8gc&PxA^&X(u+1(6^!R~HQ)O9wCfOScL$ZnY&Xx0N8
z(yai_deFQCzOAEocZCl)Wim`g&JW$qApOm|K^maSEkJjL^zJ_330AIvrX1v*Zm@Mo
zSx*I)^&%j83+%vp9Z>WhZ?2dO&w39aDiTmsV2)*?W<8K25m|4>WN6j{F+f>q12k1m
zhG#vH26)y3-IxNJH*W?pK<ZyW)GvUkKi<3mIwpL)`3AzND<Cm(fS^;uLF;}`lykux
zT?U#VVV%p&urCNSO92{^0#`7&y;-J$vJnlWKOCgL9$i1UAnyhh<e&J_hJ4ZNZ<)``
zun%-~_AZ3|kl{fF&^qtt1E9+}F$W5oFM!0M3c-tpZ-4|kn;$@^7ZB<Lg!%!Y7_u1{
zAi0jAG^e{6l(t(Blq7YwsDPKOKuvpK4{D$}FoX7xw@EF4bZ|XDlkeb>J0WNfIshyJ
z;(>EvXNyV%NThd)3h1~E*py92b3+ybXcZX929QF}y^u8az#g<?7ix<RvMqZ-%0T9V
zHcfzR@$LNLxep`&k^r^MK^FP+?txnL9M-bHunS>Bi;5kx6`gBTKnBCj0-ab5x!n}6
z839x<BZ&%Tlu*HpHd4$$S)INDTwo%N%0Mc-<_#cmXwwq32oZGD8#q68Htzr@JWx}M
zv6QnLTxB*NVCihWlMQNG{g}+azynHEy}K8%gA1kyD0%sKv&0n8T0)T2?i&yl3{y}`
zNsvj+2Y5Q0A7nEy9Ba0i!oUD3LLNZM28AimBFCeb)$Pgu|DX+_{h+DeBcORaY>VGu
z`|%+6*EYTZuSo;X_jz=;WlUjU@Mt|y!ukKfvF1bIV|{m@$YEe$EYbJqT@6}R0h!wM
z=!6^_X2Vz_hAIM@@7=)8z~I@<=F$9vsm2MkF;N6m;XDw5t|S7@j7CU+gc2mc^V&R&
z&`I4H3z!-9fow$-86b075Ae6dvN15gA}i9tqqF%2s1X6$6wSbp^~1rVv-<%^;CS<k
z90rC3ZHx@Zn?FEV9-Yk>Kyo`yy=k9#mgOC2*DOOW$T-`*atAg9^n#4z00|s#7RY5_
zSj7yAI|(SuV@KAWlF1SfZ9hQfbT@-nsdR%@setB$`CC7NkMo1ga#iGj4jtSB-T>&?
z+ctY4q@V$9K!J2+-9bsB6L!QOhzH95pt1?njXu@_o}B^}El(gN3WF!;K1tAA32Y%I
zXs!gj=KnZkjXFr(u@)7KHUFT_9B4EJb1DP0{?fCz?Gc9KKs{HGQ@}IgJ3u@Fj)Rzu
z;j->#aA1MFiEBdwxC4wlzXEj>!y@z$V@C-w5RY6(fl~rGj$+4f6ln4v5mF!?$&Lbh
z7`FKhX(p)|a#SFwKMw7G6Fw>soW8m-w+VnFva<(#d?c2%g&uv7o;TX~HK+!M<$2h_
zejeS;3gBF<0m;QSOyJY#!cUgKCxSr>ku$(qrW@RDK<)tU0Et8LFL)z+_kkQxyI=1F
ze_JLq1A`3{Xstg;5$qtlQe%((t?ZBuW1sj1dsIOCgg@~MP61Edg3IGi`~nc(Cw>8#
zd>nXJR{_Lu@D2g+W+QN?6><*UFMPR|3nSW{P|_lZM<Cj9=icsSkh{QX2uB_vn1OvT
z+-HH}J`j(9`_NOs9u;uW0%<fIXabK%<6a*QDweS1;T8;cfx1UvuY%mS1H_}mT?{ix
za2HBa1r^z#@)MNzO4U4j+ZY!!GrU&u=-urwg@FOQN&uXgJbGEXfBygf5|qq5d)s<2
zJX8wu2&gCl(K|pq0v__{d;|$=u=y}Ic=ooHEk-Z!oIz&7%?9yEG@Iybe5@H%!81Ur
zdq$65R^}hzW(2q?(0ByYJV0+ocyzacbABHv=YtnJ@q2W)Z4d`7tJ@*Y!0?(Ev_cWg
z{U8pm;XB&EHyifuI}i_QoE!_7io7Dwqr1&R6r?#o6x>8$1}!!gn8v`s3|edqVla91
z?yCSVnLG9aMJs6e8S;Aa1Tl~~8DbDyLF?JN+Zw=TcZkBokk*fOH17ai(b&6N0kU3h
z0f>jO#?3Jdba(-%ZuWpRlx~2UG<~2Z4QPF&i;9CsciV#mklim5VD>nAbVBynb+kaX
zNOw0|fRuDQK$Wzpbb_L}H$=q)-m8GN3c8z5Sb<td7px$rwWwSKE1jYOTG0bn-JCET
z+;-#uhs|LR5U=x|N8=HYW1}78AnUw>LFdtTw`qXG#y|`n#?2fvKw+%o(YqTIFOY^D
zIBm8ZDA5HS!~)XM4blPfC0IFVJP@)r@&m-OXs{j8$UEr4_CX>H)lAM~%^Sd0%7O;u
z#26S*rR5;fpv6WTARd|lzRU6+njKK{L2DdQ4FC;`%>;P~r}+^xA&Z$NK;qj0a-k)f
z`QY;vJUhRG7c|ZTB@Ku*9-a4Lp~LUl&F0zJu8_;X@bWSP%p))bpcRFn-k@hUScOOP
z4@UmJH4LCuF`tL#LC?;94N!CQ|4EO=pSM{V82Fn(i|0JM+h>R|FgSMiFMy<98>SL9
z8|Kn7&+hgeqF{*wunc10*?4T{VrGVYA)w(SCoC!)JR6UNFJWfb=L=GyfT4n^RL-;U
z7%xbL7p#--)A=2I90DXt9pfD1!O<ET4Bf4<161Gl9RQI!9Q#3I1BTyrfzAl%gxrmO
z@F~lV59|yKnlHM$Gb9-pJeZpkKvcH~qer(3BZo)l<c?XOEdb3EKveJU3!n`GjfV?n
zF)-``EpUdcRpp3|1-k&8xzYP86`(cRou55AZB#s(_Z^tUz`#(#eymwxHfTHd?hUyN
z42=A(@}P0E?&cR7pgi<J1CrwTTfw95-OUN=AmI#kNY-k0m<`TD3Xl<YjoGjs6|%wx
z8xF=z%ni2~Iws#JhU$wc1`T62bAT-o0Ff*loy`dl(@sE4^O%j?oAc?`QSt3v3O-Ax
z8%<-2$`4isP^143D+6d>4uenc7L^sOpsobyf&d@rfg|7}h4-j{R(^Z*ZczcP0|#yL
zJ;9H8n0ku}ICy*asDNaV4%_M79aqf2&^-lWO6MLG4K7fmz>XG%Rvq2V7kokCbOS`P
zaCD+L5Yz<s>BQx*<1H#X_#x*bc<uu!1*H+tn8X24eE04F%Yq#Q+S&&4gAeq`ACKPM
zH}X;323qZeIQAIsHVJQ#+Y~?~Hn)MUCi3Zg2I{SY!VsKok%R7diwbCG3+Rjskh?bU
zGcfpco2Y;n-|;grK-`9K)1N#PH-T1eVR6$BN06HsoER9o(cJ{<9YE@Sd`_AHjYZGB
zAoY+yTcZNnGy-xMNEYlcSj0no)w{a{H8v%%$0n-lnj0YLbO&UvA_FCzdUQ8ufPB$i
z03vbvB?Y`P66PULdId!##8se^uc68Gc#BF0)MKD=s|nCF01^Xx$G7vVPv<v?w%*+x
zsGd>4?ip0)H7|g8Mguaf(t++70X0y>NT@+G?g5DE3#y=ezj*~xhDRtw%J4T~89o-0
z;VVE{y}JQKf-?LAh-ns(0htr%cB7~UZE9`-oxFvTnLv>Z3q?@E^#H9Og@gxcFjhc=
z5%u8k255kSWWfQ7l$qurhbBe_Z}0(yO9zN#;b=X8$g34qnAx2u7iK`SJt$KZK-~or
zLwA<}awf-c*9lLMyDor8kh{osO$3r_5}>XDiJ`kD1UY+SxTeAm<eCN$333g82L&<c
zfi(sLpl$=nqPuMa-WWu2TW2%F98i+pZ2_6%c!8c4Ux573{Q*Q$>=V#lGw`qg()ArJ
zDh^PefMn5qas;nWP~6tptN`)J3W!fQ<{($24ZA@(k%7OZl>xM|Bq|TIvBYf-XiHXe
zL>>b}T4%G%90rE&W>CC#HakF!ngN+s(f}C+s*}JOtgG29AEY}CqT3`NtUCp)8<a*n
zn-d_q10Zu)9w@p!x^+}uJ_W53#hk}zQF#GsWA;u_Ss?~(QFJ!n0hJ)V-5Wuzzs?>N
z573bB@fMW;c1UFj$~6%nvEJ?nP$dZ<?(r6t3?wC>8NIG<0g$(QR6z5mUEP8ZP9-}7
zLuZRh4M-ED|IPrJ^k@Ky^iEOf01cyd>!`p6bGll<26QxoOzY}V0U6K%Hm!Ry$d#Rw
zL1D<hee%{^P{>RM1#j2n?YRsL9g{)f-aQ%Q(9X%Au;Sl7`3Xc7C>XmYKLe|RgfB+{
z14Bo*U;!w;z`C2E@dYs#8ed==X&7H!&0u#lH$dWag)1nxY|fYiji0V&kOMoK7eJ&d
zoWar^FlnFO)gT9g>M&dp=hM3w6dpdEOH^=195|>uAmQHC434-KaJV0bL>#!Ij}dvG
zSs`%bff`+q86CoLmy-v|6R@}|$YWrD#a$7o#Dm72M?P2;H12%zL8>5e=LgOkoZz?<
zLW;X%klX@|yCdM-0t%YW<^zzR`vJ*oD^PMvS2M)CXAs>Wi_$uqpMZ6P+|b$l0HS*X
zB(I%7(+ze<Gs9d^tn7XOiMtoD$mwbZIk2Ny0V2Ht5_cSPp;3!B(kPBCP+yOM0i4y=
zsDQItw~Y#H@{VvcwV*^(2U0Y3Aw^RHN;IV)MN=9$nz+ExB#abIZgW8q+YF5+m${%K
z5fV)fkWf<a0)^#fjkydAyO4@Rh<Rxc-5`t7I-66#x<PK}Y)*jaz5$6Q4>a9icQiLZ
z)JV92ZODMdLsv7%fgQ~YAkr@&(bNHxrfoEJk`p;|P$FjmQsgW`iku3R$f-e!oH}si
zaDyX91SxWkL4p?=IY+?33yGWqkg)N9M9vDd;Dwm?45Axk5hxFVb%Wf{+57;an*p-i
z=>(c?usfO==7C~mcK{@EUcf@Xs~P0Lj%Edjw1Nvr)n<-)bjd?xMa~wK$k~AuIlGV|
zX97y(OhJmAY2e7=0Y{D~QslVJL&-Vwka7+rYziQeqcIOP=RnL$gXjiX1j;#J-5@t~
zHYY%IYd|8$15G#B9nB38H64)1$$*7^S2M_g9nA|M(h-oz>3~TO!klvkC2}qxMb0Ip
z$XS6BIctz2XB{|lc)^h)h7>u+Ai)dEIpE-h<{U`a%z#AB3bf#bnD-2#8)OkE=YVyC
z+|b$l0HQkp5;-T(bc5Z|%rGC6Cw3ozM9vFX=yx@P9N5vU0Fj;mi5!mk^vyZoW*za>
z&J&dQd4Uu^uaM&B080EEL5iPa;P~MK$B#Ht{J70W$vpFsG7ltp4nX2ZV?Ju;ftZ&D
z(G9W)lzG6qL2l@5PJrmHfW(gnnr^T=nj0W$en8?U0~P^Y%^(MMG%tWipMb<q2TZzQ
zH)t%6fxo34G|1lF1Kw)a<;d32d<^UwN0zkC<|81dFm^T{fSB<D;)xX~&Hycb1`Sw&
z^*%%BeFD|{0HSvR#0w`-^}<^bFF`#gl+~b+g(x_?6l7<9LY)ZMQShKOO?x0M8(1d-
zx&j1u>&CGwl+B}i3pgx0!aygPhq9z~hOszyhca}EId+Apuz7T^Q2_;4M<_@-M1>`-
zGnB=#TSTQZl%b=UADprz3K$q1yIi4h;mE(;6&4we-QdHTAwh~YI>6c>(E!#3js}n>
zeDTl)vACIG0XVB0xPz$8FJNh<s~O~?j%EdjGy|j^%CP{R)&F|*HiH`8pt(*1P<N}h
z8PvK3aV;QRP<s!=b%1a|jVch=1HuJ0I6z#`5!D{O&7fKx#EpQ+f@(Yv7j$HXM{hHz
zS^;r0AhMt$7sPD<rQ_aaP`L&=ua?83w;7b%K~e>5pdsZoIQyRD^<6xAn?acrWI+WR
zco-Yh2L*R!U==$w;rM`?#*lGb+=<7dcd|r2Xi$0zc<Kz4mO3WO<ufoi@^1$vqscNL
zHYg=Q)b&pO096j!I}J`;9h1L-)bMWyr>n_dKparg0;}w3767L%NqFjVTL3m3oK9Ro
zY;fvwfW)!}q^Ya10JX#dS<um(wg6n-fh+)(SPMYq9mqAE%?S|Q41VAw<bkFe?1JV7
zh?)#YGRuIaqpoI<(>j_LK%^}o$*cn=O?Hkas`m+vGM~=>pg})Sw-Yj00_n7387%PV
zoorJCiLM4VP<{<%>zM3R1P)PfbUA?7;OGLW^Xc6k0ulg~0UeX0KulOPMSwWqXacF|
zXwE4D4IJcxM8UcF7$|CBg#d^Rj-~_9PznSG^a`|q23gS2{0tIJAPYbhKRB8|c62sB
zfap$u=stm>+oKmeiUdm74B(;Y78TGYGSHk0XiFh%0s(ZmwnuL>Xcz>fQ~;_JyqENN
z3wSRnOetu0F?1lJ1-!)=I_%J*GLaFKbPS5XV>qB4RNc)c5KahK#sb0tZ)WR-%mjnu
zrMm^Z->S12q_w+81*E#O8>F?n2fS6Tvm30nnPDL)e0Fz0Jo*CUQTVEIs9ntp5H&AC
zK}t7sEJPl7>uv^_(|VweqqEszAp^r}uH#@DwEPTo>{0|+j|Pe!(9U&mG1!SG<hol_
zz-41+3wXOOD0D&BW1t2Zxc?6eGH`F7#2{M(o;-&wRv|jn5+EUR01|2*D87S*S_4Fl
zLKrv%GSJk3LanoT0YpszB$PT()PO<>TpbVyC2$>qH<ZBLZ&)aSJKLm%QYYlXV4_3k
z0K`{6AfdAY#aFP<c>qxp0STQGXlfv#!>|Yxbh|qsq4NSo4JdTL)ggh<0oRduLkHad
zg@q2dw@cg5QGoc$APD5S%^ZtRa}y|wb~Zae)J%Ycjs}_<Na!R$)Et0>jt7bwQ0Rc0
z0|Y_`+(y6~I^Yf{EOfx#P1=S|1H@MukkH9M@f9rXEP$vv0STQBG&PXWIRH`f0}?tb
zP}G1z2izRO8#*qa16%MFKH%;jENH-;J=zA%1BjOvK!WB3ikDzP!>|~Xs&+GkgQ(3f
z(9}SJMggM6AQG&GV=;091O*MKIS8t;a8_#FEh?ZE9Y_uC+6>(Jf`tyat3}(;ae(;h
z1|)PeP<#aoodk#)3rOgAps9g`P6I?u1|)PcP}G1z2Qtx*zv2RQVDN_yxFZ7#9dPe~
zwxP2C;wyn*aGZCb_zD&}2Ow$+AfdAYO${V;9zfJAfP~Ho6g8mG0Uz&=H(NoEEW%sp
zfSbXv&;d7kX&X8WOF+T0+XE6hFHn313mpZBng@{3;aGy4YaorF&SnRQnj4VN(LhlH
z3LQ{G7?dt><t)(pPf%wCMB~m`;Px*hbdEv7g{d3d&g+CU`k*du0XO=fPHO=-`bcl*
zKs?j{2^bF)4?#_CZh)xqhysP-<_t77kos%^M2!GMO$Uk^pWeknd7wj2S8L>fwrqhW
zav4Eg&IcTzJ6~E<UT`olfYxSv?gKUcAWLAjsC?jnbXtEvRWWd4Tkgcc$-qzwx<d^#
zLD~&Imkc&=BEShTUV;<URs}8gu~G5tZC|nkvhY>`Bn{pK20FkD6l)q_5fBg3iEU9a
z0EzTYQBeT91=4|io#oNpETIBgAD{pt!AoUu*tL;DyFgdJ1c0u^0iDXp06JeS0eq1K
zES@`?4?qH51Jd1Gfg13gIVup{=#%y?DhUW99zcwkU<;1l6DUSF@^5zm4be1vfI7|H
zEGnJNE+7{Fc304pyH6pg<LAoM(d<_UimfFo=y3{~-X<m{kAflq6q(0cR6v0Vj)CJX
zDzM1&>}}si{lN6>e1{gE3`;?wu=@feJYS#$2Iw{(M0i4C1KM=%Y*v7146q03-^{TT
zx%hyGVge}az@ZoeVnIVO0UnA;I6~25FDz$(wh`hAPf%Fn55m(V1|fJfpaq=#JvtA8
zJD#XX(xWp+B>{AungDFEVrR1hBqTWe!6Bi65)!CEh`grBMI`}YL;}Q!4oF~npcnxU
z%nDEtf&;Sz#DWH92|O^%a0O=P8WIW@-0ATKIe|F^9GEym61w8Ev$+8h0uGQs%s>eN
zv_OO}9E3y$+=vAbBMv|Uu>-{jcpy#y1symLdq6B`Aa=k5u?t5a5?NMvu2I3CBA-$<
z5FvRIbovgWcsl?Ih6+eXu0RO}w2(wv)`VI@LX7wU3CR;EM!-XI1t|Q$A-M#^f`;TA
zcu3B}5t5)>iz`PXXOI>GA^C|0Aqg+t7?y!D^X?6hKzxA`0z?L)0>lUd2arjdIhJ89
zAP<0o4jhPkKrCn=Zh!~kCaMG?)_E3Ctpgf_LaK58V+1K5Xpp_LMFrAS0P(;T73ic#
zPEZ919y|rL7O>=SY>CqW5-1Y_z=5KH5-3E5YXZcG2FRjb4-_NdiBka-g5YqK0kNRr
z$^aQ+W$I{VDgwD4v-&2k;_=)ETFwe<xZ%(6yh}-Ieu1kX{G}#Z72W^|5Cuq>W}pNJ
zYM4S|1fIwjKr}9Zq|go&jqs4X0ZNzPkh}t7b%7c`$B@GG2!!1(wG?!NZ}W^I(8&Y)
zWtK8Cc=m2rUCPX`3)CFl2_hW9txs@DX*uBA`476|xx2YQ8PvM00Ff*lpd%&h`P-eq
zvpt~3DT7BcOQQ$liT@8AyW8}ZGBb2G=Yb-!yUl1RGs8<^&|rLbn;Ei%6-eS2D`-DS
zn;o)*6G-AAM8XYO!V4q;I;-BXyUh<-A_ye09-<=*St1G~F%2RShb)l<lBk79q#;XW
zfh1BO5_!lHMIZ@ph(sB(L={NF2qIC3EYSp#kc3FIAxm_DBtX3j$L_X1WQj>2iRUcf
zAen|NF$*Md4k9rRSz-}LVk<;q8M4GGki;B_#5!b&O(2P8h{QHziCrLxEQrKDWQju{
zi9m?NF=UBTAPEbI#5rV%OCSk(h{QEyiCZ8EHi*PMWQj*0iMPyPpFcyEcm<NU0+D!!
zEb$2>u^S@s4O!wBNMaF0;vcdE(=uj;mz@v^wq;03lnW#Qx&_3syNwT7LI@-g4$&co
zEFlGwu!Bg*AxkKMBvc_1YRD2=APF9bgdVbl5lG@Q6WHfw$P!i{iCYi}J7ftbki<cV
zgd4Ji7f1qhI}|8?AWH;+B>Evb!jL7RKoVsTi8y45B#=ZbL?R7YA`2wp0+Gl=mM8*A
zXhS5*kR_@>5<(D(I%J6^ki<_$u+Q6&CAvTo4<HhK$P$x462~DD(~u=*fh5*JB<3MY
zECNYPg-9$zmRJRnsDVhVLzdVCl1PR~Y(tjV1(NWBNbEzFI0TX~gh(7imN*5H038hL
z*xhyxS>h5%;y(k}=hu)WZh<78K_u=WOFRNeoP|g{LzZ|2k^o)y=Gfi#4q4(8NCLDO
z&9S@f8?wYNkOb&5F30Y+f5;L{%b6Ko=Qwt^u`Nf=93as!P|iRW6#|JmICi&*A&W|Z
zM712d+vJc%l|Z5*j@@l)$f8<cP77$ZwznD7^8|IacYwz>nn9gU5O)KF3+hUNxF?|8
zLeLCvi^>5A7t}`p$=-l)LEQup_X30qYWIS;FCbh{(-*{j0O5ifbRg~uP(!JAH>geL
z(c27aj(|itK(nX4&7e9J#Qg!%gH{oG^mc<PSC7sfl@FW@44@5`Euh1+ntw3yw{9>2
zjYPCXEoWxvXg&g|4#U9SJ^<o$wZ(&F?tnPmZP8$v8z2t<_O^`W;HvrusH*O6OIQwS
zpfrDgN)|yR4T>2+=YMBGBsCzs5(tl{7(D6SR<oR$;U#FJh+|iq5!gDYL-fGbK^<Za
zmVr9N7%T&Ghy%nfs6#9uro$ZK1(Ae0#0eq^b%+my2XjaWM5zeGl^EA7H^1Qk?ZIOZ
zgP%nXIcWmalm#zB_vw6}m72wvl?-m1K+YsT3P1fEabdGh?-G?4qHt5-Cz|_oKKJZ=
z2{FPE`CxPGhOAM!fz^=r2tz`MaLEa*hLGqI(574H@f%3qA<-o(@VSI3E16)JfVci(
zF$5AIAQO-Rq_^8950p!Lz{_Slx*0q=n?WL2A`H~O(ZISL33Qnh=->&EEGSw*Tjw#u
z;kj?;&#cre=B#8yta^4H#9@;JKXhCdK6(XO!lD4$F4Vn71w29b|ELEzHBM0h3H$W!
zQ337T$F%rA_?qvmL`S&44RG7b0ks!on*i9h92E`^gjHa%-aRS>SV9<PPdF^W8F+Ma
zcyv2(cyx9j0QC<#n@@nK-rW(PUCx~~DjXiYn^%B@I=6uRv}*!80|V@8Gl(g@t3f-u
zu!YhN&=P#m*dDmh;{zQ~1RARab)`Tepv$^EJCA~*?FApU;qMP1Ezl4Iv3+{ysDLzq
zLNWo1_dpjlf=;<-V1Qhlo|Whr3~^)g5nLhK-Mj<5m+}CJ)ZqZ74$#pJn3426D>XDL
z*%2Oe3b>=_1|Q@a6HpXA0QsSJwG${2L%jkL0(k*+WfG=6L??#s)u35hP+6yeH7wo}
z=iu(upqXHhza_951NAo|OL%l*E9gM6c!Cd_CH8|N9Td%=sh|s>5P*6e%<kQz0=fni
z-Rt1&?-=xd3%EW;$qiUj=`PT|6j)O9=?3rJeeMIzd$1j&KE2(bU3%D3CumPM)?{}8
z<Y3T^9$<Qp$_W9?N`UxsVg)o%KtZtqWMcOk74X6<M3w~$_wG?SfYl<$pwO&DQ0etS
zfPn!X4Y<<t@fMXCNH#8j+6Z31g|HDU+`C7G0ZWua3ki^o4^V8x9t)tUDR?Y^PUnMc
zH}&XU4ce-UCE#7UAw@c97d6)KpTGy2Pn)9B0j8IzybythKd35)R^rd0?u2D<9Qm<(
zHE6dpD7%49I6+TyKAry|xddMF;xNXeyZHmCgy{YOA|d6(352_lYEx)_L6j37okx9p
zr|E4(I=~vy$bg&x2Wp#uO2i6KY@wVJ-vAN;XP!AKAU4P&2e8`vACiB99fMG^4)#*2
zdo^fdASlzXz-kzVCvX`9+I|Rf6X;?*A_5n53RmxB&?Fm{gaIl-GN5VKa}S~jS)x*a
z6bfK=?;e#2SR9TV1n>yJUdQbMZD)k1+wL6D&j0s5-Fv{boKNRJaI(VT$Ox>-HUZ?w
z-Zd&9Sx^&a1(w|G1G?*o6I4AB+syEQ1_)?MIRIos_Zk)O>O(|k1`GG@QCWb+LTDxd
zW#$VgnHhV8f}+3z$wmjLjo`J62phq|pymvgI6$%yRGuLUEbPSxXs0kd8am(mcK#(0
z_!@i+pgRN^JisSzfhrkL&M^R8|G65phSH~ZiV8>#C~;?Cai34;b5JaRmU=+4eh@gS
zLHz*iVbZ-Cv`!P0$Rn^Chf#vyt{^3#LF=&tmbyW1R{#YvIFC<J0keDes03iK3X-}(
z6(p!jnw5xXi{MD&phIopVGFAuJKy<2cRJum-5gj`w*bhIpbIO&^d6NCEQt*iuAsg&
z@s;BbUPy}pH9#2n7#Khe!|pXI;3cGpga?uV<*f!Rwj(9H4MZmO7f|a#4Yd!v3=E*{
z8{h@02phq|y?ay~utW%wji9_oD5)DDHJyDy2Vg!YkkoJRVoT}|co`VFSA!P9f|5E&
z4JfID?)StjfJsj3pvAVJq%MKQyI3kq+)4ceG-y!^@(Z9qh9-3|8`KuWVih8(gT_~g
zNa_kmVe11bM&6^h1aYMH9lY3j9tS|~1T_J{^d6NAEa?rD+QAu-=q}?5XqbS?@(m!9
zyVt0IR{<lE8(6q^k4gd-3z3rB1R@jo3?v&DKy3uCDMr``76vs1u|xxsji4MyD1i&0
zM8ba`f<;XS)&xF*mw~~hdp2k>Gbn|F6oFFs3t@OO5V^SpiUvqw?iiev>`1sY2d$U}
z^_p&AH4oZrLbL~QC-Dks;G!n+22e0VlQ@{&yGP{$7ON0R95mjSm53NN!BLunYCS}0
z4sEdDZ>VHoP22?_7xu1E0m*{$I_T15%%bBzC}6?4kLdIr0SypP`c42@(!E9nyp9`@
z+Q7n~`VDvbMyl4ZSID47iU*Qa0Z^;J%fS&=frWebsBFLz_(%!603|D7Z=`%+LkxP5
zRJvGTP2djT5*)M~9hAO7YC!3G1{Qac(O3a3c?VUIpqt;(V-?ciAtr5WK*JX`Z5x0>
z8k)AjY*0HKi?b1F8`RT<^j<+F8leK@0ZKT73J`GH3`fx|fi-n2fZPbWEsqz}i`=79
zfyI5$fg*6mBRX|+K!XI7x&=U%bgxkXFE&RcIk0f=9u)%$Q}+)ZNV5oJ6$8{N@S1gm
zRbXMzFdr80A*JpBB2qW#vTs<y0=*p;(uc<u?kHn=FL=<$^ge(@j<=}X;K5d{J^;yr
zkAhyK0%C)bKS&3t6iUG25okPtP6*9P4gJ4G#ej=}AuAD4$m1FX>2CG__0zipKqREM
z?t#^OjE*j(w{Ad8Z~X+wHz>XJ3m_42{+OczVuSqUfYn|Sd+VSb2%s`T1FK;ep1@vi
zcCQBQY5=)O0;@43bYylw6DVr&Z~*T8DJoz#sC9?MDn#)B8kQoWb$0-{T!7qPOsH^J
zfi(ec0J*StjS5H>R7gr-$*7=u4|GT$@x7QC(9i&7*99OOy4Qd=i6f25gM~rCfyF|k
z?COA$U9m?asQT+bvT*{`M)0-`L;(R72DR(3L;#YFprVRUvAh8}_&f21Jkr=+1=a{?
z06DgIjS5H>l%QV-!5ej`V|$?7ZUN5i;3@!L_Z)P7DJWInK$wNpK*UJZI6}3%xdBvI
zba#MANRe>@tAQA~4N_zn5mRJjfV_`VWE6lzphX6V4f4nVtoD*vWPrBifI@f$R>Lqn
zfjv~ZSA(|tfZQ|#t1%dXi@UWP0Zp=~UHt^O_ot|U*`O8$7ON0N1~_XH9{X5;oMxfJ
zd!WM`KofJ|6h*Ld^8h&w99OVGrT`vL&l|LL8&q+D<Ut`*fyKGdiVM;&0Zkhb?v{Y|
z#em`~1FLaF#uun;u)rG74j|uvCPzRk?GU8|NEqaa1gy3aJr@PCR|BiP22guJ8-tL_
zL$ENYAArSPXz7I155V3kpMVs_zMXGCr}u(9$N*aG32DIi_V$@<WM=T_T@6}ifHlTJ
zyJtY#b3g-e5+JvNhvr}d@(Li4<1H#2JPe>6It(5=xEL51VCg{sBn!^FQ&d1~(EKD=
z2WY$qkH-*`lGr^4YE{A-WS|}Z{Mg>rptTg(ym7om<p(zd18DEN#}1HpU`_<x)&okc
zAX!j6YapBjDsLUZJp|~C3M{t4*#lJILPqOB>n?EE`GOl;uzcWVV1P_~&rt!hd-td)
zAnb*g&5%3|nXn)@T8|Xhs6hf+c!I-uH?RiD18z{qV2TPz78E2L2&WMnB+!K^7;8_C
zx2T-J8YCBxf&|P4Rm=!`$qEwC$Pt!Q;@RD11+I$voIpvxvl~34-`NbB-``chirkTX
z4x1(Q>|N&t*3*XWC714Q(DGZzRbZf80U9dZ0rCyFWCV$Ta?Sy0n1W<Lh1d&09Mw8_
zG83M_Jh85>=w6)<TCm-_N96{>7<dq28HL1UOaa)KB`PPd8iP@!Lh5lJ>;VDt_X-Su
zZvcsaOMp2lAT}sH9l&ZEiM4kj)afg*8ivE^e%PH3nPUX4#Q;Us43Lw-b0@IKTL2P)
zdIHP_%_U*67dgMe#}IG~RtYd85*oNqiCXvet_#ENR+sK>&`Lf~Xm@ZkFnI0-Ef@hg
z6eKbMn#w>jpj6g@a3m<_LW?n?Cx%<WVZ2AB0$~g}sjLlb%o3Fhtj6GostD}fheQ>~
zzo4k90QnbmbPZAjHGsrG1H@QuBQce=gPp!c#RIEh(4kOx(Tp>nfwlpF;==-~G0+fz
zcQO<p`7DZ<5Xb=e9Hpcw0Es{oD~JusaRylJB{3{!g2Q5oiUd}}pq@a41;i6E_&fpH
zHUf&q2#}L^fa+#g#3q15pq>D;K|Mn(_L7q0Kvyils{`m{A80-c)Zm33?eE*WF9DZ}
zLFEI?#U3E{p|m3cz#^c=5~u+L@|6Wxgqs1f)CnZw01^TFYKjVo4QdX7^?@ooEWQJE
zv>`(~jzNy$D2uhQw~IC~APN<q&gU>+9cu<%lEL87-ORxa+FByO4Y?kpwBT6tjdhUg
zQC>)Z_aGfu2R+s7c=L~SAm4yQcPoGvyY+5<unu|G*0E-Z^$@*3K&$L}H#4k<>OIzM
zu^zNjs(1Gb(5)Q3n-$hGFuY6yAD_4TgD~vw6mTsEx;-!uB-7n|Kp5n>6T%S3@wd8y
zPJ?fr0dg2~^8^sp=>px+44NJG+zW~kP&>{Cv<=mxH$+7MY-C5XNiO&zY`<>Yy3zD>
zHXG!EE~AcE5BBf|kZrx29o8dz7_`I%bP-DT4+*dnnM%DN;Rm{%5k34scj-ui{9^zj
zSvdY5=mdwTM=$o!Y}gHQG-IiAH`pb;9-Eqfs+Eg)>;fe@2T*A8FFwWJ^p25%q1WR@
z^G{~}rbmpRWzZV@EjK}o1HYPo2=KR^Wdv<?H&OBJ-Pgdw1Zvq^fX@|Qqtd_%+Kbed
z4Q}+bsC2M`h9*H7&In|BXN$@Nun33;5(OpL8LSKp$68btfEvulTU3^SXi)E=cZtdY
z7EmwZyHDpoALyM&;JwLQ;Pb3NLD{16gA1(t4=6(%Z&6_YWd)E`y;H!$l;F!TAj?QV
zTA|LHi{U&ikRG`6Ks-Xu1Kl!+;w-S)-O#ohmP_{#V@{xI(s8FA0|NuNvdl`&;zC&m
z4GlNYrF)=6-`%6aAOKo@3k|)_9u-_+hUO^9ZJM5)$6@EP!*0HZU$zHbgy7NL+yTxQ
z6W|#`#|?Zh=z7RK@kn_Locuv44}Oai=&mmQRx>ux$qV2F#@}iHK3NQu+#!jKza4yb
zCTc=!e&Pm7N4r6T&Mw`HGe9m_4bp@C4xnA&JAht!ceAK_cl)S=mdhfwszI08LrM_P
zN?BML40ddHGstMrtwF+&U<6(91nMk<uJz&v#rzJ?EkU4M4oZ-qYra4S)N#NL*oWTm
z5&%AUe~XF-hz9Sg1L*?k&;XUWU^8H;#sDPJyGI2i13Gq-0qhWu-rW_s;45FiW<h(D
zE}f85A3%3>`1CHXkYr*YT&A=i2d7~dl?+I01yopp&SWg`+z%?TK<@DAtWl`|msmcX
z&%pMB%0X4o&_i$Y3{Zyc7E$Tyy0Vd(p`&|VF6gwuu1gz1`xLt8fLz&iZX+{8XE#`7
z?`qKb8lWsBzydw;f(14^^2-xtrKti70|WdT0nl}^@M{D#SwLkKBrdu^kq+8tVE{D`
zwDAla7vQLa#6^#a16T{Bc<zQ2&pj$0P%Qy0u=@?xs6>Fodb>fv3o<nU#07V&A#v2B
zk^vS0@jzh%Qd0mD0i7WOqQUVA3OP`GHh@Grr>Jy*=-w&dyN|&E*wvx}@)7i?!WI>f
zk2=7I?SnSPLk?~Lm0zHsC*>H8F39<bxNi^u-=hG&K%oP2*#P*`gN|+-cM5oPH-o~r
zyBi$Xy)GP#ptKR-u>-W77<_ebr;ADi^44$E&FJ{=o<SJx)4LgTHeo{z2MaT3rz<1`
zKxq+N_3^hlFoD+d*r<5)wqM1OU_HQ-S&&FM3UVfTn)U2_Uy=yA6Qis35h$%TLvGb*
zeE?3Y&EQ)#TJM0;D&AW)x>{duWM**eaAV`&-ufJ**Rj)$rMvYpNVJp9r*|{xP6{l(
z0Od=M-u72G+z*OtbSJ~y`yK3F$ek3eUqN<4?+|JI2zD>tJ49Olfm{Z0?;ns}h<ks4
zL_67zHG}ROVgy~Bhj!u6v1ah`H!rQ37#Nxl{B8bW%ikVx=Kp_>UbL;fU2RR^jY7~Z
zM0MbeLZFcx$F8<^uncqyQ8QQuH0<TbzrAe&Wa|%f3sDDTUl3?$4<tDYA_?6>GzlUJ
z9jTcE;em#-KuVW@_A>1_{r^8WfE$mqZ((NGSIfY_fVigl<ucHD2;JcH^B+g}lahXT
zHi7nTLDLV{CQw-iO+Rd#K%3<7-OAF{D!d7vegr{!A@RWr5+x%2h-?CF`ob)*@ueR{
zuzR8DM;>G+Ed5A>-HSi{Xn<S>Nk3{Jy%6^*fkZ*+2b4+}AjyaUOEMx@2SK7mlLT+^
zw_F942e8`5My0FY4CF&-?bB}pa%Cr^_UShO`4C$B!0)Xo0O>#8qEf+xDEb>fVu;&s
zIzZgxEh-a`lz^^Q_vi&54h5>i7C@DNHrIlNHaz!(8o;1B2fROk2~>fBLZ_og1=Q_@
z7NgAYI_m&b{|P3@y}=$Rb=CzYP&J2EXWf8md4S}q7a%c2o%I34MX9rXfJKn&EKvUv
zR6}tv!y4AGItw(`gPavwR3t!ZdZ(ytV1#y=x|+fFuRzPsX2{Jfko4aSx?cwWtwdeT
zl-;lcu^V)65C3+U-JlzNx}kQ1Dw6JI$cg))l9+!x?1~Za?Lbi5LAL`T>RM3bf$|S}
zU5oF$DYVugsJ92YRS>k4g8@|iM1T!MG@}s5yx_Y$ipbnzPEu}p54r;imRmq=H5a7Z
z(&dDdTe|F!atqG3+VE_sA+;Y`C~UGJ#X7vF-`R}sz%E$J4d?wq$SpU}684U6(E9j}
zZX7oX5t)u$NlHhJpmGG3jzFbu5K=no4?s#s{XR(Pi0-BCu@-Q7%P`yuT<FzQ&;l27
zH5H`5#eX#wq`)QjS}aI`3%VQ&QQ(4Z$AT5Opz8x*1up1j0YrfdzS0X?;DWC7!cyR3
z&nfs$s3S6E2a}YtF-ph;q?Fwihm^9rqL5PdXbDMfrxts><2#QJRzl*ux(m641nqq2
z=mu@8=;+3AnHQ1iD3PRegi%7~A*G}K9HeyApMjK)MoY*Z73wreAs3H9OGwDAaF7xb
z|E+M45|Z2-=^!O!8u%(ASd$cVF&(Ug1YMy5D<MI*s~}29@NIg~5)yQq9?>NvzGEke
zOxgJ)rEH86vIZ$-cU2*!?5;ATls#HPQn!S}ci1MZgv5D&9&!l@+BnnE4ceB|(T(Fq
zJtEUlElKGJqlD~2N=N-2Na?7*1t}ekmXK5`A)!|@LQBXe;Hw!SB_#f<86hPkxz|EM
zN=VS<kcc)U=yph02?@GJ3RXgbZkR%pkl-sVp(P~fN=u?kNPK6?5}C5QNlMumCFBgG
zl-)HADP?y}LQ2`AB_wrANPLIr!b(V-mslc~kf6O+9o?XfT^-#xuC*jG9nB;u9buG^
z%aGDh{}QBh)V}~J9gUWdR4O5%_oG5f$Q1AuoRAU{|NW?t5|Z4zSs^7P=!RBA2?@HQ
z6;?umuF`{*kf2-k5G5q|URh`f3A$I7=o0b)p0lEfOxepxO4+{1<42%z$Sp`IyK56t
z%I;c+l(I)lNNSXjIVu8(A!vMuWWx$coR`TW7m}dkraHPoXIFJ}<G5ZHV-lGOG&~;Q
z0otq&US|X1;+{lifZV!nVFz05wE4ws<W){YrqZn>rBaOY_YhJl?LUB&O8fU9rP9&z
zmrCU?^a^BX`TGQ^{KbC-GNc3rU89UBK|%K@!%9%lb)&En^Z?|VWu!}*VbfBe>zh4#
zcjLIE8B)fBE{H~yzo4t5Vdg?^I7Pn81br3=X+8koG4n*G?879bY>e{v0#eHEI){|9
zyG|jc?9uX<>g6xKgWqB0FV4%Nk;`AuCg6^4(2nAcZXDM~Q&|2sKo;@rfE?PNK~DL5
zk)%|LQU2aTN~QgGkWy*?4Wv{$TK-b0{Dod|4lRFEKzD;fr`GXbaSkbekD-i$g6>I&
zmA{}Hm|^9l1NicB*u=ccd<F(s`3t(9-J^Fmj!W1f<uB+0ctrUNx*8s4F60_%BFkTV
zmnaZf{@y1kWrNp?z~)au?cX;@DZA?xQp)anhLo~#mcKroqiZHXN3p^$55u))65rh=
zu;Lcyh3d$~EocLEM>mek)w{qeI6J^MuwxXuq^+DxfE2nX)WMBj50pX|#c1%7$x^vv
z%?`66E36eDS~O-u7wc?bd@Tc!1uf1(mo+?qn276WjwuGMh=;5=!m^10ycQF@$ga2j
zElCMqh8^S&=we~e!hv5%3BUgbQo`^50#Eopy}Lo(ZqQOHT+6jUH+*sU^ezUi_5q#O
zK+c*i_?=XsO=%mzYqmh)K*Kd#9y>vctw77fK+A^*YW3DLND&Mz=AR%H^AF~OVr%yT
zUl6tV#C+6S<srrVJ(ObpPCh8%fMTn&`39H`ijmId0}wl4&1|G%{usoL7ogoVy_;8{
z*#Rl$nILvRbu%ED`v)c0LsoC)sGzUj!gt*e5v#XyR1y%|b`V|X1(2+%;RFty4wS43
zYU~FfauoPFMEELZxDiJ|N!3Ffv}Ykjg#)yKAV3_k3^76+WbhOf4smD>?&Z<w>=wyq
zVCZ$x_}Bcyj=$|JXhmLkvxYiofuMmpc!LiEf9p18kdZ1XzP(#iu<oa6QTYwdw$P0s
z;I-=dpnVJQ9V;LnWC?W(uFWB!Ee((jHP3xIzk!#tgVsWR0Pm&&IkS_9-83j#!8=}h
zTU4|*gI2a8J5ChEaUdQ6$ANc~fAi>V0q^;NT?B}5A81zyZucQ{gN`Ob)(vx_J%$s_
zP@D+j5$VJ!U?-x4A86x07v!j=V=XG^dy!zSgX~CxYlJzi6vJttO^TpJo{+s#ARfg|
z18wdi-D#B=P6K5MgwsGg@|*_tJm?N+RtARd4Vb&7KJcS#T_<k0)C=ftDUfEkBk}E)
z0;OhHwt&V9Y|GRU%q>&T!N;4Us3WqqSq~0J;+B5%w}5WM@#!{E!FHYkXlp+MDA+;%
zlK}0_M%{Y@+IEDx_aldc1$6WTNG<5Br5EVi&_IPSbQ>D#u>f5jY#rTEMWAg#9xQ2{
z-4R6$4BZT!%^{FGOwi9L02POzou81V+#VIs{!f$^k4J9~2N%dGAXOlz+#t;<P6($s
zK%HU(qQMU8Y<{o+<kDu4E7LlgpDY0NOhB&hXnwW;R50&O2n11^Pb@&K7D2{#G#`WL
z1{s{z*?eRHs9FS>-r0NrqT2$Zdj*Pa6#sRFa4>gF1|1;N<-)<#(F{7FreQZ|894)g
zt0H72FKAseDCRIPZ(gHP08s*31`Sezd3W;~l@3ObuRy1LcR=oL?rH{|Al?DF!I{_#
zoO`FJfHwSs6LL4?y5~;t-Ot@U;Om|{yFnK&clUs=d+zMEC<5(SWmpIbz1<y<V0Zxv
z22jBSF{Bx!p|e>5qUJ>?Na<#dg{ULG5OW+LY9u1SYBW&Pc=R@d)**s?k0*dY%N9XO
za0d{0nJp}Uz^iRZ44^gO0D{%~M2ArV#BT>6VdR10H|T}h%?%JW3SnUXXP~KpgwX<s
zngB=`b)cy6=xqkAL;?i>o-hI}TmmV<9Y)}VcCau4ueT#DjG#9f5*;=NAb$D*37Zut
zeu9O~1BjXkNZ6b}Qv(SbhDD%&+uZ>Pn-?f*JbIf!YY;#l#}hW7B?}-WxWfj##0C~N
z;FUJC4I2fBpA3RPUfayE2sPh8@|FWc%>+o;XrQTqgiQiO%>hW*c%Z29=xqkgK7u@s
zCu~5Il^`X!!v;LL4htLb96N2prUBxo3`p2yp!f-vZWch)oPdN)2bvm4*c^bU`2h)=
z6)0*vdYd8R|1Bzb!Ui-Afj?}(b84`#0Z*;bHf$b1{ImcPHYZU01PdF6#h^sBn;{%T
zZGM5K1`;+35H$voU^N_zk<*_?Z!=_U4sY0ih6wS84S2j87B=8vZ`y{91H?}^AYr3{
z;wM<xBtX<yK*GiYO${V$8X#&iAYqe%qNZUtXuttcyF+@ncmoR5$;Ka0;E^g=K!J`A
zq(|+(0OCu5U~mfPK=CCkv<^Vj6hK021)3U2Xgz?aSpW&G6DVptdYdKkA^Y#~<gyP?
zCAdo<fdU2wc=JaR!kGv;ZUEF$fi!5Kj&1=rXu6xhu4@4|Xh4^6fSNxf*X|5UK!LN{
z0}@6rQ2Yk9u2})1<^d#(IF=yiB&aKz9Uy9MK*C4^MU6*qGpN-GN+Ec{2-E@xDZw2^
zpjId}jE+I_856OsLsBdD1c-MUAi?5+;vHD9EP$vHhysP+<_<J9;K*rifT;0+sL4Q6
z1F8g@e`N9ZwSb3EbX0tL_kxB>e0mpyjvfIG%z(O-9=)w?;Jy~}U<7Dv1QcA5?lg!;
zsL$HF1>DE?=zI@8dgK$hl?$?in86azsrHaz2#D@iaNSTBZpCmRXn+LaLJ*ID3vsy&
z|1b$m7lw;YVYtW`Wpo6@Bg;k5ff0~iETa!N-SZd2J)ph@B7{IZkeMJ697mzR%tnnn
zsC%*)upb%&jc<(bVcdc~zy<4qL(&w8N3wgssiC_S(vQX*0eL{q2*?fS2na|sC~&~e
z!#x6m9!Sr@N!7FSm`^8!i8wFjJ6H-P{#vm0Ks|56ZcsVH#NU$r2egU5`9m^jT=PdV
zcwCdQRM(@s8FbxOclQGkun<$J6xLIlz(%7Ve8gN@3OZy4bg)r3^mwD@A1t+8urrQc
z+d<AnV)E$Sjeaf?<kTq8iAm_EMiD-7%A>m(blOgLH-`Y&(aa!6<35B+0Wy8VdNJ_-
ze~-@Q1G5+yJQ|Nwh%+!mJH|N1I>tH1#~y|qrX;ZQ|NrJ68vHHnAO_?xrFPa`|Np=I
zw&VYQ$F8<j;8Pc%=P@h;pSl1&k6}Gn26`UDYOoCKJcb?1!RHu2&tupCIb;EL9>XDs
zB=kInT@Xp=c??G&JlJ^*XF%sMXmo)catUl5)FJ1<)<GR|9V`QN$Yrn$%pngTc0nC-
z17bSNA+I2kP=`E%NJ1U*2Ev0m<O|3l^E<%~`31HP>X2_>>!1$#50-&C<TqFb<`9k*
z$RWb80+f5<x9ti+B%u!Bf=EIgA_C#T93r!Vnc<}v#34#6Ku1SF9U`{^bXo+|A?jcm
zs6&*&GBAf2K<t8shz7)TScq6bB%uy5f=EIgVgup99O43UNN)!?M7+S(K^@`-whrnL
zf3OVHA>Lpam_s5Uc0nBy05KirkR*sC)FDw2NvK0oAUv2uazGAYfjFcHY#r1gd0^|H
z4k-uAKpj#HmVr5>0b&=_Ar%nQVGiknNJ1Ub1d)U~qzA%-Ib;gRA=T~R5SazG4(gC;
zVC$d`nGcqMI%GCj2Ii0z5WAobSpYE|=8#PgNvK0sK_sCL*#hCg9I^-GkWX!3ha3W1
z2X)9kuys&}90$ul9dZ~f19Qj)h+R;JoPd}PbI2`-B-A07Ad*mr+=1|54tWA{NG8M~
zufWzp9r6rp9n>N3!7@;Xyavm_9P$HV7t|piAg03{!n6{crJxS^1(Ae0gk>c-gTWla
zvyz$N<%3pmhzPAj$~Al|k#dbVSO)44VXzF$Aqo(?pbn9Mm=1G@7DN*25G9Bt)FC<$
z9?T&oAcurQ9AX8w4jLk6VC$eEVh@&qI>Z_*19ON6#4e~q93ZB{91;YPggV3vA_;X!
z2!sc7NDRm!=UTuak_5I6>X10Fbx?<-gJqx&Ne0Wn98v(W3+j*zi0LqgR6!)64k?02
zLLE{A;lUiz0&<8e#35Z^>!1#416v1mNIzHx>X2@*49p=jAa+3=G67;b%pr>)l2C`t
zf=EIgvIN3|Ib;pUA$yv^A+iZ<9n>M~z}7(>vK=e~b;xG049p=1Aa+3=vIAl|%ps>B
zl2C^nf=EIgat6YKIphk+A;u7g+yYw%b;vcabx?=g2g^VmavLlIbI1#bT~LQSfS3+*
z$R~&-)FH1Rl2C_yf$(4s`2%vuswQxVFs(w$HUGfYK^?*lmVr8ic@-!>!5kv63Y<fs
z4&hh@&XX{QNI@i_4iSP#LLDLl;lUiDvWl7Er6R;3T43v-A)*Gh4(bqnung28+F%)&
zLo6V6K^<ZMF&*X*Cx|4}AyyDcs6$*JJeWg#Kn|JF2o8}Tuys&}_<^m1IwTw{19eC+
zSO(^h1c+TwheSY3hdCq*A_;X!5=0W}kQ@jP=8zJQL--*MsRCOEbx0Z5I;cbH!7@;X
zRD)$;4(Wi{1$9UR#B`WLCP5^j4(WnOLLD*%!h<<v4#**G4d4)21hx+9ka=M1pbl9M
zmVr8CF<1uXkPQ&Kpbl99F&*ZRT@XpALpDJqp$^#t;lUho1muvv^<amb0$T@l$T6^W
zP=}lc%Rn7+8Y}~I$PI{HP={QAm=1HuBZwr_A-5osP=`E$@L&#k19C_S#37%+)<GTe
z4s0FNA>Y9=P=|a5%fKAMuo^kn{D7Daa|jni66z49)!-}zbqEiH2XlzXYG#I)uj{}e
zBDESR`--gwWm2d^<iRpfhe(5EU=GoM*aZy{1&HY|hZsR5p$^f4NJ1TA0^z|NVgqtW
z62u`+VC$eEVh6Sk>JWFZ4AddcU>TT00w8ul9pV8o9p;cIh$Pe@K@dr(Lt-F2m_t%P
z4!Kzi4v{Rdbx?<-fvtl&Bp)mTbx1Z?2Ii0oh+R;J6hKUeIiv|933W&nL=x(d76=dK
zkRFgj0w4~V1hx+9kUp?=P=`zh%Rn7687u>H$O4F6P>0Nbm=1HuDu^W1A&VfAP=~C6
z@L&$v0&>Xl8gPj00$T@l$TqNbP>1XX%Rn8n8!Q8J$O(vDP=_3Vm=1HuC5R-{A*Udc
zP={QB@L&$P19FHR#37Hs)<GR|4{ROOA<w}wP=`DQ%fKA+0b&=_Auk}N!yNJpA_;ZK
zCx|4}A%7q|m_u0BFf+W|S`7{nt~E%xhHVW}uHgsEKpnyjmVr4$VhwWk6<7n#p)iLi
zK_sCLk%CA<9ijr^!5pFka)=JZAx2>9pdq3MwhkI1=3p79LyW;PFo!rm?1F}f1;lii
zL%bl8P=`1{B%u!Rf$(4s2?04|aTPd3qQKTc9TEn%4(gD2ung28(O?;vLoy(CK^>9+
zF&*ZRB8Vi^Az2Vfs6$F1JeWgjKn{_DIHU<|9n>LpVC$d`X$Q+d9nuVzfjMLX#4e~q
zIv}RQ95M?c33bRMh$Pe@b09pJLzaLXGO-dIBCEjGK^?LTY#r1g>%lTmhpYz6z#Osz
zVi(jQ8z82`9C8RE33bRWh$Pe@M<6_yL(YI4!U1u}C9ri+hnxdj2X)AGung28m%%bH
zhdhAT1$D>`i0Lqgyn;wV9r6ew33bRD2oL6vFCd51SAaw07uY(eL%xBngF56tSO)5l
z-(VS-LpatV=Ng8!D7i)mA_;W}7eo^35D^Fu<`9{+%nUETm4h9kv=%A*%B@ApzUp8Z
zs6&*&GBAf2K<t8shz7)TScq6bB%uy5f=EIgVgup99O43UNG`-7USR8>A>szM4jLl<
zU>T@GyumUsheSZ^f;uDsVmizrNf1e>L!uy(P=};Icrb_LfE@Cq3>+dwVC$d`$pc#l
zbx1i_2I`Pvunf#04G_Da4yk~c4s%EsL=x(dCWs`|Aw3Wt%pp@i4vB_1WER*us6(cK
zt%EvbK3E3oklA1vm_t@T?1DOE0mO8eLpDJqp$=IEk%T&A3xo%A$R3bGE|r2q<Pg|8
zs6+OFt%Ew`I9LYiki%dZm_sf=?1DPv1jKZhLvBGNp$@qOk%T(r4ul7D$P<u5JRuHw
z1-1_AkY`})pbmKtmVr9tHCP7bkRK4cpbq%}F&*X*rgh*f1$D?Th$Pe@EbG7-4CWA?
zb<7Me50rpIL}(pSuHjpUlxxJnGEj#IgJob2QGnP5b%+GSbeKc5Ad*mrC_yBl4$*<|
zU=A?>Im8^|5G$~C&=4^LTL%phd$0`DA=Y3Sm_s}uc0nED05KirkRXU8)FECFNvK0Y
zAUv2uVn7aAR}2o3B(QZ*hs1%cgE}M~ECY2&GFS%YkOGKZP={ndOout73L*)0ND)L5
z>W~@;59W{-kV8}<4(S402X#mr*gB{~`oS_#hjfEwU=Eo9u?y;u2@um^4p{_|ggRsv
zL=x(dB@iCWA!|SmnNtJ~kxgLhpbl9Fwhro$?O++GLpFnDU=BF|u?y;u9T3xD4mkyp
zggWF9L=x(dGY}rkAy+^S5r#PA7T7wdL#~0XgF56sSO)5l+h7@(Lta4af;!{@#B`WL
zK0zd*4tWKUggWF4ga>oTACN=33c(@5v>qwf`~zDDbqG6H2I>&z^`QI&bBMrta1Mn!
zgkwE8Pr@7`1(Ae0L<k}Yb%+dv2XlzZdS-@~j1Y%tfvtmvh#J^Bs6+I@GEj$TgJob2
zv4GeGb%+7PbeKb&Ad*mrSV1JA4sn6-U=HyCIi#Wh93nwr>!1$t16v1mNH|yq>X2Zt
z49p=35WAobiGY|6b4V6M66%m7h$Pe@IS?MqAtfM(yw3+aqzY^u)FEYH>!1#)2g^Vm
zQVo`YIiv$(7t|pQ5Yu4}nFNu9I;0CC33bR62oL6vIUt9mK^(FOY#r1g^T5_Y9kLuO
z19iw^unf#08z6Q;9kK#qI?N%vAd*mrY=THa9kK_)gE`~~$RT(0z#(!9Y#r1g$H3M>
z9daHl19ix0unf#0Hz0OF9dZF;I?N%DAd*mr+=56#9r6UigE{04$RQyRhkOEC2X)9h
zuys&}d<V-w9r77019J$&2IO4x17bSNAzTnis6&`GfU^|TAv_Qs%poEhm>FK4&IN~v
z)CQ#NE4BfYNudsr2g^VmA`O;-IYa|u7c@i^Ag03{Vg!+dIz$U133Z4Gga>no4agx*
z5QjK{t%HV$9oRakL)^hKP=`2!Wnc~ofY=3fhzG=Um_wo<l2C^PK_sCLiGlE74oLwy
zWM>XIM6$rvK^>9?whro$e6S4EA=zLVm_sTcc0nCd05KirkS2&E)FD+6NvK0wAUv2u
zdO!{_fH-6l*gB{~`oPvf9Wos(19iw`unf#03m|qu9Wn!AI?N%fAd*mrEP_Zv9kK?(
zgE?di$RW$K!6C8>Y#r1g+rZXA9kL%R19ix5unf#0Cm?n~9dZC-I?N%LAd*mroPtO~
z9dZT2gE{05$RTnNhdcsX2X)9juys&}JO|4_9r73~19Qj+h+R;JynvVvbI31<B-A0F
zAd*mr{DJUb4q@5I%<yt*7C1z>z;{VN9l{2_J_70xey|MGA>3dYm_sB$_eOL!LmeUj
zzCr;$6Rrf2ggQhDA_;Yf3WNu9hz`ghJX!z$Pe1_2aL2G<kH$9_z-xs*dvv#fH@5Xb
zH?}eIx4MG1G;}v#0PlCZ0U}vAI-4JWcdKpy?NVfJUIC&yTT~W+3_0GSvVsA!qYkne
z546`3w2u^Y=a^4#iAsV`CuBiSXY&iNDL+8_5Sg1lfG8}c!1cnlJppO!25DwI(Rt|L
zD|yC4o!2h@>)iaIn1P}B(Lc=#oy~8GL6?>^A8=$~=$?E6M6w8YbWb*LVqoarYyl!g
zjCu<=EHCi;e$c$ux!Iuvtlokhq}~CvWwx6|z@xKS03v=P2_*g?iGiWnMu4$I9JJB}
zv{Gg=WTlJ%BY*2z1_p-a-5_&VY7;z;H~%PxT#MEX4y@Pd9^K6q;h@De4Iq+*<KP44
z&gKIfK+EZN7sP?6%_}w_FE&Ki(93cZ6qYZWK_@wZxBD<Ke6aWE1lt6$rTG9yXY-e0
z28K`kT3~BG@kfF-^Dunk7i{JzVPG)4)ck{sf6gJsi=EvJC17<YKk-L^a5u=opZFtA
zeBu}EhI$)Jbv83VT)6_WB=QC5vNH|_=nhGbZb%q)x~MpKc0NNyu0#m~1Aq8|PyAX3
zK(;G@Z4&^S{E0sXY>NR{Ot4!4Vt#`PI21TQp#V4k6Td(+L>=5GE-DTPYcxtg`+Pu_
zbsjwUQl9Z(=c$XoIwxC{FfcSf{HJ-Mv)QJEfuVD<1H=vwZ?GL2AUi-y(7@}Kx|@;o
zU;NqGod8kX08#9LqPTfC$UuhfW{}NwF1;Qc2Vctfx^Qq@{L$Im0MR%DvOzTiMI%H9
zRJ{)e$HkxDZ-Vr_wt%`EWHVs{;EF+hTL7`|0>r)!6#GCS2Z}(XG=LsQ_>$izegSCG
zi3G>+Cw@Vsfd7A@v-ttU@)e-O+j#f{%yN&;dmfEPKqX2vXzA2TP&R;-IGE*)g9Zab
zcb^A{WZ~#+>i|V(TY?V*g9me41c(A9EYN0N56}ud<RYa;B>*MCO#o?aUf~JS+PnZn
zp=br~4@RV$9+2v8kRG%`WCkeZKnjs5kj(F32g>{&AQD`NYyhz~?*Nggg~$tt`W@hs
z;XpE;!lDUWSb)^C)CzkXZ(dLW3MB@H?q*N`yq1Do2nNmHAW4V>IDgLp=Nc`rN~E}L
z-hh_BS3uN(@-_%}gN%XY?;Rx!46h|Tx|<6?1zvXrq`>3w=xhebcyvRk&gPDdpzN}{
z0&+cL!A1s#UGSU<SJk2dE87bY;d=m->zY?U^b0_8_5_fAc&>vK4$UBipaS9q*tr7T
zAP2w-h#O$BNbsFUpZEoPAQr(Zf(xKH1RDU&6p+0C02G6ey#EB`ipeJ+uGj&|&Kpo%
z(T%JhlmlNt6n}syzJQ{*c{j*FhVEvN&FFcbV-q;6PS}E|%^y%SLUa(w`-BaEdh_B>
zP~O*o*v9}moUQS&z$R$jfiL?_2=?d%Rq_GepiCD5@?!V28HNlD-P;xzf@%W+7Nbx6
zT09(|_#;nvbT=;mRg~Q;oIp}qA|TNVpZFs|i<=of@kd<z<iW3V$fL9Q0>mX3qQO4j
z0dfg)D(L{();$4i+hnk9o8h+cho3~Y@CMkz2Tq`><I*R7Ja&G7*f|4aXXD`;Fgrau
z??oe(I8gr{0J)&=1lR@m{CmL`R6*acCE(u~n?WAkodB}CcXPvLv|RB6WLq}_sPO70
z;9m|<A<`{iN7%nRAa-hi>}))|0A?qKf4kcp^g)s9p$}d9ngfY)ly)6MNrX>#cSRh?
zw+(R&46fZQoF3hqSAYtG-5Wrph@E3^hyY9HWP?%$2A}Tc1!kbaX@waBgJU-fL$`^Y
zBQpzUuL~PnXR}EusD4e@!ocv_F8VMi)qvD?gVcI-PX?R08EmGF5wi_P=WdXR-7Fm4
zJVw1Pj!aPfyFvOrdUq=*fP!|j#};U6hStwuJDMA|fFyTEK-6SlQPX@tptE@aMA-z8
zvc|(5FlA^#1+J1D!-4}mIzM}M{`2VF_do;f#@UhpkM8CTpsJ~R2Z#i<d_A^;-H@OI
zqBa|BMRw=$=8Ub7avXGu9YjR{iVF1Q)Xe;?(?G3eDqC}`xnnEj%H12hV0RX5g*MlY
zH?KfA(L)=w()sWNm=i%oNAnSmXva8k76N%f1T9GTTY^B%&+cXkZBXJ-&<0g<9E|*}
zpquI-hJ#np_wEK8-n$uYI5;Lfx|=gZKpG1m%gLEbOFX)pAMk>NUhqOwte9u-z826$
za_yjt<Uq!O^+G%aS`m)!DbR(*;Iu3d2Q|XNv+)@BR%V8MpnF=umv{PfLYBY3jAjH~
zii#zCUfMvqItE||T8J<(bg^*QFqNp=F!Q&nLgW_cfLyu)M6z%+|1huh1FdBT#rkVM
zP%Z&Ubc3V~FByJw)HdPh+-y+_>RIg007*3$=rAy7i?DZ2wkc&`X#Qtj>+jUf!U$?i
zfz9wHDg}X!>INCr4RM4&$Pu7~`P!eb0nNKXcAM8qf#L$>D40ngSAY%SI^GPTK`Ggz
zcQ@9QeysV#Rt5%8TrhxIf4!SGz!I28ce8~6D3BarYwuMcib0F~!HOFXgA}8uHAuQU
z49=AZ0$P6m0A;$?10|gQ9~^6r-3H1ry}Ln%8GbXoWOx#sJX#KvM0lX5%Tia5URFjX
z28Nx1+ZY&LJ7Xqk8^+SYW6d!jGmkY_Y=h()1JGT)y_*xZL352qFKf&H|NnP_tUkuV
z%?RprZDnAHK8)2opJUC@2=hRJgvGofka-|$U$4Vzh|#g;D3Bo@y{ywgN<k*RZpNzA
z^jLEwROv>LQjorvq5na*Med$~nt^Q?OHGe8M?h7t1E~gSeW{L1wdJwqaAegWtuKH6
z!)`Wz>r)0$<_d%ASOqd4r2XY_96Cxhk2QyYRKMH<iBzoa4F;+3=mqVv_`egR{AD>V
z^DK`w2SHVXeFxI|(i4Yj{?>bc|NlSM3`!de9=)t!_ky&)6vv^XRN`23DAfF4|Nj5q
z3G(X8mw!Rw!Fn3xU6Aa{8-JmrERg;VxXlILM$-q~M#BsqLeNM7WfX%HNTJ2w3OY5!
zqq|uFe0jKr1gN{-V8g+*LjZKH7{3dssTBY!O*)$uwlgsJ^ltuP0HSttY-eEDr2x9q
z9n|b{H9UZPWp;NnlAc`>M5zK@SGbd)Ss(=jRT*BwrsR0D!*)>l0;-&L|F8m4n>9eb
z0GSES&c~Y*5Gr2CgH?EdRKWWW$C?|qL$WJ_C0IIRJ1E4!w=cRH9srwita$-cjRiza
z$98CzKGu8yDzgG2vjQRW04g&>4s7lTgbe7mZ%{!d0devRn2g8qW`|OUhrpfQ!{8Rh
z?gQ~?)n~~=&u%u4&Sno#G&b)B>)~(Ff>bV`>kGTPIUv;_6Mw5PL>MKNF!8tk0p*nL
zW(H8Ry_>@jRJ*uvfVys&i2{4zqw58YbAj}NyLQ-O3tfYR2P6gV!ktb~U8exkfIInt
zLqr=KiZI2vQ*?p_DC1;UfHMwLshbBhC`)WWTfe}q30N?eYI<}-f*WdhcQ@E=lffaU
z?IH+r8z?cp<_1L~BF$k<l`yk9Ar9?so&k2r0t;AULD-|aTfqu6UZ7zGa-AS^X_iNK
z_Xl~9&<_yFBk0jRd4>XL9CCpI1A{e>QLTqZcQ?4zJ{i(#7i2Cq1&uI*ly`$Qv2cK@
zcu<Z2ZI#288(xA&T|q4s@F;9|vx6llh&(Joj^JSCZ|#Jn8nDIPAd5k5hXcPl!PyL#
zp~sp*2_95~p(l9CG9S9<Ji5EV{e;P&eu6cNU=1i3!I3-}63K#0C0dvv8|l&A4ffDv
zu!ndAn-Bi8JW=NaaU8Qx?`BZR;M2Pssbp{jpS*@tK!RI`;6ejQ5Q_sJfVxGKU$8SU
zShEO%HWY%&4^YscmmgU4YJj49vH>W%Sp;i9n<_cL(Ia39i5`$~ph5>^9D1RH#kd5p
zaT!R){g4I4HiI0(I8eC<G7i1m1Jy5}^w|wbpP;1S^&7JF6FIq|gse4-Ab*d_Z%|bU
zN)PY?u<<ac00i}`Fb4XdO*oYP704+l4L1IkxsX(esPRDcU8%80Z*v5wggov5st*`E
zj)RL<kM8ClreJ@XF)(;E+i)<HBzQI+%iGG#@Y>GfI9SUMEzs5W%?YIp3>wOyq7hlk
z@k2N6{QvL4EW+W@`0D~Y14EgDXXCN6pngI;tgGSE`P~E37KQYIAZ%E>6k~#<l;5Mf
zZ3C$D(YGUxf#Ef;M|YcmIj93J0U|*|ng%;Twa7jPP_At}Cb1LRV+0!y4Ihv0wgqw^
zO)KQU1xZKSjh&#HecJ_44b*t}z)pD022Z~po%g_197_5{YgJD`F&G@SD#zLyc7dzS
zeF<R0k7ewF8}4d&0PHLbZ-RUUN*;X@Ad-c{qr1-o#F`cWB6$Q$?JZC6_ceoKv+V}h
zD-R%Ev0*OF?*Lor(F?J$w+&>YM|U5C2?Im-G!7F6hS!#sC+a*rx-qnxz_eoOfg0!2
z%_3-c37mgDI*)-}4~wh`6F~mY0K29D;+l>&joqMB(WYPk3T_PpaMWmk0-|@Dz;4h`
z2MY((IIvmJbPS_F;UEgqDIp5YYMjT~F6?GtV0ta)(cNYMw#x!$7f8aRci#tDP&fP7
zj@=9l=w?FuHv;HpHdpKcnF;EnAqsMEceGT!11#y$ySo6?aA`c8um?H*K)DfYi%0Kn
zj0{)e<bhl>bg^*24BHGc#iP5q0hDUHJIq1h0=B@X8y+B#fwgF);01**xFqX?mt;;J
z-E9@1!mY0XR=DYVbhm*E^FByn&cReF<I&v)t|t4S#Sl{|=dm`0y$lS@uh}6CCQt-}
z_QE$F<Jb!eIcRtV>}6o^fb|Dg?FA=0)P3sArOF<?yEXPQFzkE53~lmx^s<6Z%6si_
ztog)VQ1FU@y1@LP5~YA2lJCG0piUOZ;@-_0_CmEDYX;fE=+VpC1L`StHiKLceRzUT
zcN?fR;nLj)YEF1}hj1u(bWSTMWnl2`uHlgI=-gKUD#tp1dT3ts=)B;e`NM<X@1RF#
zTLVbAyA52P^)Z0Tvu+y>56fRADxW;~wT{Eb^*#7?4tQ7|s^Rl!+y~O5%gDe`!+6-c
z+l5iWo7sg?0YnIZ2o7&%7DkVq>1hz)@%<&p!h<jDJud$8U_8`0Edyj_@*$7Twt{`2
z=-v0h6r3(2_Cd!l!N~@e(jhdWd_wEK<fAvVm`gp6HB0PgU;tISA0Puu4Ey0JlcZwH
zqr2GvJThUS0~uZ_)q|J~s(CQYb~QYJR_;Md@WT_J?)T~4rm!EJ?>#^iEafcOk1get
z#C!Da{=tLZa!*FBe!5veP3{LE<9c@s@PPt!^NIb?kOUXZ`#>XCuwf^UUe*c*28Nfj
z!5!oS`@tpf?i~<)EB3>a8OTYXWETJb|Nqy89=*E*lu#UE!&oBb(YyNsMz`MlSo0!~
zchGI^2N|SstQlk_s6BvbB}f~{N{~uW*97DxSgi-mZ>W)9>gaL2x#Iu>11M*C^zQb6
z_@dwdvhR;IuQ&klWCKXL@$iHLpo4>;qw^lUV85fZ21-mo!#8}O?5F_J0tsP|Juo{O
z55w(1F6PjJsO3P38faz<+zqIJ^ro0gh0zlgLkSajv^oLYkIDe`qZ(~EPO~vE)bT(Z
z&-~I3l-awR1Hg`ofH~^_ftShuAsu{h`a;ddEF2!TUl<t}`1@{vQWvQ2-?sr&AWqu>
zE)WHo`CIofFff4f`2m!C?z!*$_u$7%XCG1U{QeG-dD%P;zOwIi5%}NP9B>en#&#zp
zfvC+E2cgXfa8d&WTogFqKu7N+gX-sQaM3auT(t17XAuO=wt0Z(;-T~CpppffJp~YZ
zW`OKzJRAYD2UiY*loK!#qv2301!^CGRy_3W04*N?M{1kIAqIxmN}!qoECX5*@rhrH
zh2s;yU|UBiX!b=Atj42v-wjYJuJIVdA!y=4Eu=yr11!yNGCaE5UVz5v`#xwuYG(e{
zkDzmgK;e%zCBol&otc5ba~~%^0|P%Oj=GyA;GqX|NAm?xaoOGcKp7OSFF>vUyWK^_
z!>6}Kg~O-w7dYrUn{S{i{KPK+@=7Gwh)?_x&}no*kXE=Zmom_V3P^8fvqu>N18DTs
zrwmj^Az6tu2M;n4)r0`B2_SPin-3fUrHb7%Kov>v<`tm8f{&AQgU#=3egIK(0ixyv
zni`PZoy`n~!RBy)>;KI!(A0oz>}*zmsBwU(;W&((5J77WKmo@eelm?;?_eoc6$iWo
zL=H=65XC`*NU&L<3>@n&DjpuaAu1fupfxB1O^m_UkZ6Dv33NjgL)MU3lrb=*@#}%7
zh|6@2H#;0=U;q`o9=*FKfQHR_H*27{@OZOB8B_&ww0mI9G#;JJ6G}nluSe?ve!pX#
z&38%}7`j;m!E1LCAmMNVRC4rg_CT=(l-u~Vz{){$+YhiNku+E+aaVDA_SOl2Jq-#$
zEXw1+@!!k<4gtaD28i7gAa-Yf><0Cez$SodW>7-vL<`I}r6_^P0X9mY8)Re}zsAK+
z{4p0k@e58CC}UtS1jQbBnwNk2LB@j~$p<|;n-_rQ`I=Xtnz8|$TOm=g0OF_yP_v?U
za|gPket>6;7<3@nvosN<K<;MYXgyF8*8GE!zjX#APlD|8uvPG5VBqg<Wnf_N$@~H0
z>;(}Xnnz1PVI~juu}|j@kC`6d|9Z@L9pTY@Bm+DI7Ym+>EM;JL?c#x2gii+N_RR`W
z3=BTWHby@D>uoricZ0Gb1K3bSu%RBw-5?>T**`rxn`b~`5kwiD1gD6Doy{*mDPlJ`
zU2F!a2kY%@J^>D_1CS75FaqVO%_~45f|M;mYMOsA)`eO(gK}0~b@yseNuYVOuCSEH
zv-y}lEbBmW@XYUjL50Kvh?y1;Gf$wHdAyn72;>a&*Qv*wK`KEVXHZW7w`!1z*XA%Q
zc7q&6oHfu~wgO`G3lxWVbVEX`^PtDUm-Zfv2R$zS^yutXI06cY-5DkzYBR?XXqgTU
zGnkgv19eIs%@6*09DE?}u@mGvutkgqJr4d<@aXJzIKsdH8LK-2k_4HwdjZ5i4Xg&D
zxIy!TM`yDHID0fFKwNVJqS*soGg#IC10J2t4G@I_kc6FqRUs%Mc|87uj9&nAA&3ET
zBdGlX(c6Kp7g4={+5_Oy<`aJ;3kPUv1<2|H5UVR7h3yJ-?chqj8(hhQYNzgQaMGR(
zO4=UDJc1tl>ra4+B8-aPgAwG=2N1(IKny>DVmMSA%teqo4Af@u=xk;<3J&!b5PdID
z^m%lHwSdNOA*yyje9mzcTV!`OJ3v%LKuR(V?5aR<Z|`yNr7b8W9el|QDUcE%S|30X
zpa*`fb`Y&h5UmXmtrEr{k8IAss<oHJ!2^_beq!3Z0HQqrqP+vFc92$R(tioD^Z-Ox
z2SnEjth#zx8gN<q0HXZ>MEeOG+L7Fh>ScywAiwVZ0nz>fyLO(V;Pk3_(4+H&$H5==
z9tU68g3`*t7t9_rKyeB&!~l|IIF4b7Q&2iS@QFX-04NEc@aR0`aqySD$H7;29*l=P
z4!&aYNIv8NGQZmaVwM6l=26T#)|_w*GQTXL3F@RC_5dmFyoV^=A??6mkM1_`N`yYd
zN(Ar#IJnvOAqF&5^CJe-sseS_+BlAb!eF05EQs3n0mVw6?q*O|z@@tz)E98>2F=}v
zfC`Fkq`CWU5qAFd*F2Ihd1RiNtl~Mz<KRmL##5aa55AN?_=}bCROjRfP^*lEz4bta
zY^f}$ii58v01v-$d3UogzGja;%=ifu5S`5$U?=T<0di#HVS(e&5QX<|U@dD1?a|$K
zA|B+a3-OQvi?$0O{U|+<-hB_ibMo7E94BTx>jil5@<SZdyowW`&_W)V=-t;54-T({
z69mnJ_7_)x41x8sSx!Rgb8sgTc8(x3f6H}{FqWP+MCMqt!bwO9*a7O(^ls)j3GF1m
zF7xQ!4RR7v@6m>_H0W3}6Ue5Q%dwh^v*WCBteFv{4`XRgiKRy`>qO9;F38;1@gBXb
zOF=x4>eqo--JpN0nHgjj#POif3UtSN^s>GJ%^QOP<u#8-FY6YNkswXbbp@cHLkzKb
zbhm-WS^L1_te}2fp8#mYbsBgamPgR?V68y&fxngqYdDX!od5+GX!s1o01cllNC4%X
zV;fGwhR-HIx=Y~9@#7?93{AkNck_jl3=E*96=A19VTX3)BXeowvF3tPkj}gT$jIK!
z5vSlIJBX>)2~af|5H%I2pb>Vg8DuVKh+!6}Ki%03vKrh*1FfIH9BDZZ>dq#Dx?Kv1
z;Km|T=^ju{LLCxX`Em|;B@f6}(7*<|tsdRYFN{Ejd;rxC-6n{RNH1%^|NsAA7XJrL
zXb|=oK7(pO25EtO)w>%M+9*k~L<-wT4S(xJ@EA}KG^|e;gB*DQR4k)9G67`vImki;
zqRs9An;i%>8zsvz@wb}%gLxS=N(YKdpWfY|xCGVOV0X+gM#<x-Q(_a~N<6wnK;h}r
z`Ol;CBDnc>tQq7XP$Yl+_y7M(F|bV_cYuVfKwe?~_y0e%d^m6llJ>ysd8AHbOLQd_
zSV!S%jx}4HhLj5ikfm)3r=iIVGl}@WPV?y94N4fO@q&E>&FWaQB*-Zc8$g*C!-if~
zUr=@hxfq&VK|TR317e*Fk^t#{{S;DEgGuoC5IDPmqXNGC12mxd;4~ylWq|zAc=*I=
z<Sd0g+|u2QSRK#>8ny@N_UYY>so8M{XpkE;jRl(^0`Yf(2#6qba1>mDLgPc=3{re#
zoxvI({vN%%K`90)C|eGc1RrbeI0K0ffkaR(vAN(3G(KLtf>w|5gSyY~MOrqDrTWL3
zGeI^$G=hQ|U86@YYZ)jsK@LHbkswXbKnFP&Qbq=QG`|5Y;PhzTw*zEo3Hz~T3D8a)
zjMBW6^H{URS<tAzKPaJqNBu4M!Nm(?aBu~9yl?|#ypXBH*`vGp0C-gBL=;#RQ>lSR
zcXJ0=XhIaEwgj8#(YyNuXsK!MW`(oJQTRFzGztlt<La&ewU<B>mUSS@FMy_RyKg8!
z%s}a-cVICQ#T2CJ7-+Twc^8z&m_embXEP`mJerRLK*9$yJ_ZUQpWbZ_XTeo_28e2f
zE~dKy4lIoNwB-PQ%Ma-C6VRToZrFyfQWnDluSGz8HL&mkb;tlc|I`B>-QD1+pvj=A
zpe`OkkP){S7#JFUGV`~t0T<Oj&O%a31EfZOaF&6=)$spbQ2!1(=LV}xjyK1Yfm)&r
z49A-#&Oulny}LO;bEv(W8P0*j8Pt`AX#g$M1()_aKqP2cBqM)o1UpE70d&0Qcymt~
zq;u@i-F*YJMtSlB5XmCg**u{Pq;dvSWjBvtZ{YvdlP>)Jzg#+ZPXSAIKsAGN(n}u}
zsLw8eGRyJi45)LDHIw2=qP%vzxdLjn2XxK$WYC)JZjifcz)ovHbGIYE-}TPP4Pdzv
zs9uP(6<I;+^FU4nWjv&KKnwq4&7gz<N>(@j{{R2-13OF`sHVlOt-JdOsQKG`ri_8X
z@?eQf_v9-er(Ns>i}SBP#lQZL<w5?w|KR;5&ETd?H@GPSS>OT+4?@vgfXGp(^`#1^
zl5vB(6u$>StB%12y#QrRRD*;-2K{A&d6}R=6F@EU?iummVuG2!bw8-iMowbQHiC@&
zeJlR`|KGeDlo}cMTWA0K|NnUN325YWvv75S1MPS-IKUVf7`l15dISF(err9+@BgWD
z^968Zf<hNmknaLz8AyyS2UoP97$p=n8^BSs0~|H{Ehj*?woN_&jUJHP%hg0C4t!x(
z`2YX^mj~en5pWYYmxC5Rfimr64<iPK-U3F$|Dg3IuKzlBgUW#J<|QC^ch7;k8?-5{
zd-58nyFsEauQC%CRn1@n8Tnh8SU?3~^8;K7zyaimKP)g)so@Dw0n^<LDnl#}^0#=i
zFfeql1{XIkJHev->(BD9KWcf9zh56D*9=k#8iu1NM}P)xUYfxDfj=R`Lx&SIZPMK=
z0bXjO02;kP%^uG|?Yn#MFvlM{py6y#+4wpOYsrXK?7&oif=-&eHX%nBsG0^leu61z
zQXv8|be;en?-m4?)ZNXXg0s831e~Z!B)V6Fi_n*yU=dhc)J1_dw}QG`R4bzze*ORd
zauE|~>IY;ubz&H_BLfmn_+uCrx@r7+pp?PD-|Wl?3LpXS<VhO8-U<HZF39c<oLPed
z6!{Ms85o*>Fdc6O6=tBKh`&{i5mbRLfR<;N6)Y$dA8!U{TX5k9s$k)T+X`^u2FlB*
zg&VxW=$8Ut4g*p_agbg5{r~?<c}Uv^XBxKyDf$Jf+PiTV6Zb%hu0j;yG|Bq!|Nk#R
z`y-DxZ^0QlphEe0Gq^Cu44pmT&;gau*h8ldw6&bNRVnjN@J$HdmLg;+fMa~@;qGRT
zBPfo5nLq#kf4vZ;RqKIT!dU<K|NmtTs6E@=901PA5tzl$8c^tmL+9baovjHICV=__
z37{Eg(1d#*WWpV^#1Xv08r%;9jq!E&frj`zKqKa@2l!if89}!regKybkj?5pz~g?+
zGXg+e^92E*Oak5%DcCJh4z4=DyXoMKVw9C3-Jo4!H_8|oO1X|VKY=z2LF<N{L52l5
zgA9v+?63sww0{A%R?tVq!K3pLxJlm$)&&}M0Bz*!{s1CDX5J}dU|<HzbvCn<gR+}I
zIcz4g`+*i{f$<A1P;*?6k-v2gI9Yasw+c-LZx!MZY&}__&A+}I<WZ00lOCBDAtRWt
z6c{gdo;vtS{@_nm#*2&xU#EIBA4!Nl{QYKUH%B=G16<2RoP(Y`<qQm+7c~#o@f>dk
zISO=6!E3ovJ_rxgd^bG#8p;Ee7n&D34|aAlfWi_ywRqqhsOz}<fD(w>yy6^m5EL97
z&AUM+GE`WCt`Y%vI^cZ~&gR`9GZ;$TyElVEyc^8z{Gj=xP8vL1{oot}!)sHI<YtgE
zkIrT=UlnXDXnGG6%QGN@(O?<S3UCku<Y159-4dYHfsKbxz?=i=lP5ry!9h}-M|WEX
z=x~X?2_TY%!=w2JTe*WrFStbYNM>pDU_9~v0c<z-0sGexF!lxe*FG@z4g1#)F!lrc
z*Cx$B*h_g}0&nbJD|;Mo>o^Z`6$8U-S(wBV``27B_6vKDPOuV>-hB?v;8DMV^UzQP
zcl0671+CWu_dfezz0Wp-3!nszx*pO(5fl&GBrc$g1tFUQH4bDRxYGw)|Ho7!3<?s^
zdYQfne2@llDd(}a8y7%5Z%fedwGw#v8Whu@4si)+{j7r`NX$bKx_%bTg&@5iz576`
zd^{SD9k>7=%$zU*KAs8MZqeO_wv3X;v%5`a8#BX8VMwKhx=5P8^(&|nfUU6F1xlCT
zlmYIzf~2~eBS4PrPEdmM)SDYFf)?d&{$K;5c4u6K-a-pre2TH_28&)$o(8payFo*e
zpq~6L(3u8c?WhB7*!3!ahu<`yBXqk!bvV&_BfxqSV0uAaXQK5YhW)^9W(I8`0)-Ya
zZbpo5g7t!GFrw`RuMh49tq%t41zAb7UJG#B-oXSV1w$PCTGO+;&14(+pes;}di3rF
z#i&Q)VNi@Bw#<O0V9?jK!^X={*X#NmYu2~~8O(Fg1!bMh0++xe6d)(VMktOodqCAx
zfYdY|Hb7AWvJITWK$Qu~YJUFKS>VDPW6NzbL>MixfL!9yyBo<NpdAFDnXqoqOc*FA
zp{;IkYWKiM<sfHz^lk<@4^-sFf~qY8@Qm4Rkjs$_fRu!gktRrq0GnU%?EL4`yN^Q`
zHu&e$`5$as%YhOl$PTCvmp}ovdji<t%{MMV3oEcH$Pgov9xaF-fy-b$CqQ}{5C4Ga
z0R<gAq9F4M5Z6qAt^f4sZsPz?bAdJpgN9Grc3cMK;cXV6nX<;i3ogT>i=>6BF!LeB
z&kK<AU;}GzS3t4TyBlN!#6MuKdGzu=`2YVusEiSZlri8*%E_Rp@c<n;)IC`P6ylq~
zA+F70<k3ADJmRq#Jcek^V#MEf4`gz8vw$urW+ZgMr7RO@?KW7TLl<0H@wb8+_n-|y
zxr_`9pz!PNo&hp>@&ZusX|o7|rgK3xaCbMjaF`4#96YR91o``|85tN}#(|s%Nk>TT
zw_)UOy#t<Oa0PkrwTVYBI8~r1Da|_8>;jSoHG9C03V^zjzjZ!XbHWu!c8LJFrFXN(
z73i3;M=$TefB*mQ0EOPmZy<kSF`mD53)m26uob<$mH+?$-vP4kC1^zmHV5&y&I9Xk
z0_gzF<Y94h;jv~%ur#Rp1`Vd}0QvBBi49Y!9whiKfP?P_$jNwuug>gPGst_OelZsB
zX@eXKa`|g1k6vETF5n#?YeD1OypA9_kQ<M&Ff)2|c7qcXr2P$DbnnsK2Cl{W;9GsP
z(YGWpl}dnwK*8PzN*v(i&T*B20W>oRqM1B;_ep>bDQP_R;R<pU1}=VJIR`?+@-xUp
z)G|-CtKDfEGebx7wyU5^+uI$sfe#tna+QIhyWIv<>pli0pzda{d%I!og$xCQ`qdx@
zcEcRlyx}S+KW+xO%cpnujH{qV3DW!>sL;VxSt9ZQ$g5zJK%1Pv6&|6=61!e-e&`0}
z2e7?3DogBoiK{HJ>m{zT#IBdP$`ZR?e3hkRSGy;2Ji8;uv-37)hE7O`_wEM8ok!zg
zP}~__+R4rU8r%mh%m<gq(6oiIT%$D9qq{8tv@)<S0(zE2DQF7`xZ~0X>bQVgi58$O
zB%tiqmk|pt@0fQs=rS<u@Bme%{4NJ~fl9rdpi;(z-{sV6;bUzP*FY^!g`J?r+YV3_
z>Cw%?*3H8QN+WF=<qQlRU?m=qTFRsGn8P*Xh9xBVgW9(dnxMvMf+nbE#lZ;P@1+1b
zCZ<or7TR9@VFOaZ0LoIJE@fvM!*x(Swa)=0(s=B}HE7)i4FjmrV9KMr4cv3<gY?{p
zG=Bxe{0xZs6RzVnA2I)k<_%D9Y6i#~-3ve@4~OGEP>eZhp4$(i4ZrOI_2Ix(R(CVV
zr#{S^LEe6C4$52hpqfVmMB>*73KJjZ&7c5s>S8hIXb!jm3Ypy#Btc!2!xkVOcu^1h
z%n@*?Ac#=V@r2-h`vh<_%+O?DaOpPT@aS%f09oIc03vxf_JSO(c^1R%j?MoVO9df5
z=zQtH+zs{ve|Iy;Wncl2+dyfe`3J}`y}M69ob~|3>%1537#E8iZ3y?A0K4Y`!aWl}
zF6o;ABB|n@1vkM##UKR^stynjpL-ZU0|0#-TJZ2W0dh&-1rSLU_jud_yT=0J9s>{$
z(>;!m^K?AA+bqEDaR3iUa4?pF(i?*VDA{m;Nc_nLIlaYzW^%zRKOVp~0||j9AY?#h
zy^sO7bzWP8);xpE?Iyx>P}HL&$`2sF^zJTz`0NIVhbvJc;=cgwzY0WnTY&8Ea{!T4
z3GW%V!Qs6E;;IG^4>P<G?pXnL&jy5hR)Ad6w*f>_#XSyp!0vefagPRwhv^<f{G%0X
zp!f%mlyrkfN{EktP<bN&9y*f%k@z)YMC}WZGkbSSNP{!c1rQHc)Pf5g(BK7VBnLL0
z)7duRF38*aI3R;66?dV#9iWARM|T^z6zv0-qM+Tr7WcsFCG0^q9#go7q5gq8sJ3_k
zBEjpq4E#X5U@iP0`^rIWH*kvy+`<DDOl=SDLE3I08q{_(um&4_;vV+;O2PwVz5<8@
zn|}jr{sY|Rcie}V528WlXF$v^xR2fZ0FcRj5g-z5zJNc-{Sy8--EZ&!Vm^olnZE#H
zzQhCU=68Th?wbH2!RC8_%@4qB{tc-4AR1);4T$*%aF~ApWOCmL5D7NF0c?H;Zu1)+
zLfj9cLFNnCfWtrIA$Irw0GZs!;0f9&0@^3N18n{Q+~#XMf|w7YLFRiv%olis-FyR(
z$$b_e66}5r&>;0Rg8-c2e*tPfhz6P805N|D4)ZfWCifM9NU-_fLGWpyL2%Hy2UyZ)
z#bb#3K{Uwx9T4*q9%FGo_VE+7<IM_BAj6v;y}NI?gTnVP#}jDQ0d?=e*$uR%M-n!o
z0B)MJ94N8J7%wT+0o4zn{M8N5W!>OhHW`}BAU9BhtbE;%JbD3|KL9s2&;}CKjyIn`
zxKF?X?7j`y+y`nsAa#YI?gMAZZg`gL24~61&@5Ri>(Si}&hnEXog_i#Qt%DgAS;P>
zUjgXkB}jkS1LD4jr&z)d)SAF_A2>^Q!?ScZI7?54W@()616fJ5`y`&h<F^6gK89yl
z+y`o1V7d=nBy_`zgl=$=Fd14T;B+6zN}}C&0O7tJ5cjRX<~~px0n>fpBBdK%q;!Le
zl*!N{1*iK!Rub*LjOXz1`v7rYz;i6&2Wl-~x(}SX;9YQV{s5O!-SASX8(d0FhL%#0
z`~k9(X!k)juYsz*-5Q{ycN-7?z~(+s>wzfuflIk=cq!KnF6AaeOF4-9Kvoj%z8&E5
z0_?s7i2D}2z!H9-h5}LU1DBHB@KUlHTuM%cmXZ+nfviM#AGCes)4L6HJ{4%s>I<Zm
zmmZ*E4m36b8fxi=A29(s6%Zr?$=*AHLB7}=@Dl2Lu*Z%ycf5p*sC9svI=!0<UcyH-
zVFS=FD<RV$H&j7Q=Lf3brZZ?DvW1a>0W_``36Zz32FW{EL;BhLtxim!g)kuVK|@-&
z&G*;=>V$!?M`yDMc!JUfHbJ=qG!hQN9-YlP<qQljTfqwgL570XEZ{M;yV)Qb<Q@yq
z!KdJ!AJVXphc?Qx?irwQ%Y|^0@jJI0?2ztmkV`F3l!!1lgIo)79_TQW$DrWuZq5MP
zUI4Wnwj<A@mld=({G|!pG7`OkWRU;=|NmdU25oTc-3;m&L((5i0chU>st72`)<GPH
z8dadNl;#7$pwK!2B0<%6w?YJHVpt;r+&tj#oy5Sv06I!4<0S*b%YKL{IK8L@Iy)eQ
zn6!1g8Dt=+hyv~G$DTq#sT;JSPy}>ZUT5<QP|Al6ZW7iGT8#_xQ#ZnA69Pd#n-K{0
z+0Q@!|3jS0{PN2m$o72L_&nzDrQ7jlgI6H;f-=+Y4-gkiyh6=W0k0r=>HsKDH6FHj
z1wO$5dHu9c?`A}mk3Y&lTUbGh9>BS)0-C!ZWii-e3?a}KV|N5N$`T+^RvQ6|E|6Pa
zM;vQLaZ&GPkc+_iAJaFDhvB|~%v-~USfK7djH#!0Gh7eYR8Z3j&21;ZfqnrN=r_Qt
z4?(VCetG%d|NqeP4QqJ+K!mqO2q@S$KR^p_iPw<u{s9SZhS%7_8_|RzD!f;K!+QgA
zcpHF1y4wOog0sj3aCpx^4sVcKLE(+!qTbCQ7lA|Ocr!=^DE)v=nF0Bx@i5#sIKvxV
zPcK>sfEK2MT!rK|h9FQP=Lmu(a!7cCTtzs%4}i)PP!8|iod9xS@8%V+QPcMWXm}gQ
zfLpXDu!c9HNrn{OLg+<O323d{18`WsfQ7X-=y)Ble+ob(IIJze{&9f#r&jk^Gsq3h
zueBizP$3F-Oz&opW57ZE+7FgsajU^%(Ft&*UVug_xIqmHkk?$tn?W=*>c9chco-fq
zXi<l^{OEWCG6a;6ch7)?Z^0YX@Lll+lAbdl;XC0Cw)Bi>QX++~7<%~fw?NAe3D973
zw}K6%b_SOp3qYaUy#hpn!<ZowoMs}yX$De$fZPg7&nPbH-3)ROIFOGwgH(Y013LT&
z<eSFBaNnQ>3M@J>_4ICr>j9ezItv`+DkQfRfaAXc694?Ykn{|472)(8@D}7gaClz;
zxv+P$#aq<yE_e$G?*)+Xj(CeLyb(=gqQW}>9NrPg;e7+_rw1Ss9Nre-@OD5BZ;)F-
z;f>;=-pwEvfkWnaGe`x<KcFpQAm20|hWiF*c%$n<4*}3nDacjb2)C^OhxZ25@CLbx
zaCl3+1Gx_z-W;JIYBR$-)bO@=2MO;RknmP`hb_DjO@5-ny8|5F6OhAOAQaT3kpPk4
z@Gby{cLj2IgWL)VZxk2xZU(ss8r~okApd~27l3@zco^;*oZ*eGrxz^*KuvX!tGW?x
zdjJma7pUP4aup=Jq2sln%{ZXI>Tb&bQLr@wCqN+%G8DD_uYSDw1xN-`mREpW-@Ew&
zN?g2*gS6cd>k_+II6w_W(0O5?w!Ixh{s1_1Pe4PLzf~RFw&!>c-IpT^j^+>V7#Of^
z%0X%1dw?7G0oWS&4&@9C;J(01Hn{PilDz?8Jah#LtZM=)D8TLP70`Az%1SA;R{nHQ
zD}MpF;SF~9OVE*5$C^QG5^aGcNRM9D4WLH2HzQ;cS{gRU1XF;$5zYsh;6@Eo@Ih0E
zXlMYPQt3^M>xhVC=xLb^;563(BEk7#1vo!!faV8qRR>BhpsEf;LsLE0bOTy70y3u?
zVVVS}#Wz_Y7*cz1LYxjdm5+!JKGqCM!k|XJKBSQkO5UJ3w8NH1>q9}!NtBgMC3O(f
z@kd+tYEXjeZU&_|%cCWH%&S4Et`jW!dO3!n{H;NdmBTl{De3_<MZu!dqn9=7@BjZV
zK?kgX%1c~|R)Z9Q_N{{F1z-fe_U(rEppXXTpxqlFd345m)bjGedq{b?1C$XO5AS%7
zt-LG{Coc6t+XGm}c6NYc^Z<wir;i8V^zj0kKEVA6kXu3JC5nrBH-lUREiXYTK>qRQ
zh4`lNFx)pd%S&`Uy_?~Bz@~z33<9~T8{xJ9aB7Qyq&8T40OTr2d5JH)D?WhS2M%us
zNO&iFKn?F1A0XlF0SWJh57@#RaYi>RiD1v|xWn56?56+_2@dZ7&>qpr5mAsF1P*VI
zTS4KC;-cQoAQyo{=6Ewm1;{_3rF$UXG#-Zg24{Gq>*?JL*8?^cwEPO>s&0haI>6yQ
z0X4iqu7ZTO2gda=p!K;Eq(M_kGo+#G9X5bgr1$O62M-{1v^jhP#lb!cZcr!Un8rus
zVSnuAodBD60f%`nAm$Z7%)3B@c?_V*;64r+?CzWJ3GBWV5c4WN5q6&i*gOXu=2?6O
zoA&@>p2BCs<`sa=tH5F21BiJNJmBy<L4<iLz~*hhVP3}<u=@fa<`sM)?7jzJ^FWj7
z;PZ>o;@99S*t`yic@kd<o7Vv<<@+YcVvmm-5c34Unex~H6!Q@Io#Pv1V3g_q1E1c_
z559uNARE3x+9@wYK`z>y@eR6aaNmM9Mg~~!g`M)Mc&r(u9#prWtM}+-T>+{iI-9|^
zKnI~fWjtuPN%I>I$dyUpBiZ{PN3wG;gEo+Bd<QKmvI8ym?8S1pKj?H_aNu>rGKULz
zTL;W(9nByEJbHJp&<Dl!VS(?k0?7k@=T7qx5F5JO57z$#TeuIT3(_wH=kAsRB|4ym
ziJi?CK<4!BJ^<OTu;V*)JrIs^1LRK_2CeUN40Q|v-2wUl)SQ9cg@ks%Xv=|;6p!Q0
z6+b{l6e#d_KLB~5cXPrIsAXU$9c!NP15)G`K%^UfK%>axcymHIRP*uX2pF^R2*}oG
z#~8<0&<bAAc_4`T4{T)1fs&h`n=nCTPIm+3QY)s?V;<ejCqPFycV7T`8oWl#(6d|2
zqjNIIY!7V_Mvu<PAR8f00u2SDI|+P<7)S}oSsvYB_jz=J{pit)-G3g9yFnU2_i^y|
z+5i9l-?w*J0}m5}Pw(!Gas~#_Ri&UqKRtWfyuj<rTT~u^8tpqkBj1)FR%eR}$X6bq
zok@^m3Oid=K=s(M78P*V9&b?r-R}T$l231riUfGc`ZJ%-S0HU*3l4yy3Fg`sl@p+b
zaEr<XkQK*URIY$%kWI&1RBkZBj%b~u0<sKZmPh9;xLHugHe)ze9%KaEu^=AETn{{s
z?fmWoy8RQ)oyQ>#1O?bJ{Eo!oxbC(J&_?AABA`2kCMbaJ<!OGy;n7>8A^_eC;0U^G
zk->4N9s>jDXnF>Qtkf*7tYokcJ(`awfSe4DgKifU4v%gh6#<Y4NZO;b2WQBmc?Pm}
z-J|m`Y)N`|0mm*#fZPWe#Q+n3sSUgM1)9OY^WDAsFmn^<v1W&#pu$84S{$HeY|s?}
z74RevS_T4E4m!yXl(8BQYy1Qk9q23YQOYmOBS&6<tOSkFgO2KGJbd9N@+1+YxB!(t
z;K?S?h&%WYo)d|XiXKvyf&JUj3^K{5ck>PmgB*8&woO7#8Ud@_1>)}n5fDKSNC^kF
z16IC)mWBR;l=t8xVT!=YdpBckn_-SU2Kw}F{_qo2LrnMusUbdq+||3e;uo~|*_Q&E
zUx8i$2CE@7jx~eSgK7wL^~ah)P5^ae@*x$41ju<v6$LbY5%tvpkOEjoUg|f<y57x*
zvJ6%Q*f5r0cmIrEAopARhPYn?boy@ZW`*Ab+z(O@azDEIW6dBZAh{pxJS^@9-~S9L
z^t;<Kej~-fA&?(HJtXh}X0UsU_*>S3iUy2hyL@_WPeS)KfD{~SegN|c<RtYIFrR?N
zxWUW$U}t;tw}9rPK&wjO*B&+4fc9*HZY=R^Jho^XGsC_X(An7_0^5-?I8Ma2JJx&<
z<Ohgbz@dcZ7N1^Q5zvx*kXJ!V?tMCUgMt9OVEM35uPx|A>>VI8UO)6~Jf^mtnPDHO
z7qAPoiwPE?uMa|#0+<5l_wF`<KS%+Y1uD=n0<t94qj&cKj8n!lk2QDvfuw;Cp!24C
zHy8YYrh(VdBzPWl$_~h0h;bm#qZ{YZ%L+PSYA48fpxp<o^FU|rWd31bI0m`l4CD#a
z)iKEXX?7rcQQ|KsQJ|k2R^kcjoP)~{h9t;%HGeDU0Cdo5P4H<u&`WxlOXXjugMtI~
zx+ELM(!gWQf*`YxHCz0JG%P^Jg?aRDR`?4ImE+A3AO#@%dv|+4vc1D!<bI$}uW1x0
zmO+ku&EwN+Itj!B84HQ?&0w!U^9HnVL@JGadQGLlDZ6AZ=#UxE#^P>J^$kj-rK><k
z%YuCv0V2WOzYOqQ;RQ*M?w=-T`VQno&>^7MoCo#VYjy|&)KWqB<4e$i6v*idwPXh$
zcWwZ(wc7$3&Y*3W&EPv1x}kS2Fqb+UYi9Td2}Dr3^XT3D0uhJ`|3FO+aLcX%<jTgw
z9RHw!h`jy^RNf<nP0N82&>=_QYcIM%*Is}F#T#@011LDUCxA$Bpez76Z}JLgplE{v
z1!Sd1?{2Vly_@0Ifh!HDQ(tpNABHeN!2))5<6)4i;cfzl3WD(HZbJ*3G|(yIph!d9
zM*%uC@&pg4OM8I_oGh729Xz_*zz1ygL6am?sV+zeG@;eU0a{Q9UfF-p<NHkyexDN_
zjXyzW-`9Z--TTJ?xwGN~By9H`09_5%cx=Nz<bD#&h0yZh$3LX<A^1Ni@uFuQ{+7ug
z;ojXREMVh7;E(|g>VjmBH5dGcloJyyK+(22;y*M;yfy-zNQJ7)hOt!USaTrMCh!rG
z-Jl~RLGe|h`#RX8m-RR(`-4=x2Ayq)sCmJ*LeA|90_lfX3@TC3E%xYT)dLv<@({R0
z*#hE$G(k%gkhf7w6wpF(P)X3|06BMy8I+dWP$GvJl$P60fbJ~nyI=*5FXmFIV{H-)
zjGzlB_kqI4qwyGMCp@@K0g+*P{R9-|p!K<+8@l>HH*|qL3)-KIa`OnNW7l>7WEg0J
z6o>&@#25hz)W%~g7#JDArh*KcFkwPh8))T4SKoRD1_q0RB{Ck}VHw?Z1zjRm4ZoO5
zcpH8(mkM;WB``8Dyyoxd1Jmrsz<frJ-Z~AB#$z6!(Q8m%1DgnKKX~qQWME(bU5*B;
zRy?|$GrGMCz-NZmd-m2bf}`Ph^95#*M?n$3y8|?&-@ADSGc=!qRe1K+F@YJ!n?E3w
z9)KvlfvU9m7&FYe<IMt~T~3e`_XDK5@$e631_tmRJkQ=b7O<Hfz1141xj)Raw~iGe
z*WEk;bgoJF3?>GKMjH+VW(I~@d(Y-$Y%n`OXAHtsbVCk&0(l2?k|xAPkXK%UYk6pC
z?AcofISQUAk8{Bsasq741&Bj<nHU&q-8`F*aU(edp#tg<P+);-Ac#ZY0R?Fj!<SBY
z_SW%&Eg>Rwd+YeYN<oz!GiU;fgBct!|M?jhYBfEZj|m{ThXJkv>K;&Zye#<l|G#JR
zF`<{C|6uD`;O({lp8H}k(hO1*?8^W9|39+`NAs_QA|cP-I%cqAjy3OK0hLz*@FWEh
z0cB?kP{*=&^8yxVIpWz{#|G8}>TAP8beHHqsJTpFb2-6Ejy2zao6C+Q0y4J%V(tMX
zb0L9<k{}vwI2xHiN4+lvCBp7zL<}|BaDWb+;qUEcU|{g<t>Xim51KM!00k@P-aGJ_
z8$Up&I`!^80Mg&P`2h=Bwt&Y5=zQSrZm>2N4wlYl3081+5`Z|9ffY?3Xqh2+HzJBY
zj@LP!y>&uhr#N=Euinng(AiAD1;Q}Z>%poC*ee24y&0_90^&-LAgK9+?n()e1OrNl
zf-d;`!C0bh+rY%YP@;emi%>akThQ<ee`^;cWF^6dICi&h2U|(NJ5n&!yTPgnct;wh
zdOuh-0q@9xRik*P(S`%$k$TXbSSX1D?1et47a$h`ICi%m23teG3kqOs9J||(gH;po
z0_0u*$L{viVATY?pawP@-3y>%u@tm02E_}YGOAS6v-y}htV}r847xUi`L(cT^D#|S
zK~SCwfRs}XphN-Qh2t0xuBO24i~XRC0;)`4E<o4?&L|9Q-~<l}H;=}{FJMUtTbl}E
zUJtaOftiOW=)vZ#fS9)elz|!#Phf*)Al&BlqM3(M`gJx3fKCVO-7Nv}qXj!2^FZU5
zAUAq;{`cHx#K6EH3F>&m`uW|?5#8Pi4K^H%rBa^%kHLZwloP;3#{~w^@i6cz6BJiq
zanJ=6U|j~DNOdIW7zMC2XrK;s0Io;p<PD&6sa!ZXI-5abe6Q6#n~$-=ta0pazYb0)
zASuu8_Ve4pM^1pWfGSeZR#`>{hFv_M@(MW)!3_f_0rH#2{xAjx(5g2EaQGhw7qurq
zx_WneK*9)Aw(bH|;OJov(I1YiA2cZha&>nA$aV$+1_ovkj?T#qprq8hTLX0XTjSvj
z9Ek!kz62VQ-XDo(a0a*<D_}+p7<D%<0E?}Fi#d99K7s{NN3#Yb?Ib{~6=1_NAO#8%
zM124A+z+b%q`>hF9iZ!O2Bn$qZUs<n#lq1WU;{dc3>0UZL1Td3JcgDRYfCH-))atx
zC?G}M;G_&vn&Q#jEdUCa%@QCItkl!;V2!J1Z#|^(b*%XU8v_F)Xom_YrT1>$!3L`-
zn~yWWh5#MA+a7~s{RbQ9*oC&++nE_&JA3xlLz++!Lm03d!ir?bdt^hNLz2!1NMM0e
zP~+hnpp1q$^}x=@X?`Q%0UG1^@3}7%8e^~+0Hs8fCLMpvO3--`uokCJH@Ick-7Uca
z^3HVdXzV5)VFrfoNfN@Kda*jA+quBC+lPbErCWsE13Eg(fiyb11JvBjN_7lE8kgnY
z&XCsGya1BZIlwjd<_=I!2aT<O(>YSMgf)ek`CCC<AJ7UJ&>@LnH#O97Ffx_agPH^I
zga&RkgX(8kqvrrSq^kr@X1$w1cg>=$K=Euo#sUwM?q*Ocy&IfEz$F~$?pM$;F_7GL
z0_09;ZUZ~@btQ6J3DkV<?gp1>pkup`_4aOt>ji7{Y(B=0WGia+X$H9(G~@%x8>o(k
z-Tvv(d_({;UIoe?u<=ySeW1(cAbI0E*d{FTycJUELlQS=AqXhlcXu#Cx>)?Jpw%Ww
zk<e@a4qpopX~O{;*#T!DkUzC;IQD@G60l9pKWzAWK{wHX7G;7IcZ13UP~VHc71Zd0
zw?#n%%wQwH)e{Q`=wP`H4sg{3I>OqccXI&;wCD#L42q(cilD5A=pDctlAs<=cQ@3e
z&SnDU@F4{{YWdW>f`ftKB^M+Mz<V}Cd)=D9Zvv=f?j}C8Dr!MDAt9n36jG&r$O#I?
zZ=jJA0=|PMsbgT@Fu%6*?5%^e(~pBg270s$u2ANJg$_hNsJ$@*k_IP$q6gmwT6ljJ
z6bg9LUr8Rk3xbjMN~4g|9g6omn*%t(Nd(ju^yuAe!HJec;9XkK$Q(F%MKCcifbWF@
zb>j{rcUVC^=x$gQK+t65jx0(&)7e}AagzX~e29R#39a`CKA*7jv&VjY1_lOc259AR
zths^-Qmug2w0SfhP5_zG3B4#0oU0K8EPOlvdF(epHpQ{K?Kil_09D|g-EE&CH3q1;
z2|5r5lxrFfgL<H__6BqnFSyl+V!j7t{u^AsyD>lx%m(K_P_YXxB0v=+Xi5q)Ap}b-
z9nBzz`}A(+fLI1<nm`L##~mHQpxM#yuu^Lmh`$p=V3`v_xCT~#b$<5T?*ny;Pw%!2
zPyltefvRrMC9t3s0?^~7jx~e4$P6m%JbE{OV1foQQWXvg9#Db?HT^t#Hw(b-hV?|M
z!qJx#fG$&b$qFtK>LJ<aSTi1TxL~!oM=vYrp2(LV{hqz`uzoQ}D`+?qixW6tTK73P
z@guKjX!`&E|H~$Dfn5(Nm2i2r`8XRcLn=Vqc^o`@>tWR*D6p6?0;~Br3)}>>g%x#>
z$uLmJLn<9m&CZbZ!vRcp9EQ*gAMC+=h7X`>fPulGA0pmR2B8@?K;;#n`~whvL)n2p
zD?eWHO$xAw(h&V*=$kbY1a{h=Jp%%7?5{5_u;se`9%?S=&=8Odq3(pa5AN;<P;~(i
zcR4sg-07eMp&4NAW`yz+pfuDx2GBtlAoByD;wlgtZqG~z|3DLjW`O!1ZZ0l9O#BDL
zT?{b#0hIp*N;5&t1-Su)VeW#)2LnR`NECE7Ka?J&MneD|0-%Kra1N9K6BB{)tAZF9
zD+Cy&dDuB7FfuS$Fff1?;elmA%UKwl_ynBzB%JsZocJ^x`3#!bdRTi|`k4EfnC|gu
zfQ2Q%N<a+?1_p)*uucXB(2^AfM<l&Yd<Kqu7LI%l&FnpFy{vsK{mgAl%!e33Vg^n~
zMmh3<F2Dr2V+qtO(C$WrS&n=f?tBLro1sQ9RfF860CuAQLNBPB2+~ffUJsD}p@EbN
z>cU|9!G$jX#ScDAIQ(G3z`&3JH49t-!p(97x!C~jg^eIC!V4fbfSM2>_qSqq19~{-
z<8p%l0|Ubws2h|(20}+{7(nJSFfjOm#2onqnwgk9KmiXLZIZzwX91N9MCkK|=?lRj
z2l7t=RL&OU7I1<Ar72iA^gvQnFH$%-@o~8F88A&i6=DFHwFhd}Baj<#nU#pD5o8vc
z5ZEkG%?UEb7oWRQFwHuNDg>VL2>~6b%D}*Y9yS^b3=E*I6Ud$bP<IpJW=B4SX0X4R
zomfCQOu&hcgTaM?fng5R9B>+jrx|b_!IFoVj$uoFpm2HuH3u~6hsZyOd<@BhO!=sp
z&lQwW5joqDj{{_u0m$793=GsTtA<Ku$uKZ5oPe4It|%S(1YGzMnwd)Zz$F1F++RTD
z*Mkn(bpnY?fWn=*kBNCXE1v{7bV22f3IhX!2uKYB149fbq9AHP#xeIXG5Nxjg5t*p
zst$DH4obLUE#sIr<BFdas5zaWv<G$xC{MuS8NHm5!CF?j@&$n0bOCDCCXm}P%|a_L
zm}cN|lLY7>V+IC>#l*Qu7N46^pk`4TPHUj%B!lujrr*%RsS=l)K<OAXm<I}?I8fdo
zY!>!%6&mI)pd%6(7#Q|}48$}GJ<OM23v*E1^-#&2D^xN^29zPF;I9;@Id_Q*pToGq
zXARUGO41Q1oWD@X92-uMhbWKt7Al!@hDzp$a8bu!F;p^V36;!w12u=z^kc#e2_Mj)
zA`#{O2VCj725Jta`QixF9MJd!5$@TB%RM}xxd#RY&^b;FM3{31n>nDeH3Vu-4EDMM
zy*&Jc%^X)g2XMP=0n{weeIJC~#4Lcd%x7Q#x2N7f&AJAPKEmbn9&GLcl^-VH%OEJv
z_cc&+C{2$?pyuo*&R+|0`HP1SWB>yLc-tf4@VSc39B}+Y&B?(Y|LFC!KfX9y05yx!
zFnR$shtjw=;HNx{KxwD~Y7QlNAJkSo05#_j$XSrKDzsh8v<uc&1*v-hRR=!x4prS9
zn7R@M1_l98N0EVnp%YY>V5R|gz71?BonA?1z8!1{d<WQ^_)f4n@m*l^<lDf;T*`_?
zl&KY4f?;4_0NL9CwYQ!~d-<tgFDM=u1VI7Ez`zP>HzWJkk<Y-L?*J2MoCDH7Vt&Gc
z(Iax?1I=ZC#wa|X=77%VN0d|GF^UOHuns=6J|j|h5j;o%>YKDc^#+6T3woc>lM&u0
zEMQ<@*Z@`QhrQkE&i8>4C4N>h^8H|R;%i`X;p<>>;+w$a$@hVg=`U2!5m_(?Tl9eX
zKq^9zFlq<YpU7bZ>F2ofePBhg^DhhE57q>}1~w<Y4mKyg32dHxA6S_kS+SW9iuM+$
z`I-=GKogG)Gq8xQ!6J45i`W$`VlS|W{lOw8APjMY8iWFmfvG^n!083nx2y#9AvwVE
zE>L-QkRF(P4MIMJfP4!9`6YPdLE(1<D(?-lAC!j~7#MCq#X!qYLBgOi;0;tP9wdNT
z1_Z;(0FXKn=%64Wbv8t(1Gz5+st!4RE3k<5U=dq@CI;&3Z-I(|mOg;;8mM#5#1sb(
zaFBghpz_G}y?}~=Ccr@62dVo56|(~gK*J4mP$-CttXD-8B*MUeEM|d4%m+;j<kkeJ
zSTx88SlVC;0J{|=-#|cq0Ri~~1mqt;<*}tr(6}Un7|3A^4BMe)k0U4{C^Un{Mfw>*
zY|v<wBcDP9jLpEn09rR`0oC^eH0_D4OnAV7QYO@}^1a}2;`_ki#P@^4k*|T%lkWis
zb0jl1(PLOev8$cKjjdFHjNROUy3rcc-^1p{1uQ6ToWjhvf+c}(1B(;i4i+cA11z3=
z3s{)rSg_duN<TK@kg!9}cL7i_Gl&$pd`N+cVM_zx@*gS>9vg<_OK>?38gN>R6z-t(
zvH_|#6=XhSyfzjzLJAt_0?A*1%7Yeif$~N(6H_3v{0BVpp!6UB8u(>kVBmqK2PcsI
z(D6y8AF!&(l~2JD#%5pul^GsTeV}D3AbVlysEvs!4`c+$eGQxp3<Xeirl8x;u*Jy+
zeUv!yv*g>MpUiha--+*pz7yXCeNVm(`el4O^qpudR*c0CrXnOkN2p_%ocVU>r$Su=
z5_ICbq3^`^0PfNx9CA!c`F7}+;*tcJ>IjvD`MVU4O_h8*^wHI06RSWn!=3MfKC)|>
zreN_O$PjcdctX90PmXCK)G$Y+m;-4*cO^IgF$7)tcIamy`5)Px*i6ADR*&RYP#7Yc
z!88@>JW#>{MH?t#feazbub_ZK_X5Z;bTPc4KLLx2nB4ew=ntHeCS!3D$X{f+2sy+-
z(E$r_iUSFoi(okrn;b0pGR?t~X+VVwF)o4|(oJL-5#^!|Xqf=YG#J?vTbaN_jf{df
zS@sg?KUjd^4e>5$h`SG1p@7$~3!%;f6$<#0D7ehRRp^5y(Q^na_L=7M?a<GH7Hpt$
z2c=Mg6#<~=huM#}6eC%~X=rRB+W?9&^dyf>teV6!8Jsp@p#<^{ieEuxCa4ythfA>e
z2JAXgsu-{aoZeZ$w?n@O8n5VnW%gv|+o7L;BnvA0m>Es6iTW^Ni6W+2EP~7*_^=r;
z1M7gABOmA_8_*iVFN&bXBLhPQXigM9-T-cLLgt}^SWwz`AUzt;MFZuaaR+RA5}8r-
zfSP$RP(9#df+20yC$KdF6*%M+7#J9)K;=|HZBU5520ji)J^`kAAnoAASUaF<CPLi<
zYj5^3F$==`Ev|eD%qdLZ<|lX=fr1N+4Q`kJfts-!I-l6g1hT-957f2?jp@iJL&E7J
zXx<U-XXsr0d-%AFBcB5Ed&JB=0|O|$BA|Ltf{cK)>0#q?TValH<wH0KWM>alPXI^{
z1EgKO04j#BFAmas0;&#l#Ue;MNbC+&3^oP;68iuZa|J1a+Q9;9z%wu~fYy(L+V0Iv
zOrhXD15+@V0u5Aw^y)y><wNy?;@ANy23ud#%*50SiX@Qw7^vJOsCpaF`Uj{OQeOua
zE?G$7Qi;TdM;fTFv;nH$9+Wmv!j;(^9`6hc3?Q|4plW46Y9Z+;2<#yyS1?rzPE?Ti
z;!uS+k`bg9#ayPh*xUm0pAA$`Iz%f2XhmxPRO~;>IHn_?h6~>W_*x}q9v0MbKu5j_
zjLg?rQ3b(ibOF>n@ERA0A9jG9oy^Apa>D_rJaQagK@$VT(+j8=XdeV5j+xyU`8dF1
zZ7k5iF7O&41_lNl(AprV7-&^CbnO=?p1|b;QyZ-B=g0@jub_UX2UI=y))I(2uY%pl
z014j=s61%w0AwB_zt$iHFH-=F19I~msGdEb@P(MU3zW7%cJ6@6!QvJ?2E;7N2p(7g
z?d4`*V7LQS^8{tw61LU|I;v~Wg&OLPd<LMjBcTqmlz|}&S~j@w6*Mz3yF$!&<SSs7
zfG|OE-~v^<5~2khrV&sv<ao(}id93^f$V93ie-ZAK`&#XnP348?qjcksucsJQAl_|
z`u<F7!08#3PeFb7D^PVEAbZfvWGaCfiP?we0Xc|)fdOAx15#oFA{d}ygOXR6li;N-
zbUZBqsx}Ga7HGaKfr|NI^RolO&!Dhf096ZH0|*k^0u>8_nh6p+fhGpZ`gfpW8$s$&
z+{v^Qmd-#*SfB%Ypz~=!c>^)#(Z<A73l1+((1Obp0}|B65UCdA)()sz@cb02TTdao
zbpuo#F>bv>q*{>upnC>E!GtfYK;dkl1yaSp06Lxv!~%)AU=fReiiLm_LDNDG7O@5_
zVpFh)fo{VC8HH@#9xUoEU=e$QCI%|6en7>hfD8rarE+i{VhZB}9XrV&p$(E?V8A7B
zK|mgK_bJE(T>2{r=$}DAeg^^h8+hbFY5oUPJ{z<B#Lo;%aE^T7h4Ct&3ISAigUTJ$
zv>5_Z>dL3Uv<8U-ue70NfUbW9g$L;D0MzoIiD)y{K;02fz#W}1cR2DXFu_|D4B&R-
z2dEj8xWhyj<P!!4O3VOVybdyjTr)u4*aLOPW>6eL#!{hmbQ=@%M@Tv92&#k?Abx)X
zRZp&+ptY3-(2P&68KCl_1ZoEIxOoQ_u{l`8HeeAuf<^2G7O^*2#27$Zw-^{0U~U1G
zg(6U~8c+nGruPz9(gL;dZJ_GFWidn@s2Ktpwl9LIbLC5bX9bWR(3zDWW5MMZL=Py=
zKzbYydJz2r&^r1hP<z1ZiXrtmc)>kr9lkr?1QyU7HFPb08R`_Z6Dam4urRAL@y%d~
z<y*kw#J7UQiEjgoC*K4XaQhP6Splt22RRB{4l*Tx3qnUe4v>2lKm-E=1L%AlXugH|
z5vkplz!U>>J1G1@pnAal2~<DAr!zq6YKTw=O6N<U>Rh1t9#KE{LwbAQFyR0<MnUby
zD^T^|eh0))7eMVsP`UR3Dpw6P3(*c`x(V|G$RLpWWDG%p!oVO1QVTH$T1k|G!c`y=
z+J1I{ssn9h2I&B~Ap$DK0@usT1Z!`XK;=Ml>mc=@JkbFa^8^V%>&rP%F<9CJ^+`8C
z#k8SqCxrW%#o_%QP`TFu^NlOGjSlkr8>n6dlzu<7KP!aj!Ghc`0XlmDbmKS3Jz&4r
zgMtvezTE_mTmTL^ko`GOxho(u!Epv&gAM6Z90RwrKuh1jcFcgPwFIdJ+g}W~e+yL3
z3oggx0+Iy9*#)Q^Qr?B8SEgK8h=APk1FB956hCNgVHSb+?ZI`1iZRF{28P!tYF+pY
zAVJ5J!)FoB=itQW;lvl<$!Eaq!-z5z0=meZAp>eg5k|Z*J%zaoG>}jWk3<Hrz6DTy
zvQWDb@y#>~Ngq=&j05Vsf#(0A`oK{R4!>+r5P|y<U!ZcJQz)Q$8de5*!HfZgr3|Pu
z!N9=K4DvoCAH%{DUJiotrwddac&`e2SWZKPCCC_DSel7}fra5C=sYqMC86LsdKL!o
z9tb3vAK-N`ERYj4ki-vz*Ez8;fcGpRi9Z3akz-*19rb}E%)ka-6UYKNg9}Nb1Jq|^
zU||65eMJ&x_y?LxW?*3eoh*SYz6iYLnuP(hl@?jtO9aGYVNd|YABYLXZ=vqjKoj2q
zb-w|c_)Vz$L1#KajQ~^jQ1czo)IWln5AN@SRYC|8sCv*@ArKJ=c^#@g0?i!AS`Zcn
z(8*^Il@M|>)EwBpCWt5lLl#sV+&+K_GB7Y`Ld7f4%wGW&2c1=bq@2MFyf%l00d#r+
zviLV>_<;7PA&c{Z*Y~k7%s?}LF4TN*+C<Wz4K*Kh#to7%!#=2bWHAuC6DkglevkkZ
zPlk$v%5bO<m{NqA4=PK+0#M=&)cv3_45$#8s)w2bDx1IpP(mDP4z${WiZU=ff|>)W
z6X1dj3=GWBZ~(Q{ki}J@=^V5^6IpyNG@Zl79AR?8pz$jP7Wh6sn0P7Foe3}jD9sCX
zX9koHqi#Um30k`b69dsdq3IdCUH~Kj#nMoB!Zx%*g&8J8-3cDkfC(}%FmOWM2|Att
zNthu4>Q2zfKgi<DP<MjIp^zlbLEQ;D&;m)A;XTxy8;}JV7#IYh?gSr&f+QyowHI_~
z8Imx=S!n(O9rJ@Mz7A?Hc<nZl#Cfp2phbyDf(#4{f52<KSr|YEeWS`SFfhY5^Px#F
zgU*LS6T2nKz#zoH#Bcy?9(1o7Xsk{QBF<0-(Ewhv!oa{#DGU)$KofTogoq!2ii6h~
zF)%PR@k7J~pa;W)*B62I6Y)aCFF+$6yncv*fngUnMEn4_!_2?{UbDl%z~IIO5oZ7$
zUV_w4-@^eB4}glp*4reoL&PUQ#bNHh!wM1q02K$1KQk~e7(@NF02~j9aFBq8!-jc~
zZ~(8jVPIfLgsNAV4-p5ivjH8!0}Y1;H1Q~CI6Q!ggV)tCFfe38!{GxoAwq+Lp$Qre
z1q&hOgV)J0FfhnL!(juoU<J?JfaXe|;h<0oDfqziGoW!cXgDl@7P#O!7zPH0Ezoe7
zPzg~F6F&z{4-Hiiaq!#=0|SFP)V~|R4Q2)g@O%sClq~^Bcm~u%)Pv_x7#J9|q5c(U
zf{26XP8b*%tf2lC0B>?*U;xjHfDTrH`u71;999lp<Aj)R&;>CEJSNG&zz_xX?*yng
zY`)_i8$|ttZisr=xN8;EzYn0|uz8OuQ2!eAK-7c#Dhvz^Z-pS{e1M9><~lY){hQDW
zQ4gN)U|?W)$Olyq6$ke@7#J8n@Ib^b^g-0a(why`zZ3c);^27<&>kzOe=k5QHt@JM
zXkRYWzaJ(-)Pv_I7#J9?LH)}x86pmwgGhq<w*V>*o`+yyV90^`w_q+rJ$OEWfq~&D
z)V~SyAmXran+f&r1~l=bQ2#Q_hp30OFF+@}g36NsXhjQ~OHhFNcL7u!JfFb8z_1MJ
zUj^_6Lk0%$ya59PgBjGn0!tv~L$e3NW~hHRK*hmx0t^fcUNHYIg{X&xn<do06P7{5
z!E*o%3=E5){%zO@5eJX&GcYg|LH+w-GejIVmOm5f-vwJB;;`@m?Mngq_XAWMHm1)3
z^>4veh<fn2J_7?o9n`-Mpamg#3?G!Qq5fq6AFsv00IT1oL;X7eDh^Bkc2NI*fQrN1
zuM72W!XAjdu<`b3Q2$<lii5}885kJCp#D|Z4^a;rU+;zb_X1QLHpV^$nw||nw^cAO
zfNn7b7f_%*RiJQ~02K$1AA`aZ>feBa5c9!fBn%7;LQwxcKojqV`nTW^s(K@+e;E!#
z#KGg^3=9m4Q2#!Fio?wBg8H}M2t++h+zaYohNBR1m^&Mx{yhK{hYk@jfG)=Y`RfCk
z_ycG;tZ)os4tN}gfq@|n>fZ)5aW<HL(Zoxj{&hHxYK}D2zYEaBxuE`K09_BlzyP{i
z7##k^Q2!>Ni5o-xdjL&53hG~llMs8M)h$CIG=2}DiJye}H{lejdVi>Y8BU{$--p#Z
zXyUOj|DHir&jR)D12pl+B9QX4;Vi0pAE<v7&OyY%<JX|G8le82a1kO7ttJ_qq5fsK
zj4JL0^=|?6eiiVTG6Ms{Ca8ZeTt!t6I+hBQ9vrTtii5621c`3|A9Mj769$!IQ2#32
zf~W_N(Sqt17Kpzd+=Yn4+}Q`MHx<C`N(Kh-`3Ru-d1yN%;6AGQTxh*~0CealQoBeE
z+71x_ov@1}UIsNk0Zn{5v|iqTCO!{Zjxjuf*b5tjvVw+B0Gjv)XgXhjCJx#g4GNzR
zXyTxK>L784#}M<u<29gl$58(sfQrNNZz$Bi0Z$<6Vfl^^>fa48anM*esNRJ7SKtLi
zJ*;065A|;YR2)36$-ux+2KBGOONe?{dq4>4-w$ZwpcC6b?%(hVq8>ap2}+01^bb31
z1J)i`0ZZr5LpY$#DTYJPe4X$PVh(t$5p)h1)V~eyA>y#H#rx27uJ8dO4jx}*U|^7k
z`q$tiL>xA@s0a1$1gJP{eDM@C|2}|<!{YZ5G~F6}f|vsyXJlYt$b-5+;R{3@Jch`?
zz|aA8f5Q)mIIMm35SmXfpouSqx&J4s`X{jb2o(p95rXm~)SUspAnL*6feZ``PSEr=
z0V)n23uItmI0be81E@GGU4_Bi{~KZsc-)VHfdRBX0~C)F{y@aRV|)w@3_GFjZ}<lh
z2anq^FfjB&-Os=X8pvZ{0FTQtFfgovx?h0_A`TvR1Dz!SO;-s}aqyTM0|Ub>sJ{+C
z#ld523=9lfP=5t5L(G9Tn;6zZ<1qo;FJxeVwcqx`{0rUd0PFYPf!Z4Y9uHt(0H13D
z8qbBsmjZMj0Qfu%1_p+8Q2$;4O^(9Oz5vY&K+`{XQ3C@51Nht$1_p+EP<KuM_sba=
zpi8M38ldhukPEJ!;Cp7&q2d1lO}q)3Uk*UcfwddOVD8LAHK!ix&HyxVduY5gpoynJ
z%l{2%;<eEDdVnT=73yCBXu}`6goGg)8ea}jaqwO+P(KLj{s~ZVSpPQ@8V(Q8#08+?
zU;rHufvM+)suzIv$6?{{9##*csgHn$!v&}~EdOdi!$F}C5^k{esy{Rw3ZUY!_GB0|
z94?@V?}LVeK@r3pn0gmzI81<wLzfsZ9D#<z0W@(ZsJ}j-iT{Mwg9^nE^I_&3f{G_V
z!vTDT4(NOrXgCBw)r0qbF)%PVLc`$zR2*gwBQzX7K*eGEz9gXG-~ifm%D}(?+xw*t
z4TlD(ILw^o&~Vs*CN2vNhX-ilYoXyFP>Sj=ZD=?+K*eF>5|5z%U4SP34_f|1OkseJ
zXK#nrcd+qoSo)j+E&pNT%yLkTJE7$UY<w6d{tQ|^z{Yi<TLT%2p#Fu8$3mMm3@@Ph
z9dzI>$Y_{)(3vHm^0NV2??Rg|45Co~PJoKT`paja{)N>aF!86*{JsG+Hw9`3fGh#U
z4>TM=2V#SSVCH{^#_t8Fdhi)t3=9nB&~R`7kH<4G!1~>SOboCh3}g%(&t$|dF2syo
z9CY>!`dBZ>Fi`Utn>gqsWNhLsEZEIA!{Hv#umCo5K<8Fr69?5=*u+8oZ*1bnxUst@
zhzq;;2@dSyIqcZQzp`Q%e~BZU-EhR$Pw3nzD9n-ZQ5@#1#$iqf4)u$0gg@w5Rczt5
z28VlY;IJ2TBRMv6f^eh<(6}%*_3}8>XX9``XuJ@cIiO}9Ht{(){AG*7-clUmp!o)D
z=C=xCPY-p1*v0*Dh=a-@Z03W;DY1!z&d9(f4mw&Eo46c~@C5Cp#-@G;4u65FKWyq{
zaHK<J9O(yiejGM)K*J!|#6jgHHgVASD>iW>X#HXU-8T$A=Z%4ZVHLE#fbBPiiG!|o
z0G0m%(Do-xd@Hn`HIRkmQt<g5pesG0^(+IlB7zS4G9*FE^9i7<NkQ#?h&F~F(E2C<
zI$;JKE@b!yt!H5kPnh|?pzVYU&;}`}yapMS3~eVkK-WQo&;0_8=R@5CTW1PB!;67|
z;XKs*1ZYD5ChiNZ*A$@Z2Vvruq4qvN6E}v2!vg4fJMeiQpz(QV`=J3efzH6d0CRsD
zwEhZ!uGNBxw?pf31?T`3%-%j|ygWb?*Mf%I0_fTynEC~<dK@~T2opDf`d0zkae;{+
zfVNj3po#y1*4GQ5>nLFETnhDX0d$Q4_>3e528KeYcms5;04yAMpz)qy3W_BL22gni
zVktw_8$c&^o`D2G^9a!RQZR=IfX^6WU|=YRhED@@LKJ*n5Ca247c`tVKs%1G@x#T?
zc${DbF$d<~I;eXB!1H(v@O20Luz0bCsE7Gi4r;FgXmbMt0|Tgh0ja2fhR*}2IQUE*
z1_p+U&~$jg7Nm-S0enUdNF3^42k42vF!QfK{VM?N*umVd1C93&P;r>~0Wf=^4S!fT
zhd|XIaDvziJ}(9|PXP^|3C<94@cA&H@l0qsX@GWgVDqcLpy4I}?dXHgiD6)1XoIGc
z1<;NQ_#79|SpiV@D1a8SF+k5*VPIhR2@MAW(48L)3=Gbo1`7iN!(M3kH^f2Q6ATr9
z3k{zK(2fZB{1ec8C^UQwk|FBBXOw{E4WaSE0G+T0pP$OWzyJ+i22isRG*|HFKLo(W
z`_aXr!~0<MpcBJk>Y>BqU~zs%1_qe;d8m6q=2#<%Lx;b>>iLkwp~ER)aVsS8Igsu@
zSRC1%mvD$HLfhFOd)bl9F+vhYHs1<KoEJ&G6Atk$NaCPA2F$;=k;FmQcEZHpA&ILX
zna>DvAOmQv4+8_TdKV;dWb?C;#F5q4A&DcKKM_eBS^X;{apZUe^+Q1^2PBOgkDSn{
zcaS)8JZd3{BgZ4`EKrbo<ZuR!bHdC=jz>^?7@N2ZXs;PGe2~>U;t)^5A-)JnTpcO=
zVP|N9+=(0xyOGo*hr>A}apZ8gizJTh-@i!W$mx&|+8+bii>zK8NgO#H%Ht4Uh9r(0
zKBsVqD?sx<$X;as>L7_D`_~3Z9NE9YNaD!xo{A)n>@ODZx)ew{M|P(x4)GEk;!ALd
zU%?^n3mr!T`4>6-<B-IW-I<OgjvW5^IK<Z>iJKy&s~bq-pt((0Ilu)S#{szq)VGC+
zYaodutB*nwM^;~fB#x|pEs{90`Wr~%$m)4P4Pt2iLRN2%ByNu6uXH4FWOGWP;-KOf
z)Pw-t9|FqHHBfPoe@&3gnS>;cYz}B18Ke*0oK;Bbk<HnQByNOc{$(U_Wb+?F#bM@y
zZZrYe_W~-8?#@3*;>hN3LC2lZ%@Ki$qno3JB#vy35mX#x4rr(umL4LZ;vjQCYaC(X
zpmrU|y&!Sqcv*&|9@+dYP;rp?$nmldDh@ISIXo{Si6e*Sb0l$O^S?sHVdlfa^FLG^
z-Fy+~_&Z1(*&I70ab*9dBZ(vX7qkum77obfEQhLxxd)aGH$ufh_9FZD2$DFme;*);
zBb)yYDvoac52!f0`Ml6|R3LF=b3~!yAag)nLRkFTK*iD3dqKrP>Ot`c3+E81I7mIR
zzp{|Tk?k#lii6BSws$5}9Apl1_(Rs;LdtDqbKWAUM=m$ypq*%tImq#4fFzD=jz3f!
z<Q|Z}VDS|V6$hDPjFhi)q2lQ3E1}{b^@d35o1o(8>Ni8hLF$p+a|tSruKpfW9HbuE
zJs+Ur=<5GK#X;(k-NOoA_XxRk2-&}8P;roYWcP$Z#nH`Ago=aIBfF;<Dvqwc9x4t}
zkL;cfs5rX%oltR*dSv(9fQqB5e*zT;sYiCtH>fzedM5C?Q%Jf(b`LjH99_K)R2*bJ
zvU{ST;xP4~n-M|fLON6&q#oHll~8eX^{r5Gka}eI^g+eZ)$fOjgVZCt=N?oXUHvPl
zI7mIRdthfSqO0cs4dg-VWn}jVLdDV5J3+-k<|DfYv_2CQ9_Z>p>m@+qAoa-ZsfU__
zuD%;84pNWoo+(gqboD2o;vn_N?s*OsM_2zDDh^VQ>>gI=xC6R+L8v%LJ+gbGpyKH2
zeW2nX^~mLXC6c%$Qn}HKBo11m3M-#y;t*eoLwq9+@v}(c@<{eRM-oSFm;OK!2kpUt
zxrYrpE(!7%vU(jPab)vDk;IYxTaF}-tRA$U0hUgX&0mkCUJc3ppm{5pdSvt2q2r+-
zcOsh)T2~BHk8Hj@l6qwGOOeEp&7Y1Wj%@x4BynW(&m)N=oBsz%9J#+N16>~ga=$K;
zf5VW(rI5sHafmO$A$}Q$_&*%tn$V3DAon2K8-hc;9*6iU9O74Th=cDRhL%gn_G*Lf
zCB`BihC{p&hxi&C;@5GAGlA}4#A2@|4)FjS;^jER*WnPqibI?Qbp9t6_vqsgkHR6|
zibH$@4)NPK#MwZd3M}q1#33GmL%be`_(~k&Z;-^1^N|p!(Ft{r9#VeMKoSS7MS_)Y
zkx1gm`MnHD9JzdM!XZ8jNgTO+*n%XETs|B{5=Sm?FC&Q~tN)24j%>aTbY2IPPm$eU
zfFzFW{w^Hi^Kgi7#vy(dNgUZdZ;`~2-OmV}X9Bqs*}wcq;>hYvk;IYBcSI6L_E!`R
z@nRg}JCVeZ!|f!JII{VVki?PQ^8-m7+5KG5`7?C?N+5|NtG7cEM>f9(NgUaoXOYB_
z-T54cI1BU)GLU<a)ypA?Bin0-B#!LPAS7|*cuYVNM^@j4B#vzUBqVWU_pHVtegud3
zT^!<?(D_J^f04t@7D*i0-XI*}SxDl@?r%X7NA~YjByr^MS&Sr(to|gDII{V$v(nJr
zF91DH3?z>1{$3p7%W;Sw!6AMhhxkt<ab$OjfHp2e%UfiB=^=?Dhl350II{XABynW(
z^N_@m{o9U1d;t#eojAlV;Shg~L!1RVpN$^=vN*(Zki?PGVFQvlvcGz8h|j<wz7~i0
zP8{OraEL#~A^skRco1|U9C|p!;SevzA>M&Qd<qWn1vtdl;1Iu!L;NcaaUtkgUg-W(
z!69ydL);aIcs!CgayqX<5=YJ#Q;@`w^U)$Cab)!;ki?PAhn-P|?yt8<>XFkS8+2nd
zx_WsW;ubi>196Dw;}Gw_A$}4`962A|L=s1K|5F^||B%Fy!$$<V?gZpt<nUBO5=Rby
z6C`nD^-)OT$mVw<i6gszCXzU^`<LPn--jfQZ2lD_ab)*ALlQ@J{}&{2Wc5<e^)#Sx
zKsMhKNgUbzkx1gm?oY)bUWX)(Y(DG^GLXH<?pcPU9@+g{ki?PI-$D{cHlGu^9tdPU
zvil{G#F5>vibLEENgUby5F~MA_oN|-BfGx@NgP@IEF^Jc^G_m)BfI}0k~p&a-{KHw
zg06o8g#)sBDI{@ZdrgqUk=^NvB#!K_U?g#5^_58C$mXv^5=ZveF(h$hcV5CF{t8JP
z*?bo0x-O7^k=-MTB#!KUWh8NA^`1!L$mUlfi6gte7fBr1{flsj??MtsHvbBeII?@5
zB8elr|1**}vU*AAdNoiuAe--rB#!L<NF;G&_ow0zuR{_?Hh&6|II??|A&Dcqe+!a0
zvie&{;>hN6Lf6}Y{Dtg(NhEP(_p9O%w?h&~Ha`SO9N9f-NaD!uFF_JVRzC|#9NGMn
zNaD!uzlkJ{?Ea@X#Q!0QBbzS*U3UlyA7uBaA&Dcq-vmh<S$!0eII{VjNaD!upNS-n
z?Ea-V#P=bIBbyI9TMXU(&ydt3yZ;N4II{btpzA3?<{+Ezi6oBf{zxQoWcR1y5U)cL
zM>c;7k~p$^mLZ8FyMGIkII{X%NaD!mb3)gHg8YT-en}*8WcRD$5Vu1TM>anMNgUZd
zX-MM8?k_<SM^--zNgUbylStyo?!Sv9j_m$VIK;W2>t8|PfNVbOEHRKcvU^OC)FZp!
z8A%*jeI}APviUQS#F5><4M`l?{pWFr-^L;S9EbR49OD0Rh>Jtl0fWK;+5Lt{;>iAW
zLJ~&~p8zCrWc6i8;>hN&L=s01pHoQU$l-Ghhxj8L;=ho@k?j?Mu5SkU7ulVvNaD!;
zGDZ?dRv(EZj%<D>k~p%zW+I6r`)er<@r^jd&mxH<+xr|z9NC>eki?Pw#Rgr^jUEm<
zNaD!mM<R(M`>PB|9NAy}IK*e;5Z{a>j%@E)BynVa-9r*b_SYLEab)#E&~@q{|00|3
zfh3OXuO1|EWPdHiA-)lZ_(>#jWP2YYi6gu73z9gpznGxw=|S#CR<D61j%>aOk~p&a
zeQ}7V;SgVgB#s<D2av>(%|C-f{00v3Cpg4E;1K_VL!1M;uK*N2$nFurA+CT!TnC4^
zJCZnZI42^BBgab-k~nfaHXw;3t6zpBj%@x-Byr^M|AZut>|bus;vVR@E3&vUk~p&Y
zwn*a0?(stsM|OV<k~p&ZCM0oW^LHYNBfI}3k~p$Eui_AYha`?{J_~do2q@l>-6Mu1
zj_iIFBynW*UP$7|=2s$#BfEbZk~p&a7vT`!g(Qw_{uLx~WcNHp5=VCbXC!fC^^(y2
zEue5fHs2FT9NGOzNaD!uuSOC_HfJJ|II_Kqk;IYRxe-YmS^ae+ab)v3q5E(^?nic~
z8j?7&JFRhuhu{#;K@vx{w+%@g*`3po#F72A6iFOe{b?j|Wb^+Zi6i?<61w3X<X>ce
zsp1ef#362rL);UGcqk6>EF9wXIK*e-5Z{JF`~s3Va`=2e5=RbC7U%{cQ1~E+zYvl*
zvU&?7ab)u|k;IY1zZOXxIs7N#5MPBPj%@xBBynW-TtyN`cK>4}ab)$J(EVc||00`j
zi6oBf{vafAWcO#|5N}2jM>c;hk~p$^)**=_yMGUoII{XjNaD!mOG5X*f&7K+elsL-
zWcPdH5KqA&UV|i#Z0|HAab$NcM-oT&*H$EPWc9a^#F5SCgzn!%_m?7)II_RYaELqM
z5RXC<N4B>FNgUaotw`d?{+f&=j;wwsk~p&YF3|mlAb%n6ml1^SKSUQd!6Ci@Dh^r?
z30nFATUT=cNgT990w#V6NgT9P0Vci)y1x-*uQJlQojXu*kolmgewcb$=m8@janKYx
zOxzeM4l*Yl$(;2_;vz`mT+jnyK<bgjvvG)TL=qQ9GDirs@gCY9LpCQKNgQ-`5zL)y
zki?PIZ$T0lM>2muk~rwhYnVCbk;IYron1!~mqap06M7&O$iLi3;)zJ&(n#XvNaD!p
zst!q<4N3i0s5pAO>_rj>EhT`t|1wk@<R0Ysx{o9dIvW+HUKM)q6i7X?`!kTlk=<X1
zB#!L<HY9Om_pgMCgWL~V0symjCz3dFI=l`Q2f2q6DIDHF#X;tPrqE&L@Iem_LRW7L
z6$hyYO-;kp+aigBrfOm0TcF|~bCA;yKj>04Ea|WShxj%mapd&O>kC>n3t2ypY<@73
zII{XJNaD!q8KL`=LGDCO|9(i~$m+w8#F5i~B9b_A`Y%KhM^68hNaD!p-^m~0FXVD2
z2`UZ>f6x>$ESwi3iG!w0Vd6WX;vjz^r(09#fw~}ZWPeS-A^s7ExH<G7CXhME;XDIL
z9NGM*NaD!hYy>?}6l4yvdMhMx<ZyOH5=Rc_P$Y5WaE?V1M-J!pNaD!(^f{6^az6cw
zB#xX<S%MMqg`7{Vq2i$ULXH<_ByrGGFf6_zq2i!$K#s3eByr?&W;K#Hvisj5i6gt8
z1$sat$b4k?^B{>MyI&tF4st(esut!>dn9q>bQlX22bqst&J;n#LFRy_Kw;)gfr_K6
z-w725sRvCJ!qgu|5(iDS!NjdX5#f)Vex~9O|As@{26_-5$UVsEc`}kXviUcW#F5oo
zg=2S5JCZnZ`oDrCj%@xNByr^Q{~SpiIsJb}5=Tz||B=Lz)4wA0pmLCZk<(i+R2&rk
zpeZ|8IOigXgQl=x;^j!<prL)3_#&t{y7`x(;vn-uQ${fLPm#nyQz$TTz9>X^Y9iHN
z3P|F}`62)+4l*CvJrAMc=<bn&9=rw;N6trnNaD!(C<RFzIUnU9i6iHu14!b?;rty*
z9NAuV=s}$z_aNJAizJS0uPc%`vb|5B;^^UU4SK!?$oy2K@MMRcuYoRZgF{>cdhP~D
zJ#syjgCvgJZeNB&d^hys2y}Cd5)ttZx?2U79+n`9Bj+P;=mFOtbCA`qM-oR?zZZHw
z2uMA$`(Gl7BbQ@#DG2u<i|64Gp9~cTg+FL20OtPnP;rpG$mV>8ii6aHri@_f1)&!W
zpsSaJii6aHrdD9;&qKvQ>XFM6#WaNbk;R*Eh@U_bM=swqp$E&Oo1cXwj;#JPk~p%x
zV$cJ4LFOQ<Peu|)j;{?!;>hOgKoUnTCl4cuBbSqxk;IY9$=gWc$mQg3Byr^UVul_#
z2?~GY_-#iL2Th^C;$;GoIB4nsCO#8M9625*WMPl5M>xctv$3mhLlQ?0=jTY`$l)oU
zgD?kl_AtzyIZ$zsf05n!6)KJ%j~dW(T|wf=<`_W5(aouVii6Z6$73^+IC4BrMiNJk
z$Jt2Y$np3TNn8i1+!KYK_X@HXS=<$ecqtC?r8vZ|;t*$ro&$?+uMrOMBqZ_QpmUBO
z#}xf<KoUoG|0X1HWc8Pk#F5oALC=i^xd&OjGLks5`XD56Wc9^J;>hY3A&DcaKa3=f
ztX>>?{w&Bn$omark;L_p;&DBaIP$)#(@5gT`>Oas2k=4rp~&jBk;IYJ=Oc+DtM5h<
zM^?WTNgUZ<PjQHgLeHfI`4?HeD-Q8CB=KKJ>0v99II=lUaflm1&#ML53pyhWmfx#z
zh%ZGFM>hW|4sllKIkq74k=2{x5HCX#NA}lrBynVOt|5sdtN)H9j;!7cdM^>kJ;>_A
zk;IYJPeT$%R=*ud99jJ%Byr?@f6UN(k3jY!tJgph2i^S!3;)ST;>hLOUL4~5&<le=
z<|C{3!y$echd65`qWsrJ%3p>!#A9)Ycj6G=ibMP%4sqTp?C!C|A-)8M_%R&fFK~!6
zK`(Ftg(q@+$w0+H^%n@k;%gRE9Hbt(KK+41+zWaTJ-Yfxs5r=M&{VSqh{3?XkO>tB
zsRx~*2(xz+k~p%xe6@&hLlzH05=U+itV0q<R{s%699eyG9m0I%c3KZq9OP^ehPjim
z9-$uD{CFJV8*zvqfQp06Mh>3~P;vC|k%V3x2NFlNw-kr?P9$+;|B5zZcYg$uII{Xv
zNaD!hBi@AF9C;*h<o1sik~nhv#|lXtIlnt0i6ggvVxZ!na04C12aA`hP;vC|F@|11
zfG*yOLwpKU9ArLnyexr=gUmrrw{MZek>ka)1$+4PB8el1^GhUgWOH;{5#}JPpNAxl
z952VA;vn}UhmTDg!W?AtXW<ZkgG2l`R2*bJa`<q!Bg{t*pAaN*WP3N@5dVTCj_lt6
z=mk=s@JBXhGLks5dRFKKD<JjA;S+=;j%-d8k~ng@O+^w%PPe5<;>hW?7D*gA-L6Fv
zM;<@<1r-N{C&;a^^eo(k2v217AxPrL^;!dxIC42Z4~IBIH^O{ma|EE`=<ZR2ii6yU
z?4A-Nab$Cj;Sm3dL);L0z%s~uWOIUXi04AZLGA<{T?q@fMNn~&ImqE~35PguFCrX}
z{nd^nj%?0S9O8SS;vn~c?1s7LHdGuWhHSn}AHrT_|5oD=?}mz_o4*h$j&A;YBynW(
z9ibN!fYc+47vK<IgG2lr4)GUIagaNa<3$#F&@RYc<nZ*xAzq0jj_l64P;rp?pd&e9
z;k*?p4l*A(Kc0t*gVckL(uAqMi6jm>>JldY6)KKy4*x_*e1XhCRxgVr4m!FLW{xRT
z9NiqoNf2{D;(18z(p((k8*zw##UXA9y-*3={8}912XTnALN8DQnS-2eU6I6*<0T!3
z_yi<z<alSBiU<ef{(=OOxFS;cWFUzn$8X&<ggMCN`5q*3<a$A3I(GGeNaD!q*CL4{
zoBs?+99g{+^kQC6xFM_WLJ~)=kFFz$BdfoUB#vAky+RU4u8)2pi6e&((@cbak?SK>
zs5mG+gN`_brQ0GTanMntF!8BSage`|#}9Wy#X;tPj@X2$KZ+y{IzkdAZZiwv9%TR4
zB8el1=S?JWWc8x65#}JPFGLbYR=*ZW99jJ%ByrH)<S=(Sfi8-Gj;kP>GY^OOG9+>2
z_}YjhjvQYHk;IYX>m-sma(uZ%@An0TKXN{5!XbVPNgQ;y2+Uv4k;IYB(ShCv3^E^C
zeK-#BRvhB1k;IYBzlS7_oZtDO_XmUQMOJTwB#x{;5Qlg%k~p$ClaR!b&Dn@U{4$a_
zvN?Z{#F5RBg<j+eazAo;^$1BEIetZ<_aB4QBhM?PAc-TFtB;Vxk=<ju2$BAg)mI>i
zBhSa}MiNJ!kGqK^jyxat5J?<)K2C5k!d_(a!;!?1%`Zg~M>f9}NgUby%}C<N=D$V~
zM>d}mdci9wJdw>8L=s0f-wjC|+5Ao<ab)wCBZ(uMzaB{(+5Edm;>htLzZ848g&~O}
z+gpMpj%;rYk~p%xtB}Nz?Y)d7j+}nfmLc4OtUe7%965ZJAc-Tpa~G00vO5nUi6gs{
zV>!ZJWb<v1#F5PpLJ~(dKMF}4+5Blp;>hNoLJ~(d{{fOXviUEN#F5R{Sb^RBX-MM8
z=GP#JBb(oXB#vzUCM0p>c=?1Rj_fZ3=mig;^p9+>8<IG(y?#jI$oAGCi6h&49EUjT
zDnvLSt2aRsM{YMBM-tCMYS)#o#%|759O74y#F5kUXB^_qpo^@a^*FM<#%r<LTZlt^
z0+Kkgz3Xv^Ypp|=k8JNPByr?$lYm~>3W`T$adRYbWP8JKh#yB1N4B?o1HwJX=5NIz
zeg#P!+1}4M#8aRbPlMc#Y_G^B?DhuX5HCa$N49qY4spiK2=kHc-H0TP?BDk|#QC-$
z%t5wS7l-&lBynVW1ECk}g2DmW-hLe7>yX5e?LCV_Tx=V{oyg_~;Sg^}5=YLD9NQ7*
zAiIAlk~p&Yw{VF6L=s1Kzr+rN`N-m~I}zf@_A>86h$GwUh(kO9NgUbydK}_kki?Pg
zT?M_^2tB@D;1KWGgK#J4PC8h-`XZ7zvirZ{5ZBy`Fb7%vAtZ5R^Vy*nIib5#8;7_*
z4)F&_;>hN!LNAm=H{TP7crFg{e@NoU<~tw2?%#AA;@vpJWey_DK{h`TNgO#mTXBf5
z#361Dy+8^S4#?)$Ac-TJzW|5$5gg*7hq1eV7Lqu!`TKB)Kf)nid<47sJCMYY&A)>~
zoZ%?K9Axzqk;IY1=NghYvie^*#O01*H-8n9II{Wgk;IYB7e0>N9CIAvY$p)vGm+XM
zMM&ZqNaB-_#F5t-Za@-8cF$ELab)$Mk;IYJ?+0C22yLGr+xrMf9N8R(QwaAXn<I@R
zj;!7iNgP={>uH2J$o8rti6fiij3kb1P6m=Vvide8ab)%WXRzCwg(Qw_P6v`WvN>y!
z#F5pXMiNI>KLvX694KES`*#bHII=mHki?PA`Hm!xtX}jS!e7Yh?;(jJ+xrtq9N8T4
z^VrR?K@vw+AB7~2Y_B2o!a<OKk<)n~k~p$C`AFi(=1f5nM^?WHNgP>y>qUh7k^Q?A
zNgUan!${)D=G;RPM^^s_NgP>y^d*G7$mf}NK*d4hlc4!ZSbhEd7D7Gpc&yEB?Bb0$
z#E;<+=e~p8oB$l+lW>R&K`%N4#UrviyKsnq!Xa*P58)o<bzgUo#F5R{y^m0jEIu8F
z_&prrst>T6GXsbCH6(FlcUnEfZq6DU;&P9$tM^9|M>c;ik~p$|Ssr6IClrVHWgOyC
z&<iNh<1rD3_%$5jB2N+SL3V#7k~p&aU*iyug<d?0?w*NA;>hOzM-oRi-|acVJ;>ra
zafp9H5=S;a`~`M%uHg{(09{-Stv8YFtw9n;HvbipII?=fSJ>@cf<ycPk~p&YuCKA1
zvmJ-H<{RwlW0Ay>%|DAIj_iJsx7f{T$05EKNgUaH)pyv<nSeu_33@Rrdit?L5=S<F
z4U#yr`#<0i&-#GfJ+qO-k<DlRh+Tai4)F&##Dza0%t1DP6OuTx`<Xu@)FX>G;t)T9
zL!AE$c5|9=i0?oWM|P*|SM28W;}B>3hF!f8k~p&Y^N_@m{reP$xY>8?=5N6v{u_t5
z(+}+CY{en|0ZAO${VAZyEiC26Y8>LqpvAIS)X%^neg#P!+1^6XVsR|yEJ6}TR?q(j
zyMJ47h;Ky_M>a<Zw0IYbz0+}spFk2vHs=ox@q&NY-MJly_&*%tMgOszvlEB72?OLp
zV)XJS2T2^+{nwDhk<+a#BX)CAaERZ)Aui8^-JCQW;!kjhYcpdvXBiIhCrIMR{_SMJ
zZq6<wab)%Hki?P4(*!`5%R<u+a(i0~NgP>y7?L>hJn2d#@ie6V^<5-!<aRzIXmSFJ
zy?RLE$o9q|i6gsH0d!d`)Ewk_{AeU`Atd)~M-oR?{~t*lIsN#64hO+veh88{@_K-H
zByr^R0QpGb$n(YJNaD!r0ahT1BZq?|XmJMAJ;?LRp-AG$@jewvJRd0>?jeaI&ntaF
z5=Wj_`hz46iV9diD-N_66zU%2{fzTLlUPu3&|UE`^*52kk<-I>Byr^U6$2d#12qRZ
z9Nck;w;+ilo4*K29NBy!(B;8U^O4;jjU<k&{wR_-vU+aN<;qZVkkz{&i6gr|87dC%
zw?c|X27b_`&QNoZ(@7ALII_L7k;IYhWd$jMnge3O!rvRjfr=xm??w_wcIO==aS#(`
zPAn)>LCwiUN>{Uy#F5Rvi9_5Kv{(mf4sv`YBZ(uMGaE@9S^Z8Vab)#epiB-mAKCpu
zqKNVnIekt>5=S<FBa%3>`4^DHk;9D<beSU5US#ngBynW-oC6giQ1!^+$pb1xpyJ5(
zdf^b?izJTh{@Y06$mZyP3LU8V$nGft4YEMRk;7*zk~p&YmyyJg&HscXjvNlkph5>~
zKC*ZTk~p$^K7a~4sCwk^(E}CMP;q2?b8v{?M-oSN|6e3=WOMxF5a|TjJ<~vkKtb(A
z4xj5t;>hNIMG{9gUqk_6K5{s?;t-#PB#!JJA<(8isC$sZCjdzt+1@@J;*6k8=1_Bx
z-7k+Mj%-c=k~p$^cBmlyg&aOVk;IYB7Y7}V0JRs{d^03*<nT$yA-)4i9N9f4pu!Yt
zK63aJA&Dc~y8(x|GN{o5H3!-Kj!5Fj=1f8oM|RIG&>;~}bCAPF4z#%uDvoTv4U#yr
z`O!$?$l=q2L;NI?II{WAk;IYBw*YaV?m>3{7La97apds)gd`5q3ac-|K$~Ns>XF44
zBZ(us=OB_evU(v<;|6LDvU{RHn{1)t$l*B;NgUbyJxJon=HEsV2YChNejd=`QK<RI
z;+{z2$mXXbi6fi814$g&{U)HxZlUHQhi4IzII=m{aEL2|F6D)qgX|thBynVOCLxI<
zyXP|Kl5(gy$l=LripbZ<=4&H~Bb)DqB#s<zr8va*A&DcKe+Nk%*?cb0rRGp~BAc&)
zL%bVF9NE9ppv%;u<{*cEB$7C?y?b$pGlLFogqnlwenlj4WOE{s#F5pPBZ(ucpMxZh
zto{rR@jOr?9_k)YQwWxxS0agnnr1L@K5In$BCGd75=U0Q4oMtY{c|L7Wc8jl*v)T3
z5=U151W6p(d|g|FIiRW==Kgvlab)%FNaCQT7EJvlByms^2`0WANgPxaz{J-hiG!L>
zFmVPugnN+FRXdV6vO9(B5$ch}3y{Q-<9!_t@d5{gImq+rTam<(&AEv~{4WmiDUR69
zzlkJ{Z2n&y;)+fPbCA_<KoUoG|5qe&Wc8BH*v+xUA$|r)9NBz97wqPk;t-F-A^rkM
z9NBzbSM25o;t(&zA<p84FbCOuPb6{V@X5s?J`snwf;)EeQ<21x&F{n^z7~hLp9gmH
zCnJd?o4*~0_-!2GMV{Er-;N}XZ2oN=;{S1ocY0wr{~VGyviYBIh>Lk6%t2N^8%Z3w
zyt;`bj$9s^`XJ0fR-cU|j;#JTk~p&Z-$>%f>cf4po8OHjj;#JUk~p&Y`hEy=kju9k
zBynW*ElA?X<#RuhICA+sA4wd!d|r+uj$A(fLJ~)AC%E||+=(onfJ3|qNgO#I*CUA|
zo1;;PFdw<Tj>jSHQG`&BZ2lCeIB2|x59u70cTjQAI61O<)?$P?$m>G=ki?PI$3ewG
z=JO+&p92*KnU8GFCM0oWbME61mncEF2U&e24)G2g;xnM)=<Zwz6-RgHaU^kMd(T6~
z(am`Z6-PHmq!hdR%aFv8<97)T@scu#IUsulkiz)|R2*b4vO8JIA?iWm$l<SnB#x{;
z94ZbnUl7Us45&D|IqQ(bk<Gb{LtLZ+;T~l5p*X}R;}Cxa6$iOf2+5s%mDtUXf{LT7
zZ-a`1{DthEYfy2JdSN8<|3Ssk)q7Pz>_t~!1{FtFA5;xdk1k$@Lwq3)@e@emprHa-
zd-4~OII_QFYOvdzh(o*?hxlA1apdyqB$7C?y|<CXk=-d%i`_jDNaD!la}km_vc2<=
z#F5p<)nPY(J`V9GIK=-Ui6h%<T8}UvS-c!c9NE9?aEM<<5=S=YACfq-Im!*#?R7>H
zM^>MQLwpVn@l!a&f8Y?;YDBmP*<K$U;#D}rSKtu8g+sir31Kg2Xa|=5x8M-Jg+rX7
z8M`^kIK<tM#F5i^1`hEaBynVSE<+MWj`ssN#Bbpc|AIqYss-UM<ak+tB#!K!i#WuY
zS`p?Tt2f3Wo`6IA4w5)>xRtdb%tsbKjYIq?k~ng>akeAOL3XDyk~p&Z^+@8#>W?6a
zgNC|c>58EPVLq}s{y4<v;Sm3eLtLX1VLozvokbEyF5h&z5bBY`Egwl7*<amA;>i9w
zj3kb%zOfr&KC<{(9O8;S2=&O}(~cyLY|cz1ab*AAM-oR?AKi=H{FzANpdnINd|g2j
zM|O`-AH*C`eJz4izwd*Jqu0x7{Sfsaab)*6A&Dc0XETyGvid7X;>iBxn1C=JIUYrk
z#F71FjU<ljuUI5;<o)KwNaD!$b|Z-+o4*c89NB!fiP+t#f+UWt-V8|`+1@-Pab*8C
zAc-Tp-((WRUQm3ABE?rRR2&p9$mT4AileK43Kd6JpFA02KDzijByr^Sv)B}fdXV{I
zNbXUAii6BSRv!!%M^~Q)6-QS;0ZAO$Ut4g9-@qaM2Zy-$REYaQ_JWpX!19YHk~p&a
ztDxc__e&!AcOFz6WDc@BS*9V(M;5n35=XYT0ZANL{WK(TWcQqfii6yP9R8xyvD;gO
zB#vzFaU9~zGZ5y0rUYT(qmCqw?9L!0ab)wWk;IYJuf!q#2!}Y!OoV%o%`wLz-ho5>
zC=T&=IK;JPVYfFEhxmM`I4EAkk<!UAs5p8$xdjymsh2=f{|_pTu3lv}cK3MU5O2jH
zegKE~e;nebbFkZ6f<t^W4)Gs2#9iiMH$NLm9JyTGjwFtp9{lEEH)k%AIC6dT7Kgaq
ze1tj3<#qy+II??6ki?PIPeT$%F3;B>i6g83fkRw&0m41V_Rhi~{vAmi*}sAdv72Lu
zB#!LPb|i6Rdlw*yBiEZ3ki?PgeTgKFte$-l!ad0L$|8v)hmR|gII=m(NaD!qD{+Wl
zM-oSN=QkvA<n~O|VuU-9&96WbM-HF)NaD!;+KMEOY|bqtab$BsmLTj!cIN~f;%kt^
zk=_3aNgUZd97_@ABik#3B#!J(M<j7%^)|~8=75%L!|ID%BynW-A3+jFHs57A!W?Av
zr8vYjS728kfJ6KU4)NbO#A8-sH@_4~9622>MG{AL|2`yfWcBZm#F5ocTZP@;hd9K4
zA&DcKueBPxIWuvH??)0xHs?K(II=tQ)?hck9Z4M7ob5>B$mZNd5=U0gyB53o);Pqy
zq2i$WR0^p+Erg1L>QiKU8=>ML_0mY{8P;L9R}x7a*_{DM;>hM_B8elbpNS-ntbQd_
z9ONEk_islMM|S5es5r=9$mTb%$L{_)NaD!q58@DihC`ft1Hyb{bCx5CBm3(Bk~p$@
zmW>E=kk!i~i6g7G$044AL)>8#!hGcRv;Ss<ICA@88Im}1yZ0HAII?=qEf8}+@desH
z`vt;fm<kmK#S60fyGY{5{!QNs(FjtHT&^BK5(h1%gq5o-+YsuJ&2hjXUV}q?2M+Ns
zIK&OMW4AX4hxk<-;^I57n`4hdd>sz)4>-hSb|TD2uJ4?X#F67A07)FVKB_|!M^=9x
zNgO%+Un7Yl*T1s65bi-X|1Od^vO5`eBh({{+aQS}r;~Ujab)u+;t=10B#vyp^d5x0
z$mZ`u5(h1Hgr&pWy$JQl;jj{i_){F>iu<se6N^K9CJu3_{n*VpfFzFWFS!E<^~mvC
zheP}Vk~nBdH7p!B4<gJ#7PmzbN4B>INgP@IRU~m_^)iRBo1cm#j;wwSk~p&YUy;O-
z)jJ%<ZvH$R;+K%bk<F1gg58`{BynW*YmmfI+=(QPtlr@$cJnK7h_6KwM>gjbk~ng_
zOC3X)k1Sq*B#vy(1sviA#}VcrtDk^F`~wd0fD_ow*?>b_;3RhSkx1gm{>nxYM=l3`
zB8elb=RSonA6flOBynW*tC7T!)mxrMn1ig|8%Z2l{Y@lsWc9C+#F5okp22Q@H<CEA
zddaic)oUY(BdgzuB#!K!(@5gT>PyaHH-83_ICA;<1BbZpd4xI0>dTSDk=sklk;IYJ
zKS2^lRxfe^yZO3E;>ha#ki?PeodhIt<Zw8SB#vy(7bJ0HcZyv^xCc3$Lve_oz#;wy
zNgO#pGG0QMkL-S7BynW-mmrBFo3j8(9NC?xaEM!6M%at2J_bn~+5AEzab$NkBZ(us
z^9hnTvN-}*u-j{eLwp4e@iR!`$mTyp5=VCDXC!fCcluvNxChysVjSY9k;IY1jpG`^
z9At4FBynW(9g)P5-5HD|j_l4YNaD!mJU|jhwwLQVcK1}^5TAo2j%@x$BynVS9!3&J
zcBje>guTe-1R#kcyR!<1_zN83JU6kMuZSd$>`r4Oab$N+K@vxH=K&;fWOu&8AzpF|
z;T~l5H<84V%M;_<2=&O~u}I>`<<d+fab)$Iki?PIUq%u~4u_{m;>h7(eh0gIB9X+A
z{j~;%_-`a}WOoMK#cuvQBynW-AI2draSve*vU_Zh#F67M5J?<49Fmd5k;CB#k~p$C
zACSb6?UlNZ-8~&R#MdB+Bb$E^NgUaomyyJg-D&awVK1^d2}t6|?(D)L&i)X)IpH|O
zm*Wusjzir25q9&Z;}Cz2L)`o^c5{kwh}R>DBd4oHNaD!h_8mzaIsB!bAnZjRPqsx8
zM>Zz|NgUan8A#&D@p1)899jKuBynW*qE8X-LAKWqNgUan6-eU9>Te^7Bby)n3}HU<
zy6)vj;-Ib4u>L0VbL{F}ki?PIcOr=+uP48NB#vzF4J2{o_2iF{#F5vNe?}5VUZ3+D
zNgR1SxzY=S`;o)V5lI|5-xVQ=BZud4Byr?&?=g}%a{A$aiLe)0y*83K^0-Ybk~p$E
z^O3}n-8ltG9NC=<k;IYn#StWNWc9MI5bi{_S070n+1?N&ab$B6k;IYhZ9x)8R{s@A
z9NAvE*9i9@tItOgM^@j8LwpsIII=nKki?PGkJTH5y~yTAAc-TJlY=CVtiB0{_;Mt1
zWOF><Vz)OBNgP@I1SE0fe0?5C9N9hk?-1rAs}DjFM>c;m4)L2v;>hN_MiNK1*X2ES
zdvlS*k?rOGfKZPt9)~23Y<>fhII{XFNaD!p_6m|XvN=DI#F5RZ|A^f^S8<4|f5NWb
z6-gX)qy(&7jm9BfizJR5&TUBI$mXm-5=U0Q1xXxP{Vg2gFOkHN!{-~4II=kspAr5=
z77xK8UWO!&?9O^5ab$BA;t;=$B#vy(6C`nDbJ(C0C7}5ZWcB<=;>hX^afnyq5TAoX
zd>sz)6G-C7>FpwtII?@b;1Fl~iaq=lki?PA*F+LWHa`uA_!J~@<ZzpdB#vy(86<IJ
z^;eO^k=1|1Aujd};V)!!<dMXY&2d2zM^^8PB#x}U2uU1SeKnFevibu!#GfLGBb)OM
zNgUZ6;qTb}C4(f6tlkkx9NBy?BynW*%{atYAc-TJvk^%g*_>-g;>hanBZ(ucXZeBM
zU%W`-$m(5jh)3ZNuR#(=PS5>F;>hM7L=s1FKax1IdcmLA-LH=%j;uZrNgUbyP9$+;
z^$T%`@5CW~1BW=rFN8ah&38Z&M|Mv<k~p&ZRwQv`_4AR$k=0*A5=U1514$fNz4mW}
zJ3&W3!s@#SByr^Sa3zvBvN<<!h<`#7M@|p_ki?PAk^O^k53;y9k~p$C&Pd|O>g$li
zk;7pvk~p&ZJxJon_32q8ab)$1e-Z9P?l-w3i6h$^fFzD=&O{vITam<(!~Y<XII=mP
zki?Pg{fi`ytX}&c!u`nV&5^{B)#oCKBfDoRk~p&ZB}n4P;kgw_99jKU9OD0x#F5us
zX#7XGAK9D;BynVa6(NZut6zX5j;wwSk~p&Z3pm7oA&DcqQ<nj>0)&A9)Sf{W_d^m#
zHop!@9N9fxNaD!q=im_Eha`?{&M72uWOLpji6iGPQAULOk=3gpi6h6aA(A+<dS4vk
z)kxyV?rcXAM>c0U4)Lo<;>hMaL=s0fN0JHQFJ$%lNaD!qeUZeG!>1HU99jJ)BynW(
zZy<>ytN)H9jyx`*%#3g+a=x=i5=S1Fs74Y;Hh&V5II{a^BZ(uc--;xTto|U9II{Y4
zNaD!j36GJ)k=6f25=S<llLg^lWc6}5#4V7-k<D>I5=S;C5J?=_ooPto$m(m6#F5SK
zL=s0<KMhG7+58nq;>havBZ(uMe;P>~S^W(pab)wM$6SJQu_Xfo!&K<~4WM!dBnJvr
zkoYF3IOr~BWbyM*anN0~$l`CH;-EeC$l{!rK|BTq&>k@m3ue9=R2;Nt0a@G`DlP*O
zWMF{1GXW~DfF@oG6<0wMp9K}yKoj2%71u!%zXBCEKokE66*oZ>=eq(Cuz*l-cWOh$
zZP3I$pyCc_;;B$^7c}uEsJI83_<X3i51RNMsCWRH_)VyI2%7jesCWdLxbRhoKn#R}
z`^x|-o`5Fq3l&d66VHN*XP}9<L&bB@#Fs$D3(&+5Ld8qa#P31HE6~J$L&a;*#3iml
z1R5X|++U_p@fI}kAgFi;ns`1`9D3b7+?*b$IP`jRxcEw_IP{usxcD)sIP_X+xcFnJ
zIP{ugxH!Xgh(n;)-onLYq2kbMQQ_iNP;uzBmT>WKs5tc6M!0whR2+K!AY6PRR2+I8
zA6$GLR2+H@9bEi0R2+IO8(jPaR2+Kk7+jq72E<>`YqH?tN>Fj=wN7wxd#E_{8X~xO
z3{)I?Z4O+#5-JW&?r`yGP;n>)7vBsOfL<2^7ry`%hhCoo7k>*Chh8TF7w5VO@fY-Z
z4Y;^ER2+KU1YFz^Dh|DV0WKa56^C9&02eQXii7%MAe&(2YClvQdR#qR{Yt1f^q6+I
zcmebrWa#naaB-FZNV^$&3^rVRB2*lDoHJZpE)b#~boLsudsaimL32aM;ub*=^`JQ*
zWbva=anM>mWbvS2h<fPpt8jboL&c%Tn!?3%LLllvZBAr!enQ1TbttlUTPQ?5sIEj7
z7Y>7nLyu#Go4)`m4n2ktF0LC6Q4c*f4=%n7Dh@s74KD5;0Z|V<#tbfg1u70bb_*_^
z7zt4iJw6I9{thY*jUKpobreKB)Z1`zj%bKD)Ec<>RH%3iL>exx1Qkd?6JHM%PeBv6
ziG>J&?x;cz&y!Gb(3zIV;$d+R^#u?LZtr8LIP_Q`xOhQ4L?QGT8@Tvis5tbv6u5X7
z)Zx(MH{jypi4b$3$2P#lmq5j##|*&54U-`1q1)@>;`^ZD&~4vvaqnb^dgwM{xcCjI
zICQ%zTs##TkkD<8aPd!2ap<-@xOhG2&?5#02I%%IxHu2!5&#AU2I#gVxcE${ICQ%V
zTwD#bxq*R!0lLisF1{Hm4&8PD7k7Y0EOhxiT>LCl9J<^aE*=HCB#nWA0lNGdF8&-U
z4qdJb7cT)_BFezP09~dE7iR<=!pFeC09}R&7w?0LLze-<#igMM4Z3^`F1`XP4qc`M
z7dHhRKFYwr09_6P7e53Qhc3r}i~Hw6;ukvY4;Q}!6^BkE!^JZ}mjEy@FhHjb;o{$*
z;?QX;xOg)(LqMks;Nk+%^UI*apK$STXhcDWqu}CFP;syTQoC9UDh}-~fTcn0e=Dds
z2dH8}Qtt&7=Rp&%fQk#CiFZK7(cA5yybr?Y?MztyL~plDU<RpXU_h^D6`&m#^m^d}
zR2&-3aDO?lL(D<XFAUI*4tn@c;DV?}51#{2aRoI03UEWz+n|XjK*b}_#1BBlOVGp>
zpdG9OXyOUH5c7GU;Q^8c#a966P&x(%h6ptA1)#&^7#J8z(8L*_9km5$;sMZ(+6y#s
z1JI!n3=9k=&~Sy>djKllfF>RQI#d9ZPtn95K*isni7S9MyE8B_NU%cu2Q&Wyv?J(%
zCT<`LQP+Vc&L9U7zk(({K^`J5!v>*Y<_AC%W(Jx#gAzpD1~l;tQ1L%#;tr}1^&Zgl
z1v7sEv;#T;O*}ypqW%V&xPlf$TmhOMVdgx5if5pSFVKdl-+(6G0PW!ZKobwpg{XJn
zgwQba74#td4m9xxQ1J_B;tTX4>LsB00A@}Cv;&=hCaz!zQNIFB`~g(_1Df~(BZztn
zX#RkiUtkOoZ$J}ofOgPNpou4#LevXD^ApS*12c$t1e&;lIYfL3ns@`WqyGU-d;=)+
zF)%P#K=UQc{0X4Vd<+Z>4QS#4))4bgpouF$2Mh$D`50!-1E_cen)n4<h&fBp#2xG*
z;&0Hz1)u{DCeZQ#X8s4LcnzBP14oECN6^F%I6=hUpovd#hKP$m%N3aU0<IA89yIX<
zeh_gM&>@LP^@{>@K*9%2+#nXBz5`9XAr2zG1x@@xJVaarbhsgs`3A`l@eVZc1Gy0K
zIcVY^pyC_Q#1--&>W`p_2SCMdpoup?#owTbZ-9z3fDTDSa_0l6xColKKt9A?4K#5F
zsJI83_ynkU2AcQ-sCWmOxB+wkW(Asf162G3n)n5%_#HHHg+hosKcI;hK*c4X^$aYX
zT!4x@potq4LCncO6Q2MTuR#+(02QBrCjJ2`z64ENp%`NR4m9xqsQ3*u@dHrtH)!G?
zpyCYB`Vi(X2k5|+2%2~UR9pj1d;?V622K0{R6GDpT%Z)<{uDHE2dH=pn)m{!_!2a6
zhBAmbN6^F*pyE%^#1BBlS)lbf%)bKV5OZwM#4kVx>R|OhO#K1qz?cKH{)dS(%!QZ}
zf+ijS70*Bup8yr_KokD}72kp;zF{83{3~eU3iBc2U(m!GpyDFX0c)5$A3()@(8NDL
z2kJ`D#0wTe%>SVXp<(81fVT5>pzRBoxB~PX+XOW61<;Of4Vw4_==rKM(8L>{=cw*M
z6W;(m=afMi)ja{wb2Amt#08+|KgOVoL(kWnfF}L`D!v3w+yHuB-WfFU2~hC|=;F}x
z^1h&nKY)sJKqmlT;bs8spc|lxe}Ia+pou3y&$&xL6aN4eFF_N(06ph!3!3-@==pRH
z(8Mo52L^bc?L?S6KS0lGgS8)F;tbGp(?ZbH7eK|Apo>G#GdhAMo&Y_c=mwhj2B`QO
zG;s##`9U0NXz2ku@F0UGz5pujgC?#3J&z^_O<Vxl@mhc;z5yz}2Ti;HdS1)}H1P@0
z^Iv4tQQg@9J;%WVP5cA&{Dl}a@deQSX#tw}2dH=pns@>9JcSKt;t!zb6x=`)XMpbK
z7l8HyVDUQvDsF)${sAhUf+n5-9Z;!26TbizUxFsC0Nt;?15Nw_RQwE@xB+xv<^h`c
z1gJO%w7&v#e*ko!xe1#11E@Hxe*;rr0Npp<gQlJVx(|E{n)m~#_yaWY0_Z>y541l7
zGoJyvAKL^?`~Xzk15Nw`R6GVvTmiZty8unR0V>{tCjI~_J_AkM0lFW14Vw4@sQ3Xi
zao9lD6*Tb#=m6LYH1Pva@jqzd3ebJmGSGe@EPM_?#cj~U6QKL6W6;DIp!=e0(8L!&
z#pj@jJ3t5I_MnMBfQsKi6K{a-bN+)St^nP)tO4!6!rVUry8ku@O`HL`Pjv&DcmZ_4
z?+cpv1?c`y3ur$WX1)V-KV%J>_y*_z;Q=&p1?c|34`|{Kp!?rUp#5f;`2o=VU=?WM
z2cQFrJ!s+r(0y48(8Lp<;#<(fH$cTtpoud;_hsEd6Ayrje?Svo02OC}_U~ct{{R)2
zKofU>?!R(C6F&eIFF_LzfDV+dKoj2p6=#8tAHeJtfDWLlpoup?#Us$f4WRpB8qmZ)
zK*d*}iEn`JSGj>E4jZ8Tf+qd|I&cdc&w#lz0lHtp13I1o6K{a-i-<uJSAY&QR-lO+
zK=&c^povd_iZ4JDe*hKVf+lVNUElu!O*{cQkSqfoUxB+5I*@FECVl}b9)c#W0A0^o
zfF@o56`zA99spfex&uvo0#y78n)m~#_#ZU!1n7ED4d^%!%>5If1Kv4k;tkMsflJWD
z8KCRE?x2Y)K-X9OKod`ZiYq|JnPB!FfQoydi3dQ}Eiq(fXT}#7lon@HG32J^CKptK
zManW#a^j1VGg4DZb5i3=iW2jR6O&6a^Yh}1Gt=`DbK;A0^2-_Yic)hD^-5AJN{G{x
zmRJl`nUkN6*LZ|VkVA@63-XJgIzjx@veY~zZh2y63CQuqsYrsEd8tJunTa{^MX6b-
z$w(5(`FY8SNc_a)<kaHg__D;J%*3Re)cEB5yyB9g#LT>sVu-ImZcWR~%Ph`_FDS|f
zDF%zfLkC$99ByFe!2+rvu_!S&wIsC&tO+cilUQ65UzV6tnu=XfaY23oSak}F0oInB
zk(!(xUzC`ao*JK@7N41yl3Ed;UjXuXaxO$edTL&3QDRAId}>iqeo=f{eo=f%W?5!2
zDB_YT<Ev7O^1%j!%_}I%FGx%WsYZ%luykThW_lh&Nq#|2PFXHkqzn`Y#i=FnB^gDj
zi7B2bV6#i}iW2iu@}WvVF@qkMi8(o7{VA1siMg4{C@Bf#2(V;vNlJW9etKqdd}2{%
zNd`DjQd3}5W^sIKVQC`79FU&)#G<0a%J}5`+=9fSRH&q0UVcfcp1WVDZgELsayHmp
zuwqDLBq!$NB*Dc&p1~px&IVweDWD7iW<flTCnJDTYJ6UPd{JsTh)PUPhv)-`28u(A
zGpkY|av=Lbi8eklFC`uns(GmuCGo{2i6u}4AkFafg%msBFoEX2_{8+|qEt})K@0`y
zK@a|v)Wn>e{A8H9#U;5V@%ec<nR%(uyqlU4p9V^wU?b8~OX9&{R*+bd5ucZsi{zTj
zyv&k#G~>aVz#57&i!<}m<5N@8k+h^G=Hy_C=H{m$>B}$8gK#rbQ&Z#fN^?_-GLz#$
z38ExFzBCV1*rcW~=oOa~l_VxH=oMGyf@yHMQ<R^QSdz$)T9KMuT9O)X$pAK^Al@?3
zJT=WQIVrxlG%4N^A&+1hS|ZpM2&N$t+Z;(4iEV}?jLbGg5;sAxjf@d&LnOA55t6u(
zB|~XmW^rb2d}6$1N~*CL$n8dkCP~IA7Up127$Q^~A+Ze&Eg6s#hmir2IwZECfhB_;
zB((IBi%TrP;SUbu)QXbK{P^;s%#u_KctBZT4M>E%C4y;)#I~?Nag3n_k}@Q=Ig&6E
z+YCt<nQe+{s<8=@IwZC+Lf8n2ZHUA+GD4^^G_oKV<_OhBh6uKyA*zFn3=nc4HgbFz
zBFTZ+;HtJHB_32^!h+o#9_;2=gWa4#&&N5y)6mc~9--6{!8Al-TbQH80Fp8b1lt@<
znK?qr5SeX;ByNge8<`;3hDdB9V<d58wvjo(utw-KGDNTq4N+ZcWPp%EW*ZuqV@=X#
z@US(*8n$MLu!S<sjpJb~qj-dNOEc7fwM0l6BC#!ygpt_hNW#c$GbC|SR2z&<5OPLH
zY(pfrkugGzArjlj2ua+?3_0f;nh}f%gkB><1l!OM)qO?=2svc7p@A9Jm@tLMgelgT
zFh#@!lxc1p4`Ug{BeYv0n1)Df3nXDAwmFh8GTY1)H9k#|)FH8rOpwG)Q1zG^BgrAN
zjZ6uKF+!)2A%bmah-!n80YVPMMlD$oa)t(`Si{@|9_A)k!`uWC=H?dhP!_0+filfa
zV1nkxaF$U#!bnRKln^wsL`WGTu`N)Q8CoDILt>jF2_v)3ki<<9Y$FpiV@(iphG=r8
z#t1nhB(|Zk388p0Lbb)z2+1TQwxJQ+q=NWl149cFvlIhJwT+~~5WzMyL^ash0F`fK
zfKZFfHbfFP#u}x@@F<0{3=PfV;Y?E))7%8kGKRB^U@SvZgLq?j+Oaf7i)d87p(R2o
zGTQ=47>R9;s@upMNf|QR3`yJ+O^*pG-_Qg}Et;IEF_N6IF~JB&HQCe%q1y<FZD<4!
z{S*UBQxnS+NK?}gNjVbR&=A#FBLgILNNhtSwh`9oHG)Sklm&`jIMXy9$}~5Dvy9;^
zBRI<t#sWpO5h9|E&>|WkWoU_DTOgQ*NNjT?VPv)$s;P#iNa{>c<xCJ#Mo4T!B({+;
zLXDxZ5yALGs5UY}unmpi!HXJy2qi{_2(}>-+sFXb&4va@>I{s)<uJHIgs<}k?lFQo
zgNCH2Pb~rUUk&1+8bRG9w62aJJb6G_hDH|gaHct&X=sLEn!=doCUBN9oMi-O8NyhG
zW(cOKLA)U%{UDfzmI$_mAxg3`v_ME9v(1r&k=bTQ;-(0;34&>a#5OcRwawHRNgWc~
z(Abb*+CkE3gkT#YvkhUn6g3$kR2vy0*oH`KBLgIH19Fl9B;|m{5wNDTq@4WZY*633
zEU^eQzyMA<2tA;IzTAR%1DN|!ddvopWMpV$84qQeTfkU`CJ3ex64M;6z|aiAG=(wE
zO<*iT6FAe{7$#_L1Y;S&S>}c?F;I$wIs{Z=!I;JdFa<^+7JL-I05!c?8o*PiArjjH
zO_>FfG9<P+k}xvc3`yJ+!8SoP)zkzbWrV~wL|12wqz;K~Xly_*nIh>lLa+^y+3;va
zO{S=BH8Mo#MrIo#iyI)+7#ct)9w5`(WRpu-7#N^Sc~Q*p2!V*g*6F|`A*<P8JO&2P
zazBt5bh#^B0=jIF;R9@8G*kw<%n!<AU;r&sgf8`ki-8yd37`>l28I(CKs*Kp(6UXC
z2z0qB19aI8!wXgh@RlX$vN?!i1_lNLR*-7Meg&8WOh1f%#ED)112%|$boaveFnSjY
zL_b6|%oYZQ4^aKOXcFjt-O2*l(~r;zVcjr*gntEeJt@flpfHBH8|MELOc47ax)7u+
zCz{1jDNtNNnPBQ4GgyBCx@rZe{sQQ{1z0fy18f;QjLYzo8N2%fY$5Ld0bS<>az7`M
hewh2$vM_+x-op)qGA7tUT+{$vj|6fzXlX3E{{e~=Y;ynr

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.c b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.c
new file mode 100644
index 0000000..3e4643f
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.c
@@ -0,0 +1,345 @@
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+/**********************************************************************/
+/*   ____  ____                                                       */
+/*  /   /\/   /                                                       */
+/* /___/  \  /                                                        */
+/* \   \   \/                                                         */
+/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
+/*  /   /        All Right Reserved.                                  */
+/* /---/   /\                                                         */
+/* \   \  /  \                                                        */
+/*  \___\/\___\                                                       */
+/**********************************************************************/
+
+#if defined(_WIN32)
+ #include "stdio.h"
+ #define IKI_DLLESPEC __declspec(dllimport)
+#else
+ #define IKI_DLLESPEC
+#endif
+#include "iki.h"
+#include <string.h>
+#include <math.h>
+#ifdef __GNUC__
+#include <stdlib.h>
+#else
+#include <malloc.h>
+#define alloca _alloca
+#endif
+typedef void (*funcp)(char *, char *);
+extern int main(int, char**);
+IKI_DLLESPEC extern void execute_2(char*, char *);
+IKI_DLLESPEC extern void execute_3(char*, char *);
+IKI_DLLESPEC extern void execute_4(char*, char *);
+IKI_DLLESPEC extern void execute_5(char*, char *);
+IKI_DLLESPEC extern void execute_6(char*, char *);
+IKI_DLLESPEC extern void execute_7(char*, char *);
+IKI_DLLESPEC extern void execute_8(char*, char *);
+IKI_DLLESPEC extern void execute_9(char*, char *);
+IKI_DLLESPEC extern void execute_10(char*, char *);
+IKI_DLLESPEC extern void execute_11(char*, char *);
+IKI_DLLESPEC extern void execute_246(char*, char *);
+IKI_DLLESPEC extern void execute_247(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_41(char*, char *);
+IKI_DLLESPEC extern void execute_68(char*, char *);
+IKI_DLLESPEC extern void execute_69(char*, char *);
+IKI_DLLESPEC extern void execute_70(char*, char *);
+IKI_DLLESPEC extern void execute_71(char*, char *);
+IKI_DLLESPEC extern void execute_72(char*, char *);
+IKI_DLLESPEC extern void execute_73(char*, char *);
+IKI_DLLESPEC extern void execute_74(char*, char *);
+IKI_DLLESPEC extern void execute_75(char*, char *);
+IKI_DLLESPEC extern void execute_76(char*, char *);
+IKI_DLLESPEC extern void execute_77(char*, char *);
+IKI_DLLESPEC extern void execute_78(char*, char *);
+IKI_DLLESPEC extern void execute_79(char*, char *);
+IKI_DLLESPEC extern void execute_80(char*, char *);
+IKI_DLLESPEC extern void execute_81(char*, char *);
+IKI_DLLESPEC extern void execute_82(char*, char *);
+IKI_DLLESPEC extern void execute_83(char*, char *);
+IKI_DLLESPEC extern void execute_84(char*, char *);
+IKI_DLLESPEC extern void execute_85(char*, char *);
+IKI_DLLESPEC extern void execute_86(char*, char *);
+IKI_DLLESPEC extern void execute_87(char*, char *);
+IKI_DLLESPEC extern void execute_88(char*, char *);
+IKI_DLLESPEC extern void execute_89(char*, char *);
+IKI_DLLESPEC extern void execute_90(char*, char *);
+IKI_DLLESPEC extern void execute_91(char*, char *);
+IKI_DLLESPEC extern void execute_92(char*, char *);
+IKI_DLLESPEC extern void execute_93(char*, char *);
+IKI_DLLESPEC extern void execute_94(char*, char *);
+IKI_DLLESPEC extern void execute_95(char*, char *);
+IKI_DLLESPEC extern void execute_96(char*, char *);
+IKI_DLLESPEC extern void execute_97(char*, char *);
+IKI_DLLESPEC extern void execute_98(char*, char *);
+IKI_DLLESPEC extern void execute_99(char*, char *);
+IKI_DLLESPEC extern void execute_100(char*, char *);
+IKI_DLLESPEC extern void execute_101(char*, char *);
+IKI_DLLESPEC extern void execute_102(char*, char *);
+IKI_DLLESPEC extern void execute_103(char*, char *);
+IKI_DLLESPEC extern void execute_104(char*, char *);
+IKI_DLLESPEC extern void execute_105(char*, char *);
+IKI_DLLESPEC extern void execute_106(char*, char *);
+IKI_DLLESPEC extern void execute_107(char*, char *);
+IKI_DLLESPEC extern void execute_108(char*, char *);
+IKI_DLLESPEC extern void execute_109(char*, char *);
+IKI_DLLESPEC extern void execute_110(char*, char *);
+IKI_DLLESPEC extern void execute_111(char*, char *);
+IKI_DLLESPEC extern void execute_112(char*, char *);
+IKI_DLLESPEC extern void execute_113(char*, char *);
+IKI_DLLESPEC extern void execute_114(char*, char *);
+IKI_DLLESPEC extern void execute_115(char*, char *);
+IKI_DLLESPEC extern void execute_116(char*, char *);
+IKI_DLLESPEC extern void execute_117(char*, char *);
+IKI_DLLESPEC extern void execute_118(char*, char *);
+IKI_DLLESPEC extern void execute_119(char*, char *);
+IKI_DLLESPEC extern void execute_120(char*, char *);
+IKI_DLLESPEC extern void execute_121(char*, char *);
+IKI_DLLESPEC extern void execute_122(char*, char *);
+IKI_DLLESPEC extern void execute_123(char*, char *);
+IKI_DLLESPEC extern void execute_124(char*, char *);
+IKI_DLLESPEC extern void execute_125(char*, char *);
+IKI_DLLESPEC extern void execute_126(char*, char *);
+IKI_DLLESPEC extern void execute_127(char*, char *);
+IKI_DLLESPEC extern void execute_128(char*, char *);
+IKI_DLLESPEC extern void execute_129(char*, char *);
+IKI_DLLESPEC extern void execute_130(char*, char *);
+IKI_DLLESPEC extern void execute_131(char*, char *);
+IKI_DLLESPEC extern void execute_132(char*, char *);
+IKI_DLLESPEC extern void execute_133(char*, char *);
+IKI_DLLESPEC extern void execute_134(char*, char *);
+IKI_DLLESPEC extern void execute_135(char*, char *);
+IKI_DLLESPEC extern void execute_136(char*, char *);
+IKI_DLLESPEC extern void execute_137(char*, char *);
+IKI_DLLESPEC extern void execute_138(char*, char *);
+IKI_DLLESPEC extern void execute_139(char*, char *);
+IKI_DLLESPEC extern void execute_140(char*, char *);
+IKI_DLLESPEC extern void execute_141(char*, char *);
+IKI_DLLESPEC extern void execute_142(char*, char *);
+IKI_DLLESPEC extern void execute_143(char*, char *);
+IKI_DLLESPEC extern void execute_144(char*, char *);
+IKI_DLLESPEC extern void execute_145(char*, char *);
+IKI_DLLESPEC extern void execute_146(char*, char *);
+IKI_DLLESPEC extern void execute_147(char*, char *);
+IKI_DLLESPEC extern void execute_148(char*, char *);
+IKI_DLLESPEC extern void execute_149(char*, char *);
+IKI_DLLESPEC extern void execute_150(char*, char *);
+IKI_DLLESPEC extern void execute_151(char*, char *);
+IKI_DLLESPEC extern void execute_152(char*, char *);
+IKI_DLLESPEC extern void execute_153(char*, char *);
+IKI_DLLESPEC extern void execute_154(char*, char *);
+IKI_DLLESPEC extern void execute_155(char*, char *);
+IKI_DLLESPEC extern void execute_156(char*, char *);
+IKI_DLLESPEC extern void execute_157(char*, char *);
+IKI_DLLESPEC extern void execute_158(char*, char *);
+IKI_DLLESPEC extern void execute_159(char*, char *);
+IKI_DLLESPEC extern void execute_160(char*, char *);
+IKI_DLLESPEC extern void execute_161(char*, char *);
+IKI_DLLESPEC extern void execute_162(char*, char *);
+IKI_DLLESPEC extern void execute_163(char*, char *);
+IKI_DLLESPEC extern void execute_164(char*, char *);
+IKI_DLLESPEC extern void execute_165(char*, char *);
+IKI_DLLESPEC extern void execute_166(char*, char *);
+IKI_DLLESPEC extern void execute_167(char*, char *);
+IKI_DLLESPEC extern void execute_168(char*, char *);
+IKI_DLLESPEC extern void execute_169(char*, char *);
+IKI_DLLESPEC extern void execute_170(char*, char *);
+IKI_DLLESPEC extern void execute_171(char*, char *);
+IKI_DLLESPEC extern void execute_172(char*, char *);
+IKI_DLLESPEC extern void execute_173(char*, char *);
+IKI_DLLESPEC extern void execute_174(char*, char *);
+IKI_DLLESPEC extern void execute_175(char*, char *);
+IKI_DLLESPEC extern void execute_176(char*, char *);
+IKI_DLLESPEC extern void execute_177(char*, char *);
+IKI_DLLESPEC extern void execute_178(char*, char *);
+IKI_DLLESPEC extern void execute_179(char*, char *);
+IKI_DLLESPEC extern void execute_180(char*, char *);
+IKI_DLLESPEC extern void execute_181(char*, char *);
+IKI_DLLESPEC extern void execute_182(char*, char *);
+IKI_DLLESPEC extern void execute_183(char*, char *);
+IKI_DLLESPEC extern void execute_184(char*, char *);
+IKI_DLLESPEC extern void execute_185(char*, char *);
+IKI_DLLESPEC extern void execute_186(char*, char *);
+IKI_DLLESPEC extern void execute_187(char*, char *);
+IKI_DLLESPEC extern void execute_188(char*, char *);
+IKI_DLLESPEC extern void execute_189(char*, char *);
+IKI_DLLESPEC extern void execute_190(char*, char *);
+IKI_DLLESPEC extern void execute_191(char*, char *);
+IKI_DLLESPEC extern void execute_192(char*, char *);
+IKI_DLLESPEC extern void execute_193(char*, char *);
+IKI_DLLESPEC extern void execute_194(char*, char *);
+IKI_DLLESPEC extern void execute_195(char*, char *);
+IKI_DLLESPEC extern void execute_196(char*, char *);
+IKI_DLLESPEC extern void execute_197(char*, char *);
+IKI_DLLESPEC extern void execute_198(char*, char *);
+IKI_DLLESPEC extern void execute_199(char*, char *);
+IKI_DLLESPEC extern void execute_200(char*, char *);
+IKI_DLLESPEC extern void execute_201(char*, char *);
+IKI_DLLESPEC extern void execute_202(char*, char *);
+IKI_DLLESPEC extern void execute_203(char*, char *);
+IKI_DLLESPEC extern void execute_204(char*, char *);
+IKI_DLLESPEC extern void execute_205(char*, char *);
+IKI_DLLESPEC extern void execute_206(char*, char *);
+IKI_DLLESPEC extern void execute_207(char*, char *);
+IKI_DLLESPEC extern void execute_208(char*, char *);
+IKI_DLLESPEC extern void execute_209(char*, char *);
+IKI_DLLESPEC extern void execute_210(char*, char *);
+IKI_DLLESPEC extern void execute_211(char*, char *);
+IKI_DLLESPEC extern void execute_212(char*, char *);
+IKI_DLLESPEC extern void execute_213(char*, char *);
+IKI_DLLESPEC extern void execute_214(char*, char *);
+IKI_DLLESPEC extern void execute_215(char*, char *);
+IKI_DLLESPEC extern void execute_216(char*, char *);
+IKI_DLLESPEC extern void execute_217(char*, char *);
+IKI_DLLESPEC extern void execute_218(char*, char *);
+IKI_DLLESPEC extern void execute_219(char*, char *);
+IKI_DLLESPEC extern void execute_220(char*, char *);
+IKI_DLLESPEC extern void execute_221(char*, char *);
+IKI_DLLESPEC extern void execute_222(char*, char *);
+IKI_DLLESPEC extern void execute_223(char*, char *);
+IKI_DLLESPEC extern void execute_224(char*, char *);
+IKI_DLLESPEC extern void execute_226(char*, char *);
+IKI_DLLESPEC extern void execute_228(char*, char *);
+IKI_DLLESPEC extern void execute_229(char*, char *);
+IKI_DLLESPEC extern void execute_230(char*, char *);
+IKI_DLLESPEC extern void execute_231(char*, char *);
+IKI_DLLESPEC extern void execute_232(char*, char *);
+IKI_DLLESPEC extern void execute_234(char*, char *);
+IKI_DLLESPEC extern void execute_236(char*, char *);
+IKI_DLLESPEC extern void execute_237(char*, char *);
+IKI_DLLESPEC extern void execute_238(char*, char *);
+IKI_DLLESPEC extern void execute_239(char*, char *);
+IKI_DLLESPEC extern void execute_240(char*, char *);
+IKI_DLLESPEC extern void execute_241(char*, char *);
+IKI_DLLESPEC extern void execute_242(char*, char *);
+IKI_DLLESPEC extern void execute_244(char*, char *);
+IKI_DLLESPEC extern void execute_245(char*, char *);
+IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
+IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_102(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_105(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_108(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_143(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_144(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_152(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_155(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_177(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_180(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_181(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_184(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_187(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_188(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_190(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_209(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_210(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_243(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_292(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_293(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_304(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_346(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_348(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_523(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_524(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_530(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_531(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_533(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_534(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_535(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void transaction_540(char*, char*, unsigned, unsigned, unsigned);
+funcp funcTab[231] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_246, (funcp)execute_247, (funcp)execute_38, (funcp)execute_40, (funcp)execute_41, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_116, (funcp)execute_117, (funcp)execute_118, (funcp)execute_119, (funcp)execute_120, (funcp)execute_121, (funcp)execute_122, (funcp)execute_123, (funcp)execute_124, (funcp)execute_125, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_154, (funcp)execute_155, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_172, (funcp)execute_173, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_188, (funcp)execute_189, (funcp)execute_190, (funcp)execute_191, (funcp)execute_192, (funcp)execute_193, (funcp)execute_194, (funcp)execute_195, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_199, (funcp)execute_200, (funcp)execute_201, (funcp)execute_202, (funcp)execute_203, (funcp)execute_204, (funcp)execute_205, (funcp)execute_206, (funcp)execute_207, (funcp)execute_208, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_218, (funcp)execute_219, (funcp)execute_220, (funcp)execute_221, (funcp)execute_222, (funcp)execute_223, (funcp)execute_224, (funcp)execute_226, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_231, (funcp)execute_232, (funcp)execute_234, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_242, (funcp)execute_244, (funcp)execute_245, (funcp)vlog_transfunc_eventcallback, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_42, (funcp)transaction_102, (funcp)transaction_105, (funcp)transaction_108, (funcp)transaction_143, (funcp)transaction_144, (funcp)transaction_152, (funcp)transaction_155, (funcp)transaction_177, (funcp)transaction_180, (funcp)transaction_181, (funcp)transaction_184, (funcp)transaction_187, (funcp)transaction_188, (funcp)transaction_190, (funcp)transaction_193, (funcp)transaction_196, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_209, (funcp)transaction_210, (funcp)transaction_243, (funcp)transaction_273, (funcp)transaction_292, (funcp)transaction_293, (funcp)transaction_294, (funcp)transaction_304, (funcp)transaction_346, (funcp)transaction_348, (funcp)transaction_523, (funcp)transaction_524, (funcp)transaction_530, (funcp)transaction_531, (funcp)transaction_533, (funcp)transaction_534, (funcp)transaction_535, (funcp)transaction_540};
+const int NumRelocateId= 231;
+
+void relocate(char *dp)
+{
+	iki_relocate(dp, "xsim.dir/TopModule_tb_behav/xsim.reloc",  (void **)funcTab, 231);
+	iki_vhdl_file_variable_register(dp + 134312);
+	iki_vhdl_file_variable_register(dp + 134368);
+
+
+	/*Populate the transaction function pointer field in the whole net structure */
+}
+
+void sensitize(char *dp)
+{
+	iki_sensitize(dp, "xsim.dir/TopModule_tb_behav/xsim.reloc");
+}
+
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
+
+void wrapper_func_0(char *dp)
+
+{
+
+}
+
+void simulate(char *dp)
+{
+		iki_schedule_processes_at_time_zero(dp, "xsim.dir/TopModule_tb_behav/xsim.reloc");
+	wrapper_func_0(dp);
+
+	iki_execute_processes();
+
+	// Schedule resolution functions for the multiply driven Verilog nets that have strength
+	// Schedule transaction functions for the singly driven Verilog nets that have strength
+
+}
+#include "iki_bridge.h"
+void relocate(char *);
+
+void sensitize(char *);
+
+void simulate(char *);
+
+extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
+extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
+extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
+
+int main(int argc, char **argv)
+{
+    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
+    iki_set_sv_type_file_path_name("xsim.dir/TopModule_tb_behav/xsim.svtype");
+    iki_set_crvs_dump_file_path_name("xsim.dir/TopModule_tb_behav/xsim.crvsdump");
+    void* design_handle = iki_create_design("xsim.dir/TopModule_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
+     iki_set_rc_trial_count(100);
+    (void) design_handle;
+    return iki_simulate_design();
+}
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.lnx64.o b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/obj/xsim_1.lnx64.o
new file mode 100644
index 0000000000000000000000000000000000000000..d6ea04dbc096282cfd7269802137ff71035f8dd9
GIT binary patch
literal 20064
zcmb<-^>JfjWMqH=Mg}_u1P><4!0^Bm!FB*M9T<cd1Q|Z_%l!)W=={C&IRgWON4F?Q
z%A?m5M7;nJ9=*F)@GvpJ*biXr=))kL9?fq!P*gV`;Q&j336QxcvYq$A=Ij6&3}!)0
z2@M9B)A`$@^XpCq28M=RAbF@pk6v3453Cv&8)05(a27*=Bgha2N3f_zH!ny#%wyeP
zkD-{;d_-Y?3IhYghhRMryPA)1M8_Uxs3^|N)l11N(htcm@Xb#t%}I?fNs3QO%}6ZM
z2g?<u=Hw?c5LQ=QR#I7zN?2WTQCV?HX>I|?*$hOOo12=;kXf9Wo14p!Tg<=!ibkl`
zQED^<MnhmU1V%$(Gz3ONfYcChcXqZ?&<IT`%_}KYu+%frGtf0G1@jE+j12S)O!N#j
zA>v?@Sr`}?1Q-|?SQ!`?-2Flsm>3uifW(-=vJ4C&P@1tSh=H*}fKi%<onrzc1A_zu
z1A`1y&I&}k@(DCEmGE&eK=fFE#26SDBtf(XpFjW~2iTqf5FctEhzT+W)QkbKH9!Q|
zoFuS0ATt_3Vhjun^Fg#LpFkUvBcDVwvkRXBlPjNwBcFj2pM@izLm{6+1fPT>pMWD+
zCrB}N3~FPtFns)vO_mvJ3zUI@nE@6WsJfULKp}-H2I4V;LleY8#mo$Br~*(PGXp!6
zg-S6qfI<^h48&t*;093`n3;hGLkuLq%m8jof|zj31!jR_9n63e{9qQQJ0a<S4a242
z_+?;a0LL9zFNBZ-Q>+ZI_y%(s7#Nhn3|0nMyn?w<f|&v0Dkv9Bc`-0B2qDD_IIS@-
zfb9dNTd*P|!j6G~K@ch2ETBQ_22JNkO2N!v9OC6rdtvrL{K>#jj6;1RR6WQ%kioE!
zod6Y&00~0f4Pws3Va{G0;+LW3z`_lj9~c-IZs1V=28TE|BP73r+<=UAaEQC$5D&v4
zo{K}gkr5Ox(8yq5fajck9O`G{5MPW#d@T;~?Ks2_;t)TLL;NZZ@%uQ$U*Qn{ibI@{
z346To;t-d>A+C%=Tn~r1B@S^H9OC{s#G`PCr{WMVz#(3ZL%a=#_(UAyb8v_+$05E6
zhxlF`;wNy3U&bMR4~O_m9O7Sai2uhS&c%#9{fOcaSHK~zjYHfFhqxmSaUUGw;W)&T
zaERyP5U;=?-i$-M4~O_H9OBDxh;PCnz7L1^DIDV0aEL#`A^r}B_%9sdY%JK*gAfjJ
zIUM3zIK<6xh&$mB_roC`g+n|Ihj<YV@j4vhT{y(2;SgVhLwp?$@m)B?kKqu%ghTuu
z4)Iqw#J}MXXJW-3?|eAKrErL=;Se{%A#R65+zW?z7!L6y9O8L6#H(<Kx8V?<ghPBD
z4)Iku#JAxPKZHa491ihYIK-df5dVZj{2vZ+E;j7xK@5ku5)N@a9O70u#NBX+2jLKp
z!y%r9L%a-!coPosJ{;n+aELF%A-)NR_&yxsr*Md0!y*0%hxj`j;=gc+v$123cOe|&
zayZ1baEP1X5O=~M?uSD>3Ws<a4)G!!;&nL0yKsn4!y&#1hxj@i;=6E&AHyMj35WPS
z9OAEVh=0Q&&cuN|-a*46*!s&-IMl1*5I4dhZihqM3x{|Z4)G)$;(0j4t8j?7;SisM
zLwp_%@l`m)x8V>!ghTus4)I$!#Gm01|Aa&Q9}aOYPVDJH42QT94sksk;#N4s-EfEp
z;Si6*A)bXpybOnU6Atk{9OAQZh%dt-z6po;J{;nwaEM>SA^r%5_&Xfpzi^1Nabb^l
zAspgzIK;JZh`ZwuPr)Hxj6=K`hxlY1;)`*JZ^j{h7>D>}9O930h=0Z*&diNHoP}|S
zE8`G1#v$&ELp&IVcrp(0VjSYlIK(I85MPW#d@~O5!#Kn*;}Cz0L;N!iab_MI;g3UH
z8Hczr4smB3;=wq?lW~X_;}CDgAwC(0_+lL5n{kLA#vy(ghxlV0;@|}!@rHWI40=A!
z1|Y%^L>PexV-R5iB1{?L-F^I>9DU;b-Q0p*L*hdmoqSy58PZDgl0y=c7&5an<G~A0
z5=&CSoU)9RocOfNoYeTT#G=f^q@2|FqSW-v;*!)NuzYc9UU6ngW>qRfc~N3PL26Mv
z$f$S&hT_cJ(j1s6#mO0|;3X~vMfu68#l@+`@rfnzC7HRY@l~ls`CyY$D^in7OH$+E
zN*HnzGxNYw8L5c{@tJv<C7FpiAge&GEKV(nFD{D*t$l&GuOP7`BR(%N7vgS^G-$<3
zJZQ-ahJxbET&JSUl=Rg2^wg5@l%(*YOqhp~i&7IyQsYxni!;+9wu6i+N{%ln%1q3O
zPtGsRD*-zS=0TWJztY?w(2||Rl2p$W2AFS*;4EV}%LL9cg|p1yEOR)^0?x98vkVOo
zOhY)+$ONI^$OK`6F~SHFghmsDMl*y0GlV7P2({)2wdM%Fm?J!6j_{2+!aL>&_n0G`
zV~()R9ATRU!Zr(pZ59aIED*L?AZ)Wh*k*yS%>rSY1;RE9gl(1x+bj{bSt4w+MA&AD
zu+0)-n<c_FON4Ef2-_?Xwiy~AtTQw~*k@>fu+Y!|VWXh|!b(E}gq?;42ulqO5Vjf`
zAgnbsK(ZGlogmq3h-9xJlD&pV_8KDDYlvj8A(Fj@NcI{c*=vO4Un3-Yjgag$LbBHg
z$zCHQdySCnHA1r22+3X}BzujK>@`NR*BHrOV<dZxk?b`_vey{NUSlMCk#druF_OJV
z*~$<pUm2Pp*=vGiuL+X9CP?-oWne?33~XqE<X;mcdrgu2Yl`GwQzUy$k?b`^vey*J
zUQ;Chnj-nv6v<vwBzw(}>@`EO*9^&CGbDS>knA->veyjBUNa<nkqRV3q!P&xsYo(J
zDw7P63ME6NQppghSTaN^mkf~#CPSo>$q=b%GDIqy43P>aL!{El5UF@FL@J*QkqRh7
zq!P*ysfaQ}Dx(aM3MoURQpymim@-5vrwow_Dnq1_$`GljGDIq?43P>eL!{Em5UIE_
zL@KY0kjg6~r1HuLsk|~mDzA)?$}1zJ^2!LQyfQ*6uZ)n&D<h=x$_S~vGBQM@D<h=x
z$_S~vGD0e^jF8GJBc$@m2&ud>LMpF}kjg6~r1HuLsk|~mDzA)?$}1zJ^2!LQj50zh
zmyD3gB_pJA$q1=jGD0esjF8GABc$@j2&w!rLMnfZkjft;r1HlIsr)fQDu0ZS${ZsT
zQ--pf{Pg&eqQtyna8oU{EH$qrIWZ?EDKR;l0o>lhB?%T!OfJdH&x<!PVnF7CDl}BS
zDVn?mD&NEyjc<a=H$^kw6wN$yb5!*f255XkG(MX77U=rX+-Heqo+X-lEzMBO2W1Lm
z|AR6HDj(JTpbUa4kLn&!MnRRgM77Tl)qO^2;b~-!>RuyD)bKPy^N*1wYWNr%pz%#m
z{cCK38eXPGsP>r}q3Sm^Ms=^LF>3sn8l&kqM%RxTex@b{kkv7;F;guD1_sb3gFpWv
zKpY|sS}zA)bp;cLt%(Dz3=?BuV1S9k)<=QFL3&~0CQx(G#a*G|ObiSt=7ZKlfuum{
zL2Hs=<|Kmz85l6!lK~Y6sRy|YrXIFVA0#e?<jyK2^|DCfbD-iN_kdQD!OU5VB+iGV
zeg#w<WWGF-_<E=~$Q;mGGnhGBpyD9)3P|dAL&ZVrL2&_7e*h{DQm=@l{uGk95|a2M
zs5mGdrIEy6B8h|6BEro7fFv%Ar2acp9ONEBB=J8`agcjJYusSwaDf&aLf1Q~AgLEY
z5?4hMhZ+N3@um*rFfhPZw1Kq2(h^7-6eb`VB(4c!KrzTKASOs0<Yy2Ygh62oqCw)?
zAO;k}%mj(+K*c~5G#h~3qYDy%V$j-55EG<c55$0C$Q~UAuzG!{7>M!!5eyJ<n3*6U
z*!n<_IfftsD2A<<1Bn|!#XwX7h=7`73}u5TSULx(hvg}dI4qrm#KD_rK!TwCDNq_D
zZU$w8DA@WskhnQW0E#=HG)NrgcaRWheIJMhiCcmgP&@-lgT!HL2th&%Km^qN)=)Nx
zg5?*GdK-`c6mNjiAaU@HA}Alc;|FREXw4&3m;shAK<e#bf(#4{C!lPQI4q7pLKi>;
z)Eq}B8$^ND6@qAxdM6MAiXT8}khn9H4WhuCkD%tbfCQizmXAQ{VPzUf>IaB`st2vj
zgmNJX1S;+h7i3`I02u%khovQuv;dMg(pE7B2_$h?nF&&_fF$k>5`bb2Byk_87>F`J
z688lOK(PgqxF1vuL^&Xd`-23a*aJx%mgYfH0Z8J3AOR?jKoSpvih-yEByreUQ;>KD
zl6VM60E!Ec#6zKCAgTgMJPafN#SKW};ZQLU)qx}q%bOr^&{}X14U&!oF`#$`NB|mN
zQBW}uwE#&x8YBS4E0Dxvpkg3u1Cn?wNC1j=Ac@C8#X!^nB=LBV02H4<5{H!`AgK#T
z;)x&uD87Lto&*&GQ4f&BVRbG@`~{LYY&|<j`~#ABDo6l|e;|pcLB+rnsA7SJTRK<(
zLU167!^%34i~y2&CP)B^LF+?7OprBMAO;jGAgRxWih(E%B=H=O02CV_iRVJaK$HcN
zcpgXqiXD)|^PyrO$^%IpRwjeQ1CYcEK>|=5fh1l86$4QTNaCQi*dQ?w&Oj0`0SQ1c
zXq_pD2?~c&5Ce)Ukkpq!#XwX8k~nA$I7keHJCMXHKmt%a0ZF_PDh8rvAc@1;R3PyM
zNaEEX0VrO9Bwhm*15q20#A`tUP`m?4ybdY`q7ER5*MkJ07_?p$!~}%{td0ZmFCeK0
ztzid=f$$9^@g|S}6hA-`Z-$D2s251$Eg%6X{(vOj3KauUKaj-RKmsrf-D&}HXFE&`
z)c!#dht<U(X#phhPLKc;OCX7NLB&86Xx%G_2ASUtVnDG5lKLK~7>F`J67K~GK(Pgq
zcpp>@L^&Xd_k#qW*aJx%)@A@n1t5t}1PMTK1d{k9s2GS!KoXw}5`f|iB=IRwF%VUN
zBt8`+0L7s7vmhoY9HxO7P~3o|emYbPM0FsE!`e0=@d-%cpgj#BF%X`CBt8oy0L2TC
z#AidrK-3B(aadmiB)$Pjd@e`;igzH1&x4AAr~^piussqW@e@emur?S-`~s5rLXZFy
z-#`*y1Qi2O50J!ReIJnc3ncL+AOR@;fF!;YDh8r{Ac-#n3BWL@0|71nm&3$B=^sfP
z)>Z^b3m}QF1PMT~1d{kFs2GS+KoVaK5`bb2B=I#+F%V^dB)%3T0L2za;_IMdAj$zr
z9M;zZiF+W4!`ieU@c<<8jUWLijzALM1Qi2O2}t6bK>|>mfg}#=OM;{dki@rw1faMA
zNqier3`8{`iEjr9Kye3>IIQgrlA3@d4&J{H5@cXtn1Lh?J{JHgz5q!a*0%*otw0jr
z0}_DZ4M^gky+Kf6h8;-a`(T0$(9L_$^s^sH`~;GESRVl-eE~`QAV>g;Zy<>uf{KBt
z2T0<FK>|?x0!jP`R18FYKoUO+5`f|#NaDw!VqgloaSBu}9R~|Q2o5B1SYHMtBY-4+
z5+ne{5=i2(F#(X20+RS?kN^~GAc>!Wih(EtB=NH#0VuXW5<dqO15pl0;^#pEQ0##u
z4(oG)qymt{FM<T1I08xh5>yOCB_N4|_Jo1NKsW<Q{0c|_iVKj$uR_H@R0WdwHIM)l
zHz0{$hl+uy4kU3{-w-4|0ZIHONC1jwAc^0Cih-yFNaD9a0#LjHN&F5}3`A`}62A))
zfZ`oU;`g9pAnE{;_<fK76rVs6hxK7WQWucKAA$s+_y&?VZ0ram^#DoyF-QQ4Um%G;
zfr^2s4@lxqK>|?x14;ZDR18c(w_bwMKk}Iv97y7@zBWj`0FpRtEDIzqfh7J4Bml(<
zNaC-dVjxNbNgT9y5F`e|21w#>K>|>0fh7J8Dh8q)ki_4E1fbXhNgOsN0Fnwo68{Ji
zfZ_-w@lQ}O5S4%={uv|y#TiKAU!Y<jssKs+D@Xu}E0Dy$LB&8+1ClswtPdpKfg}za
zy8ww#Kob865`f|vNaDYsVjyY(lK5|s02Hr45{Hc?f}}PeiT?!&K=BSF@qbV;5On}a
z{69zliccVkg9qoJ;uny_k&feGxPc_j1WJrh^$(E5nUTa_Ac?aeiGM&6XGIeKfh5j`
zBo5nP3=(1o5m0+Mpj$^lLa?zQkdy$DI44LFB*?(PAb}(f8-oQ&DIkf1_QHb1Kv)Aw
zoCnDq10-=?Byrd{DySO+!XPt17&dkX5(8n_*dJ_61|$Z;u(2ChUmhd|!mzOwSRV=`
z2Ey<@n_h8cZb@PigI;k-5rocwv5Hc267@<_D@qvjQW8rN8T68hiy8Ea@<AMsazi}}
zRIVY6%b*9=3szW^n!})%oS&PUnpeW0mzQ6Xs^{((s#{zFn!kha)ALI83X1XzQj1C|
zp;}Wj;?s&itD``HKm`m6Ur=yElL_2J*x02!ND?jzA2x)GfX4ViO$?ZNn8XU`;4^Go
z05k^yG77fS9yV^S25mz@o9Qs^4Dleva2|qzE({t&2boE(e$ZGr$V}w1Z;)-U@jv9g
z1V|iY7l;Pw0ns3TgV^Z$SwV@3fdONuJxDD`AB+Z#U4qz9<sd0AhK)CY!WJw5CD8ex
zF+7ldbpOM~pJ4m)q1qWh@eN~xXwcXr$V`wwKztaMfDZbv08Pd*Fff4P59D_c2C0F?
zA81SsWIr^zK~i8G!3m}qK=A`%K}e8V5DP|w#;#y&kT?vtK=s4;FdAJgXzT@4bfAY{
z2UI`0e_`&2nFs27gUm$NzXGZsxeo%;gRUPmW`HgH4nPObVPjaJ@B_`~!Sutz51ZW}
zdlH~WGz5SqAE9Rqfab^0^@E&=9`_*q8$gp|3=9mP(T=JB*$0w`VbI(fHvJaRL4M@E
JI!F(?egNAx#*_d6

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.dbg b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.dbg
new file mode 100644
index 0000000000000000000000000000000000000000..073f676e2bc6951946ddaf4deeea61c018280904
GIT binary patch
literal 22448
zcma#Z%*o8FQ1A@S%vEr4a#t`gFlCT?`#1T^|NsAYFfcHjU}azsuw`Jl!NtJfpv=Iq
zK@}5ss53Bh=rb@xSVFLc6$68WH3P#B8wgfmU|;~@J_ZH`5SC)V1eX~Z82+#`Fr49p
z$jLA;Fo1A5gv-Fhz`(%B2*QjY7FhKE|Ns9%46qyn0|Sf?5(8mY5CO%^3=9k)4B~>+
zD?v>W2MIti$Z9qQ1_lt#&cMI`VuRFz+ySx`qzq&xKLZ1UJ_7^TP1aCzO`+z2)POL^
zEg%fi@5aEuz{0@5V24MI2Ll5G$ZU70xgaw@7-R+rgWO{abyF}NHLeT{3?Q}fc+_|^
zFfed1Ffe4}QKJV92T=Hf`~Y$b2!q@L!XP^=7#JA17#J8j@R(!Cz`(%Gz`!sQj~Xim
z1_mAm28LC5)PTa7mw|y{Hy$-23=9l>3=9lspm73nFDTwX;*L;1S1>Rzfa32Zk{VFB
zi!v}UxIop^GB7X*FfcIuLQ(@V2b69>VGjzsUIqpRK@es{q$^OENHZ`nL_p1%#=yWJ
z#K6Fyilhb<mY}qk3stk2fq_Aofq}u15#lb8n?V>9#--3W*vG)Y0P;fwBg9=Gc@PGv
z0bvPf+5-6@5s#W^1_lN(1_p*KJZicb7#Ki#q6Ckc9tH*mkezjS)PTZA5|lO=A>jma
zF9?I&3&J2d0|o{LP?%0+goG1F9)v;iAPkZVV_;wah3PCjYQmvq#bP{aA{iJMWEmJ3
zK;Z+56OcV146+A=L3V+{M~;DkVGSO0(is>S<QW(kw&77z#=yX!z`($80FN3_IjG3M
zz;KEY68|8#fH24{APn*|sEh%nl@2^=Kw+p1E&D+FKxqkN4mJ!*=ODj>$~O=OsR5-&
zHE5m!*#(MwkU1bZkT{42rE5@G2%|xM(}Jc=ka-|Apgf@iP17KAKxTk2D9?iAK^SBg
zs4N7DgZLmCgwfT2%0iGhvKo-xAU+6#*r2kIkQz`~NJtH+EF`1`R2C9a11bv%sR5OR
zgw%k_LPBalWg#Iopz;Ey<|+dN!+mIc!RkOz{O^H^ZDL?x_{PA%0E<&l`3MSUP#y=R
zFAxoiQw~Oi8c<pSsR89<P`ra^kQzB8HK6hcqz06?K;Z+TL2As9)PT|rNDU~xgUkWZ
zAT@4`2seYw2B`tL8N>$Bpt2X_KM+PvKOnb)>RFIDNDqhxVRSX1ybcn_rv_9O5>f*y
z3kj(Km4*1!fcyjsXMAcvVFxM;38?{<g@n|A%0fbF2!#*GJ)p9XkU5~TkdPWsS%^;!
zC{2LMLPBbg!w?j&APg#VjG*l<SlS1f18OIL)39WnJBUq`TJA;Q`jc3-pt^)uwW=V6
z&~n%qpL_oqa%m%}HG#G*LFF^3jRKMa`3LN`NINc&JV*=_4xlzFs2vJZ3sMhP8)nA^
z$~Q2zW@zSu^uyH#+i`h<6hhr=4lVaV<uXVZ6uzK(2<!$128IBTAhcZyG6Q5UR0!N=
z2gM6mZP|8@5U2#mEKoUwPc5jO1yT-D3u>?7RJ$sPD+Z(x>IP8Rfm3bSc8^3PwV?V9
zpV}-WwIDyEs|B@>A$~LDDn?QZDxcBSg5m|Nw!)AL)CL792l)-uW<ytt2s1-2Q2QIE
z7F2)ZRLi}HVKPV|G#o%>B~G=KhFtTI)Pl+=P}%{d1CSn&{U8i>ueKrAG9<O2vH@8w
zhz(b(Z^*R{NiA~U3M33lJ0KctZrOH^Z6FTRy`c6R$Zn_*IPHMgFtwm|GKdcfGf>{c
zr}j8VA=F$@dk&x4D@bZVbrZT;xZhM4O@4%=7St}oskUr6*9RoEp#CvVwN=Zx{voLa
zl@&PE)-LDbfl7kH0n~<ra=|8m!WZt|#^qenP)U$l4QROoRtRlVg4p2jy=lm$i=<W)
zpIX&LlWmdIg4z{OF3334cvD?8*%vAaas#MrgK{y|axY?tgGz$bg4zpEE~Z-5MUxAl
zk|4F9HXM|TskUspM?F*$q!v`yK)G1e_CX~<YC&}ll#5mET&N^Sts%ZJE8Fe?>UV$)
z0jY)60U$mIgW?TDgX5xXy9cOm0pf$yg35TPH6S6>@GaZ!aRn*~QVXgBpj@nKUqB^6
zYC&}Xl#5mEAJBLVG!KE=aiD$ytb72u6QmbJGaRsHVq;=p0P#WQg4%D$YC+{5NDih}
z07)&V{6tm@(vPfG8c8i^oB>%a$W6#<)j*De)>W{)3Car~&w;`hxo*-TR;|1dml={;
zSU$#TuA&i_HIiCTJ_ePIF#m(X1>^>>l1N)FColstRs+h%$ZA310#_SS#N~ygRvoSG
z289b;ZKN$%Fp^qWo=0~tSc#euS0tDLaRXkp8b)04NNO{nX&v2Ma2#tIait=u1=XRT
z@gG<?fY>1Sg4Ko;apfba1&vvO<Y8(-?RvP{NL#K3B(*uvaV3~qkU9{CnL8CpZ5}kt
zKxqa!J%H7!8FMW{Qk#!Yt)?;8dL*?4_|)nebL~M=TZk{r42`)?AgKklB|vE#lx8s8
zYhlcF6G?3e)Lg7;ZH&3zLDhofw?NgR#~WA)_acVxU<PE2bSn<EAa^lLHsbn+q!v_<
zgT&Fz1&J|CHR57}N`TrVc=P5oBQ61A)uxzn$swr)<xS+Y18QG_(gWBH^9#9Dkkq2*
zO;Gz1q!#R@)<`a0B(>;y6V$$htDRrSWsam4J#T{AmvFVMkz7tlYVqc`95XI2V%6rF
zaRni%1=Zc4vIIS?gZ-9g#ubgE7F2iRR9j%im4c)eRCnW4TWH3Wi=-A-cSD81brw7v
zip;o5p%S3<0IIXFnG4RFC1zapNNPcK7EZMlW?Y>}YC-)LoNBAhxF$o@g4(|5X$RES
zhr4%vA=f-4wV<>EN>iZxgq$})Vhmf%xK<#k1*IL3I99b=&A2uosRgAS<n#;bLx9`>
z($CNu$+Z_rEhz0Es|Ah4z}3z#gy%O<TODtH+iAvi0%|TuEhrp7_CbZ<@dgrOIA_Ln
z9x4G+3kwIR5USb>W?Xln5+JppaKIK9U~?~;alJrN3knCEYA>5{eMV9X3J08Oub6TD
zLsARM7a;qfLa6S&iiiu4dqMdEIebBVG*I}0^H6If7YE2v==e4$Ux3U-k7Kaf8)jT$
zNNSz%)fYF-xU`VeI^$D&*Nn>!NiAqB6vRc(^WZ%6+>9#-BnfpdXp9!b#j5tT8CM!e
z5~>z7Rtw@{Rr}tIs|X|sRSOz30CBOZ{bI(|2$F=V1&zys!VEnw!0!EF#?_Cc)(1yD
z1XlaUjB6p1T3>u>8O*uXBdG<ATY%yS)_wr>twC`NHdj)e=P;652P|;`DkH#ZLF4>L
zYC&^RATDw^AghJdg`jaSym@oYLWYYVgP?OHc-8uwbKOT$3(ChJF0vayeglO$L!dd=
zE084ATu?p+aj~imGUxgVl7y<on~y`xxxka0P_>|Z46+YBjzMA!q2}=N3=|Hae2kpm
zK=lI1y`cDEnA*(61r2DBT39~Dn%^SKQQAA8a0l6k?gp^Ak>*^;?Hy2gi%l&^jA0&X
zdk0kBg2d6y1&J{%Fyaz}x)<a|P<a9pM^_70ve1Z21I&Q5xj_EIsdkwWmo<`F*w_kI
zb5|L01tF=0jjdo+yUvI!6-g~@Yz3>@O-5V=NNQnYD_GTTGvb<oq!!e7!|AtOMqG!H
z)Pm;DaH`#B#PtqIEo{sNs~Zj*afyO5ICL%qR~`Zz$GwQb8c8iE58-sfDI=~VB(<PC
zgj4N#Bd!i4wV*tNQ|)CVuJuT2apfVfd#@XDT}4t0%0oEKy=}zx2T3g~4`B_n`$k+!
zppG~+%s_P^PIDg{ad{xAh1G>v%{{z|D-TI6t~MgrZ^u`0O+-?wi?3Wiy^3oal3LhU
z5LP#wU&VDBNiAqB2-Nlm1r5lLptc~W+yT46ZUPs~3{X1{ghAs7pyH6$;-Gv5vJZwq
z_JZ;cwZy6Ae$dzh%#9!#<W5jIPA&1F;!aT70r?+>LE!+ZV{nB7sBa2W3!*{lLFq8Q
zB)@<mB)`BnKczG$HNGT?Atbf9gdsgADTl!&Gz1~V;FOw?SeBVzl$gVilbM$qA8&5J
z5L}X(Tbff^%;1@qS(2HUV`dm{0B2jq8^YM;rtwBFwuxE1F@s-fNl<EfVsUY1dY-9S
zyeX=HdAu2_fJMAHs(@v@1&V-?LA)hHL1sm2j&n{nLvl`be0gS7ya7XSNKmMANNA8N
zLs@#FXI@EaQCebhDu}~SP?VWplvz^glb@cM4CgTvmFDH87WpS-r6!j^ISfgO$=T^e
z`K5U&P;rC+LuOua3Btm7sMXLQGBbiQEDfOyLt{%A%ftZAg2|d#K!q&KU<`8@1Fp}&
z7%F6F2vcro0<*{j)Cpx^U`Q&-b1o<iN-a(;iO*#4D6Y&)j?ZKWgVISQd7<%{U}tB7
z0wX9jB_7Oh$|+5Su-uDMQ}g08846O1GV@ay+=GJ{+(UvH+{0ZN0)l*87~F$ggG2m-
zTp0@DOAF#latjy&e0<`4{GGks83Ka*-JRmyeVlw4oSl8V{UJ;@=U^u&(*;I*LZsY-
zgW@yuN<cP%X^;(I2Fw;P$1B9qJw61c7s7M)hL`~1xj@Z>@O*=j<${7kkhso{0ia}o
zBogEr?24=+*u&EenI9V9;uzwJtRd7d#1+NRU{@bQBn82)K1QfqV^ppQ5;rv1H3;N6
zWCLJg$fm%=kd1<gLCp*Dca9JDbP4fb2!XRe0SOfZGlN4MgF-+F06C?A*rCw$0HQ#t
z0Yrn-0wfVZk`PFvktsuReolT-d~s$vlm*E<NCJp_0v60j&MyV!K`^HbnUjXXDK06>
zPf7)=O0CE&E{R7n7$SgXFeumMmzEeZ6oC?a9>{s|nR$j_8eA%Xd<M@CWf13rd<IJR
zWgrH~tMOnK*t79v5bws9K|BoNJ3}1L5C&to!5P6|2Q$DtkpX9ctb%ws4Pt3LsMujh
z&4YRg!~*#RDhcr?lmiwA=hPw;=NB<}KrN0hf;%R@hymst5EJ5{_#y^ya23OBE66X7
z&j%N6@fGoj$t9(UISeRbC5c7psU^r_l~~kO#zWOXqAfl(B|Q~ky`gbZCama;&nnF=
zNKJtjr15$ACGk0lc_|2y;*!LY(qe|B(vp(=JWxqeoROJU5?_>>&XALumtK;=kdc}R
zqL2%;Bt!@#AwnPt;e;dxH)seX!9oBWnxJ%&nP&(qrQ;32DFl>qP=(Tx@=HtNlS<RD
z34;uQ)GpxE7N3!sp26Vf6CR(No17b;m{JxGsuSX!eZ1YA{6j;W;zRwM{r&u0okLt*
zaH$Ip@ec@abs?<O(~oGS{-GfTL|E=08e&M;UXUu{3^O9iFeBm&GbYL~W8w@mA<8gQ
zqEwj?P~{Tj5=lS_sIDX!<pIGi{(i0mOmgu@Q2=V+>1X8Urs@|IB^GBU>!&B?rh*B*
z;-chY{o>5rcticX)N*~~hM!(pMhb&|K~a8|ennDgW==}6zNMw5rJg~&r(a0Cfu)gQ
zypf@yxjrayC+6f7>nG;q#24fwmZaqu<reFQWtJtT<U_USrzDmn>X&7t<meX{CF>WL
zq{JH;7+B~Rmn7z;Bo?L6!>*FlijvHH8rqbZnwkppR!V#hs2Le=XlP~vvNDH8A&JXQ
z-K6}KN~py+Vm>n$8u3Y>hP-}7ab~VQsF|-<#(+1m<d+sDrxv3p7?54K^rfff=@-Mb
zWftheOH2eS9+U|4QcH3&i%URe(LTWPN^?_-GLz$rOTfvGt`;IkPkB+cesU%#qowE@
z85kMq8R-|LWX40YTylP1NoHPYerYjSGTzW2-q0l8*v#C}(!vleTb1Tz7H8%b>qF@H
zqEtw&93STF?;8LL)B*;5>f2UOl$o1Zl3A9j?-c6h4zh-}ws<;)y3yViUtecmSEG1G
zmoSK3v?&KG^YROdD~t7$^K%O_b5cX{J+YJ_iA9+u83XPLP|rLSoKt92l$B+cB<2_y
z7#Qdmr52YIWhR%Trs$Vs=4R%l#~0Ah`}pilqPM*TMY$zq#q{?t)Ly86sb5Gyi=?ms
zZ#t9^KA|Cokch)k^?@5b@Cpgk@F`1ABvA*b-v$~kB2gQpM@OP2P+tWy-UQYNYR`ej
z>yR->41__$Js=F)_XWbdj0_AQ+{nnl0K&f*85lrVmx+M^gj1Oq7(jRv69WSXD>E}N
zfbdLa1_lsjXJKFf;anC51`xi?!oUE+_N)vHAbgaSfdPbl*ccc<_y`*V0|?u&GcbVg
z7Ip>(5Z2*fU;yEM4h9Ag{>s6?0K)2=3=AL~$H~9|!jm}}7(f{0H;{i|G>8ov*M;$M
z(I7RT`7T`Y^b!Znv4G|{{Gt0ULF>*zb4s8+cc5`u&>T2uUKuoB?8m^s08$5<4-RBt
zU<hJhU;ym{1nCK7U|<04%K*&{g60)K^97(ap`f*%pf#MJwV9yxE1-2Lpgl04JwTv6
zKA=53p!N3l3=9mQxnt0rFlg={WG`qBC}>_CoBc3#pt*n0enrr{K1>g2ejPM#4$=p5
zGt9jpx5hFsFvKx1FeETAFeEcDFr+XrFr<O@0z&tJg4W7`_9TMVwt?2Hf!3md!UD8^
z1GEPev~RMSfq|ihfq?<EHocC4fuWg!fuV(gfuW6ofdRBv2DBFjwATf+-vZh1p!5z3
zBhcOy(B2c6TR?kML2K=k7#J8b@wf$KcO?S@Llpx91G*dP85kHE85kIv7#J8@p>CPL
zz`!t(fq`KX0|R)y`&0%72GCwiSlocpDl$f%LkIv(2!r-;LTU7Idt`lA>lqj<KoJj$
zLnw`|9+?kPuK=1DW?*2@fYQkFAhSVf4@847NIeH=VF_qIDwIZ7kL-4k`VUZbKcF<a
zdeGby$ZQY>ssAAkVnH`_Lg&z+B47$U&jn^NFo4uQ08P9zFfhD;(&*-c=E<PS!Rk+d
zCd?QZ7%o6*boJ1wHn0|u`4w)+Aplu343>oMHG!=`0EvOre}G!}14^UnhRm6R)WYxv
zsD(SAG`f1wx>}f85S;<FumDP<t4E)|(Ev^8GcYh1KxuUKpz;r7E)2hbs`~(?(ba?2
zx5Ctd=oL^0Zh+G0>OpgpAayXD0d-&jltx#NKF_28b)W{6MpqA-gMyh2qHjReJ%G~a
z>OpHELF!<50@On@pftLA(0WLiS`h64^-utmMpqBbrXZyd4D}EPltxz%&885wpnbak
zASqG<N~5cXW>dKO8&Gu*pftLAXtsl^pYR`I{tPIMt{#^CU>ZEYrwV}fOhHsaNSG{S
z-!+2Az_0`A&jU~zT|G40!L<}XBd`KWqpJti&2Yt_ata!O22dJZJ!m}&vib*5buXYa
zx_Z!>6lC=?pb@?RN~5a>txG{x&%g*#!2zWqJcJ6++7twjfnf(!-2o_#t{#29ynqR!
zq5?`ocnA|gbL<En1A_)LM1=vAhVT$7Ky3;HkAdL<RNV_GjjkTFRs~u83>Jur1yCBo
zL#P0)S3&R?7y?)!Dk7jXgojW8TC;-SF)(m|HfDh87buOc9<*)+S^WX1x)V?uT|KB>
zg{;1U9ipNEN<(-E6`=Ji2p$820S82d1(b&H5Gp`xSP(o0h8IwEAD}e4dQck=S^WY|
zh>8_Z8p1=U0Ig*~@E906>`+x8^FVCSdKP5$69}jWt!Y74KZAgJ(7G06^$Q572em<w
z)uXouklPC&b)Ys8h=yVG_7A#xP}>%!7DS`BPteta+QA@oFpS>bKvxfHJHph0X!Q05
zx_VGM6QmA?(c2s7>Ot*sm|75x-hM+@4{E!E)WI-%`wd+^sGSc}3!*nb3)CG@8eKi8
zZ46Qe!v~-R>IEo`t{&8ffT;!18=wX10Vs{G9@O6ese|DK&;oS>ltxz%>YKpSg6Ij*
z0(AzIMpqB&$AHwqa0RqLZGh6~>TO^Op>zVYK+S;C=;}e|JV2F#DGz9Y8UUry)r0y}
zV1-b^09v40KxuUKp#B$BDVUOg7N`nP8eKi8uLf2KCD7v+S`9;G85ltQH8=;f7aH1N
ziGb4R>Op-#WcBFz7hOH5ABe0T-96B19<Bt`XM}UGxCdQ5sDFv99zA`cs|WQ(k=3K;
zcXaijzAmzQ^!$#l9@H;JR*#<F(ba?c#K`K=^E<kFFJ#4__93(Z2<xw*s|WSBk+q=b
z7j*TYzB#gb^!$RZ9yI=dtR6kTpsNS<`;pb7=NEMKpm7ak^%kEX?NtXTjjkRvrhu$o
z;WI?N29!ou4;n8)R?qPTqFw+-BTGWZR*;3z(;Ku|30DFd+kkUG{Vr%ehvj#4^`J2u
zWcBFz9bG+Wd<R)QdU=bk9yHE@tRB6*MOP0R6G2vwo`0duVz`nBI19o+uYb|igT`AR
zDj_6#{f@3a3Ze{7qNitc^`LV?;EEX-7|_!*x_a0-3@|<D=^0%;XsigP7DS_`XLR+T
zF)olg7)FmTboHPyD41Fhjb2}%s|Ssrfz-k92WUF}0j1H^gT}XDYC-gkuaNZr07|2)
z2aSb+)WPt9ZxHn-pftLA&{!T!Er?$69in~%ltxz%8utUKgW(B3AnIp8X>|3eFojUM
z;wMCX1C&Nr4;oX1Dg{#spuubg28IkMjjkSah74FCl$h}wV*UasjjkTFuNbNnOf`Tu
zp@YsDgVN~gLF0;Gg;2ucFT{KYD2=Wjv=<qw6ijtwptb;z)q~pqp#8{Tg;1i0fO^n4
zD^w|%LeKBeW&v0ZM1aO*K{O1b=U;U7pnc3RwICWj|Dvl0jrW4o!7zIMMOP0R8-}R`
z(dhXXT|H<V8Ke$|(ep35`XZP@D2-lzqN@k(gN7;vQ|RS6x_Z!FXs|*kfgb+o<3pe^
zaHvu+g&zLs>Ote>V1-Zuz5Rx+9yGQNRSKp!pbd5bD2=WjG!_n42qgrd4R#4AjjkRv
zZVpunrhY)xF+dyc=;}dZ>|ljZ;sI3M3n-1Q9yA^gRSKp~KpXfMpftLA(EI^dA(Yqv
zZQ$>K(&*|za}7|XU}^@mfxiGsqpJtaOMn$Zi3Vr`zXM96s|U>=K$U{23}^$t07|2)
zZvd-85CPBzegu?8R}Y$-K&WP5uz)u39iTM2deA%uvU&w*178D5qpJta!62*WfHv?2
zpftLA(0mQD`VUZbKcF<adeEE-vicj)4#5K`jjkRv7lf?-0JKAJ0!pK+Z%0<lz`(Eq
z+9B8grP0;H&N_l=n*i+)%z)D9>N{Zyp>zebL(l-F(bacB)xoF+XosK!N~5a>&3nPr
kg6IxthhPGfMpqA-BLk^};R(<V!2&3at{ya>22%^70iLn|fB*mh

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.mem b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.mem
new file mode 100644
index 0000000000000000000000000000000000000000..5d2ec7946d373c864141f96e9f9b57a4f2318717
GIT binary patch
literal 19548
zcmZQT0}2hyV38g55ZYo2ga!+a5~Cq78UmvsFd70>4}m%V|NjSJn88vo29y?1XJBAw
zU|<kuU|{(2|Nnoe@PJb+J0SIgH7CR=6^w6VeJ9;D5vY?~=ER}7Ai+`V&lQpB2`5Fg
zr&%7lqhiSv8q=m4&Zsw`)a*h2pM8bVmnW^+HqT~LbZGvrtCOC-TfbX1@_o9UoxSMP
z`%VA;cPg<j`cWldXTATzzc)8uMqgOk`uK$5ldba1wJEcY?f+4t_w>htO|752U+cf0
z`Di8o?+tujk{VC1%+6)5YbYpuXtBd#_Xd&g-}Rque+E)m^y++dzO4E7`JTG!bE+@?
z|5CK|U;H`u3)vr}x&Ctezu5kr_qqMjkDsqs{at;|`qDHJf0-NYUt{iU_}?&>O@6j~
zU|)aRBJSpiPb@N$?tY!e>>8tx+iPC@w&wk!+unP{RlnVN(>_av-RYX6ycMTq$-B^e
z&JPDpuQCaJ+Q}`|t;gdw`SF6z(tw*&ixPC-Kd<th^2w$!#s8Y4CiBueALkvPedNR;
z;qXVgnm_FK^SvuC<todXwp(w%+Kp>^`wd=jMn_y=nJ2O*X}Qnqge|{9w{PYN{?XG~
zr_%c8z(13x&qF2ubXO~Pe)yG~YIgDZf*m`TJjmZS^L@2n_~ul}=`mZjoQmK6c~M@C
z#Ga}4(Px8COkB8Uabt4ns$jXrYd<*D?4RUiaxVGat+%Q|sb6Hmzq5olDYDjE{I3&S
z<9*)$Am>k`nzg6XH(QihPq!>eT_5%@XRF@P2N|i)&n|G75WVbA&&`RG^E1*D%KR>u
zzFqq!<k7V!=Wm^Nj`$tXpi&X^q3hoKXo2^k`Q2+*W;bYZ7pk?^xL3^nbYqGLbA$A=
zjhga7hDn*pK8;56JQP=phKt<|y6#{u_R5>9a($KdbH&#A5uOXv@|CYGI5JaYQ{2~e
zqU!tndN;O4BqY{MaEjx8|1t0Dzo7JhSKeGRU&r!icdmXMD}Mb(#(|lwKPEf9`zY&t
zPE8%G+35J~w(K`r38$Rqcq*=MEnok7&ud#ZzGRjuA04@FUVQ9QcP-B)=WaYq!S>g-
zzd9qDh0=pgl(lUCdLo@=)u(uwsE4V)HgsKJwM%N0u+gkITCXOv=Jmn0=c#JetXo`j
z^2>JFUw(J@!u(~Q-|+o?S*GT6;qOd_^o&n`d>#vLOVU5kWcFdngQ>Mm&(k>;t*EZ!
zG7!1;IhavZ(TQ)<0_lpN5A)`8ewd<m-k()O@zWoX1f7J5%{njm=862d_H(8&W4C48
z{ijxGw-U9vcQvWA-sk4CPkD33^l+HiEq|^`eT$m;#wM5jcpNhAHB)}fIUZir)4T7y
zLg<Bx7aiB7*KTxRn;lsoSrPPMy?p)rD<yuZvG<dfIY2^Ncdvb?X2O(Ua8TZCXM8Vt
z;MkAdQr&^4k56}mD%n%rJNa6bvR~Gj;O7>V3jMbElMTWgB-NKpsN8d-pf{G)C$hs>
z^GRPhkLBz+kf5tN`n&8$+>JMpuYaZdm-+tIfBS(YZj8%xB=~JKf9$IIU)8-aTja&D
zrjG|EH|?+dV{3ES@|nT2>YnJRw0(MeBfhlj>IgKev(}4PPu;WqdH;cOU2gde7xb4*
z*nKZsa9+Is8plp!&6K|HGV8aRLSpWCaqWkgt7pIaHP!x`d|~!KrDqF{%oZ`~FIEa|
zX9^a3<ioY|aV&d!pA%H8`NUPujj)7ye%j)tFCx#+jC%W7^#2SyqgQ3iln?!#c}zX$
z=^UmmGtYza7J2<f{5$6+26a@me_s1?j!v5T(;3`tpZYHJTwUo`*^_6XZ!LF8^Vogc
zG?^0%r+ujY_**DCxK^O0Vy~I&W0Oyo@85AQN$@zR{d()mIago3_q=S$em7!;zUGsz
zdumJ8RBi9NeE;zGiE@8)Zp&=HwUzg+$y?(!-?ncG?u?#1*WWfz)Oz(Z^|$ut1#RCM
z_dWW)cusUh&FPcn%YVB)EnYjPYspvf=e2vanrBpd2E=G;R;2E=I`t>#*8AxT=fCHb
z-=OoQ|MlE<(_76E%~tmUXRI=PBbxu;#$&6%Ox`WmD*~@Y&Oe?$Pk(jAoGA~tZu=hh
zF>GG8&-u;SAMbwHc`Rl9*N5ld>U4`Z-wXY!{<r4Z;^Uu>t*Usk=lRFE&KdXKeSBMS
zMsUV;o{xo7ioY+Ob2)VG{#x7F&%@VUyIqy`cW>*xHz_jh{}$i*o~>-u=Psf6a@TW5
zDfw+u-&B`<wmYW(e)lvvkNo@QClYqfs;><-TUwF*C)c9?$3BaP7iQRNzOT4{;pm@L
zd-5}8Ef>=BGuwK~`{{QppURmgY8P*u@_SlqJK26_J?G>r%fiaE{+ZldDYenz#;J4L
z?fFlgm>oNJ&AP~hspW+%GK}sA1PfSX8kLm=YA<`ebM51qaQhvH1yf&x@&gVFrfxxl
zJ@=EXu6N2#3b^-i=cdPsi_iZ0uku8q>H80X1fDgIA676h9y=)0>-p~U%MPBxBAc>i
zck%a+wch`pdGCJ8pSH?*tB;@S_L(jEG_9>RIrw+BZ1>K&%1qJ6H~$XyJk)aHv6<BN
zjVlcspKV$1RTd;=-gA5Ljm)S^YhJ%<`<Jt;?pV`tmTi;7+kT!bdCm7%ra8{BP^dH`
z{c^>;370Hc#N+<II@`UpZAaZCi$9xhB<)?y$lhNqd#ZY+>3(77d*+7k{+}y7v*r1p
zwKaBEp1kjoy7=tI*>#J<r<h8-F@IV+Gc5Rh*l)3;=a+q+<@woa&O!UVuX?BCg_-qe
zPoBHJ=fsz^=ck)*{Cq6DJ=oH`Ti4aoTW{~b{f4QRmlWmIg%%i`UB9)^;6-lK_UzZb
zPac}>$-7f8{&(-STmN30zT>I-KI{3ip01;uPt*TY{h7N(@6U=q@e-G7&i>pwC2xnn
z$<O6i_Qm|a^XI|&c1xDix4w6M&;9X#?z-Il{)UTwKAdh8@;v^d?%uF(=0A>ad3U0E
z+6TLMUpc0{6%(7n9~Dgh7x?B)lI_il#Y;K!-)Van*<BSkJb%bWq4my3zlGuV+#s2`
z+*x%-LD|mvp>KH3uxU-#UVF_^wf@dI?j2YEMzU!=lM;*JoG0=p@X@zC11k@Y%ayhp
zHctsFtTxvWzabf-drN=+vZ-@VRUQs}bLXku!M{6gj$ixo<&Tatf3^zuIu?Jfe;S3+
z+4BXe*DaNq!S_^luSwW)tEYyywD#%ux}UK-6@7E%k?(rneY@tmN2cHPQww$7l~}jS
zUi;TX=biSQM~tfCw!i0G$KcErH#2{CT=+kB@in}Lo*eKdRE%%`6B7a6y^C2}T8=2G
z^l3XV6+SsM@u%jTpTfVzzx{tZli8f_PWzshd;A_vk=<=oXmwIMbD37^?%Q8q?R@j)
z`svfBy)S#M&XfA~d;RQZoV-)EpG`N(exWZ`C3M8;p!1<+ZMD-Z_SawU5w6JG_sOB=
zBac^)BcH|@Zqq;Pv%Wv)lvw2J{rIf<p`>H??Mp07i`jeIC9-a$N5;F&iMLWL<qh7e
z)69EkNrFmF)(tni*w@>0!fM|~{WN*CI(vTqRn1?GncDIa55I2Ozs!DFt2>Y6?eo9>
zE!Lj>H@U2`D)hsl1#Nr9|9ug>DfaQ#O1n6#_M4g~KQ%Rr@HF`H-hQzB!#SsG?^t{^
zkIa<%xA)QCjeGC&ev=UQ&$;p^E{WH7&dP_kU+>|ax8vMtoA@b8GEa7u{8(#W<d7J*
z;-UGYlD7uw{gXeIN7(<dyc99LeNVl?qmqxmw=Ll6je32fBlx#-;<~oGk;V%j%4gkR
zyLbG_)%U)iPYcXgR%yNO&Nr=Xn(W1Kxsx6+vHCO3mim@iVPrf1SkPuKy{xM@pBtQ8
z{%k_wyr*AXmCrd}`zU;TXLs?1$WO(O{(hKH>iRS0sfBUng{bG!Pm7uUYVkeY%oBFU
zJJ3ML%rxiYHXi@{{EypsEDI((?F%}re{b5umv3Xcx1Ya!oxSAUXEj~JbzyeBUn{3w
zua5bCHebl{v&qIXr{q5Yht1y2j{W@XclkWasAL0kuV;JsfBu_2QT}MA;4{DYwN)8U
zf`8whyK{5f^;63??$?|j=Jf8%)l<tqR?drk(|&AE>~o#>cKZ_RVtzm5dt7pLYQ&r`
z<>_nqW9B_H{cE^4@!slB)?)U5J^23FU3_@O=Cjjd#WtyJ8_yl>R=hTSS(dGY-PY8}
z;`PzJtaeZ4H6?CXnJB$y#V$LuHO#l>8&@p(lQ1==^>v$6T=L(JaQ-9OkL^x}J~wtU
z+MTnbPVDaevk%z(j#@G(AAaL?YPD0j#FY)byB5``6+Er=T-1G2<=(6fE^{BKvCd5h
zJ}j2M_`*!yM{7AxpN-6}-S^+@$GqpMe~jI?bDou$?w7Vp)SQ|9&))~PSbr@)EVc9i
z_iU+eiyt=}mi||F_DG`hzm#(VFTOu|+W+W{;hBvGO1XX=Ue=bo=l-**Se10Wu=!a(
zbL`E9|IE9s_`biVM$50eqWqWHleMewRUN)-aBlO5u%BNh85{cT@oh*pd8p&yXq=OE
z<5oD&g|LFo?WqfzW{Z59D)mqJ^R=)4d?bH;-aAt|<5{be-P3fr!=}{=>>|%vrRtRJ
ztDjztd%pJfM^Ui@ZNJx?`+aJ;bR%zN^|arGM_;C;*CgoPv-96CUwNYD)!*k9*Vl;c
z{hzb&((kOb{vWp;w>d79e_5k*duGj{uzRna7w4`>%&u+gSh~J^hh6OY=8DHX3)$BN
zUSJ0$C4;s(Z@)it|9bhD@iSI)%@@qGrM6W_JD>a3z1>XjOIEE=r%kV^$3c!8S2k?5
z`&^K+UUuF2*g5$<->>rhw3_<piC0wk^;_yw&X;~Z;T~?+=`!!wJwLGOqql8j-oFj)
z-TwCb3^(a#OABQ~_rxc!5_|ron)~>lKL<?aud|T1o8Ps0#SxA9nLAcpRs3i+w_`zR
z`<|=+B%c&?p3Zt*T;(Sr+dr*C^{YgeaqGD)yx&zswj5g4w)Xc_&9v-_RUg0Y`Mvzx
zRM8IYd(#@bW_ca-xN&8}$7+Seyq`b)DqfoEf9c!3hdceh-|W=Up3hluOYQ1H6T3w1
zEMC@JP}(c?_?^!2i0S&HT*;0HYOOU(+x{Q<uD$NO^pu76_h;{HOp9Cb(D>8l>y`a|
znV(PGxxP4R?uFOp-&M7Ww|tt(8FHO>YcrELsKko3JpC)=?;DFrLDK8C?|t@MXaDyN
z*Z;gpzE@?d9Xd@dm;b-j`7bpus_y0qRV+(BY_-n9xH#mJ?@t}a*H!P1R*E-GbmqNn
z!QQ<+RUrMVSpMdOU8PLsngxfJwdvlww;<}OK>q0mtmc|ev`zm!%9WgV@7|+a$)YDZ
zCO^G+=T}6xE#JPqBDzgxho@rv%7^0lr$2o8CYFDCf^z!8li^uEj@&k~*=xSG`tjOF
zKA)X_f_e*!t_56Rn=SP%sMKQ*??n0d?(NAo&(<CA<@$AKSzGRhYhV98S^W9i&f5Ca
zBXgI3Jbv(bfz0;*zmNZ@`j_?P&gZ*}Z6_FWSsh%~miy%LRH^F=%9N_Rg>O{46i!>n
zmKOvnq24U5h&EfjZ~Ld4$rF<m(?!0t`|?KrJmdKBpWUNe$u>w}$M4ZSeED{@^F6sw
zHPvGGr1rmm`O9Y0YwvK~o5@c;&YOPt>)~50z1tSH?JfPY_1fFdU+Qwj=b!!~ac=j=
z+VelQPmq{-ee0*i7RJJtN`vh#S6qFxcBB8hMeE*_-aA(J^w4kLS$0R)-tg9x;F&G;
zE$;c=kXSvoEz$wmvh!8_n%hIq?>?1&)63!Qf(`Qm4%a8$+m$yl>3imv@`(C`X@|0Z
zCGQg3-&^`;LdB7SMe>u6-9NwX=I6k5zjLRrzP+zI>{rj{zSZx(o{vbo`sirnBa<KR
zPpMz8jWl~+J^A|mHD|J!*{@etWXkM#x1jM_z+t-!dtxQ~9{SoFWF6hhf7WoypPJ{h
zYX85uo*DN){n^d0Jx7+-KU?iuZ_aNXxAoQL3i-5xn>M%l?^U1t{XRzLjlBDw_Zu5j
zZQdPwtawg8`gvi`qc5w%=9-<mSRU4ssiWEd$Y-H(?(JFIz2`bFo7ii6XaB!llY9C0
zth%;5>W}%k<o2H8l~v#7PcGm3X{E*SuSsiHNBykfv;Y6$$?reS;_OEw+wR=iw<*W2
z|Nq`jqc6YjZLRp7{(H*7X#z3dilYv%5>-FX`JcI#)l)e`2;QKGjn0V)7u{R;%(2lz
zFLR<pOsK=cEtygarie}1(fK*xb5_!KLC3|J#v6GbZ?u+s&bUG{fK~qQ0wLWCL7ktP
zxu*VDDjE>lz#=5{mfxvKUMnPolk-y}%cF%GuGyvEuYNbz`g*xqa)0uC<@uKDYrn-+
z&wW03qpf3tbNvR#&2N|deaj&}VecE3uFWenKVQ%1ZL$mZum51S{l;pWjhO*^W^KCn
z_}#k`8rOZMru#-d|M{(E_veG>C%-$_9(L=*X11sC!PnL=EWLTL@@CierLWBOR0B4|
z9&gj!y+iY}<m1Z|=dXSc_n=UGj&Nks9B!#UHkoM|OP`nj=u?m=N#tRleJAN+&7*fQ
zTZEs4W&MvlURSmyeyd>%=S8``boby5i~aV_-7f3+ZpGy9#)jLwMZV-DdsUjJ+9k`s
z%E+*K#bOhF`<Kq4%4t2{jk~8_E1ff2O5^CBWoPzZ`SLH~zIAlA_x2L2=w#csed*I|
z-iJM3dul!7CE<?vF5i+V%H~ol8Y+Ef=097z`Ma|<Q@dZJP06C}eHj7&O;T&y=7-z8
zn9Jb%HSmm&==x=AxZRr-3ZK98-^V*A==#@3MZNt@q5CV3m$S3jZ7<bdBFFZs;OmOH
ze!+{c?%8D(ad^}5w?CiRyhxb0#nd<Szt)WVa^~!N4lj6o;!5bkr#*j<e10o*HSON#
zxxO<#J^C(uxc}3_*8!22H^oo4wSWHCZpGwH%_VEk<j3~E;-BAthrPA&-@YS{Ck0KN
zXY*Grqj=80pY5|F1AZpnUSuZpw7YP7S#j6>ukBV_=GEmEPTBTydg=Q8o!#pH=Y8?N
zuM!yjauf5ywQGJ|Y^(RGJmi;_>ZBAo<Nw{MKflW`_=g@YR>@=d*xd2T*+70?SoW0U
ze{VZ%r1M;Ro=os>|GuE9C~rZ&y4tsSRuxZfJZZW8;K}{&J-7R7x7@#YvU6EqSGM|-
zHi3NiGsmu`J6~v5ZN4D><twj&!ex5{3zI#LM$R0QB;};cX7V~a=UjSckicv|qxiVC
z-eh058LyIimaF?L)G+f6R+P*Z@XR@U#WIyoUTIHL+T*D+gw%gNG7+7inR1ycGOxw_
zwVYUieA1a{!I?~ED?>!gPj{s%n(xlt;3|Fm{(`)B=06Yg-S)dz|KfmdVen-M3*WVy
zE0R~vSDqrc<N7PRZk@EbyP1rv)-2MxDYAiM2cOwiVY9qjSL`R;O=MoxzE$&v$(8My
z0p6>vo^IGtV{@KMx@7*XRwMp9g)ZguZyB4{FEleYjpeTwcz0*x<Gv3Me*F0Htzb<M
z=h7<0HB-e;ZQcCz^6l2w{R`|Dzq6mU>RgHBqKyXCfefoJU;DOl&y|2_Gq?2UJ@l|B
zw-?fVWxhM6xk7(Yk_hAfO=^-C*7jLP-m4Iw8a-L1a=8ujyhiOLhx6ig<{xIh+uZT4
zZgRc)5yA9+OV)>XmM``Cx}Sfk;E_m|@ckSQU7vna?C*cpd|Y7jlYqM-6=tU{I|X}h
z<g)wz;ol3H(?_k!G>+OIc^Ikpt)Tqb=E=WzW*$+zmC;mW!>&{rcFW<-n(1b1_cWQj
z-zV3`-|}$b&SLh0^NTodsW@!)?-BHS5`56%iEyuRc13FvYpUw{naj={>k3SIGMDex
z)vQf#eXWxA9P`<9=DX1jHW_KL=?Tqw+_u-U9d6o*oS1wu@8XYJT|4FkEtgG*GnFpk
zTT?T0h3U4$Ra<KQ*6%!}7yqo;EZSn8Rh#>>Lwlcky>zNr6EdIg`<YPx^H<WJt$KLm
zqi4+8!?N4wOuKfJHD=Y}#ob?j9<AD8U>vF&dT3^=we!P?-%H)J#7*6jm+sjhaBaEw
z)eUyW(QfNsDL*Y(#>IR4uxujZ-ro!RCsy@OS?=|9=H}GP`PCImYV_t97o3}>)%|pX
z6?;Oz&vbwNuqX#n+j3`d<<fiMbxZ8Rx$Q$%UG);TlL<R@b>*)wO&MDDyCPQQ@b})~
zosgO`|KzLZtBaqnO57Rdd_8hU#4N3R^W57P&Usjxzu5QPFeI+~2aD42!&5z<uUsr|
zxK>;D`l>hY%C8)Dn9U*nRCMLa+`8PW_g3wTbWS&YrTy{JwP$A!ORHGDD)D2#wQVQA
zQ%7w@nB1ftg^wRJxU3Z0StB{|^rpnuO0zBBH@e(?{M>%3+!X;+*O@P3MMWihW-Imj
zzF+E65u)-+WM!v_yKkVHyW3Yq-`gJ3cSg??c(L1eVp4ABWto|MId038j&9UF`}Bm!
zhRWCJeX=X(&Rj2d|NDiTA2;qbf2g}I`kh^+Q&mxKeEW%?S+CB{{G>PKYu~|x_d9&2
zrT0efHx%uW315BFI%IuC<F1nW8%rWB+a}E5jn#Toyl!QG(4%E#>4mk8vs?mr)|{DY
zJVPNhY=f<`Xi5zC9vznzk(2Z;9X_S15U^CH`%=czqkc<Tgf3-$S!L%a{BYr<9tTw|
z;m_`l*Iw;yf7<e2VoTWj$<OYtJrjM$u;3nhX{_$?pJf|@4z7&k6xTarXVd;!iv8mj
z+s4B`cg~vgxj6k$kVRnh8vpxMi{e&IKV0?lAs3&?ulnh|XVPD9QvV=y>c;<Ivu)S5
zDm)WjCDd_dMvY9B#LDH9KmYzW^YV`82eN}MJ~4l1l31Ik7c)aQRO@!;<231+{lB)o
z3D>+@AkA_7{Ppam8)7uiPh0)9bwddA>)@+9rS#OUY`*UHtbe+=n06^sR+v?+QAV2g
zRqlyq)z=@STlTn?&N*1?$>`YnWjC*;a%s%Tz0VfEIREvdjMDjB6(P56eR<s5Vyv4)
z3SVo~xw&ue?dw}G>)_t@O=qlE+P&T|`HR%VycdU*;@ubaUh=G*cGvnmM~my>6DzW$
z?sjP<O%&<e;%s}m#VujdjS$_Pucws#h-7(vLi&xwf<>~YdWB}S>rHblZn=51<6Ti)
z!2GLA7l@h$w`P6_US8-hv0tMk?Z~=PH=%a@ccwM*bGJ#v?B|KEQFS(onx-==b!Ll_
zp-<$ZGY-3I0`$*299O@{e&b5wvHL~Fr_31z?i8lqe9F;rqEn^m45RbhOByR()pHo;
zO=!F_L19`<edh6_xoZpRX1qL*D7r-^z)Qa~c!pYI)AV~m)4#@5q{<oe@^*A{oRzS;
z8f53U`d)@OGsBw~M!^w=yJIf3U%9bix$5;47u~EEdk?PclURSE?{QOd_}l>fGdHIh
z-`6pomOo>ojmXp60*;4{vZ}Z##~LMP1ifZouqbDlb9Bw(?#L&LZ$GepW2h+YCT?uv
zUN*US`cskni%y?N7Tqk(wPuN4q*zizh=abU!VarTsd_xSbhBExADd`(ayd?83gwRB
z-rV39>g6D2c#&z-i=}Sf?HSK93?gp4yQP%2JxAbmM#4TP@zhV}nWY-$FX_6s=t!%J
zJ*#}8mGYZ)OxMlc?x^YF(%LNX<IT%^zhit%{HhB=K0G@u)W@Kv)qKN2Y|5gpuSU!+
z>NhuU@Le_I(|6`%w)Gd<R@grh*1p2DC3z3mXQvdgeXja7!Fv}TbO?Wv`!e*Xk8a$<
z(9+HSPE}^+ubFoAda9JPkHd#Fwhm>keHQEQ?mV@rdflY-GNZi~n**kVznwcJz5e?f
zg_&g=XI^|<=fpDctLpD))~?ydEGJLc;2ILBuB)EAc}~RU7cG5B^AlQ{wr%hz|FWR#
z(VvNSN>f5x`i@LJZK=4aot4u(^>^%|{+B7$l9PDctB&n>?8S4k&}jALkGYw%w`AC8
zZ(HA39I-I`(pu@?AHuR){1&N8X|!{jD9+m;$6;CSVBhq{yk?)niM#ieXCyhA6kIC$
zHT$0DzZK`7UO!XKpv2dpXx7~M*Rr35t6@`m(Y#G_<fQ#dzc6YoN$Hncxcy^~>9N#}
z>Q|@q<}A9pBk!%->i+LKb<dWRS5Ftd$9H5-1ar;fHba)yYl5CF<w7~OMPDz>zhcEw
zmDOi6X`?gm1LG*+V>?fH??}EAyg$VwbDrc!o~Vh7i`cqVKhBieu;clnA0GC+k!<Y8
zd#n~0HrZ7(7X4iizp`*qX6fmQ^b5B+Pc;e~r4}SOma%1tA3q{r%D%GDS?77LaG}A6
z&rLI5`P`k-^q_iHqLs1r=hwH-TL1drAhY<_r&^QQV%wX~SF_nL&tp8_kbb~;!yF!)
z|Dk(pCAFjTe3ngrvvaM4T`$l3aDAmuJpSL@T*IAels#_Cx7XeJztK1R)<4^8@AM~p
z(%XLN+fPf5zxBL<_U+EwPA@e5|D#xM<A3)hJC2?Fzw*VV!WG?-Z~5EWl1v;w{0{%;
zzWL&F(_7Q#sh3C0sds#}Z~pDrHHLoAq&of{JYX<?s_C5>hgRoi?wRkx-uK#G@NT-&
z)ys2Dr1j^^n$GzhIVnEZ`|)03*^YAM5V!rtE4+41OM4{ypt!#+{;x@A`0Yy-KX)2@
z+$XK|b9>&#w5wNoO|G4aVV?N8@%NIp*FhCqb$h4pFLvEy?s(C9!m(MQ!RD7DvU|!a
z8p=$4{;HhRzrEwxmrB2S|2J&W_2ME&qe8p=yNwq7X1+dm_xYv2%e9t2|Gm1p^ts8b
z?}~|M8F}P#_0LNjGh4a8@x%w->o;DX{5kDdCBvsHMf$%A*6xlCd=u1BxXS68%~Of$
zm*$>xa_Vno+JC#9visM<*R8(=f6sA|m-QA||7*cMo$G)0-r{_8^V|Vr<NVt4XuqCc
z)3-_P*mD03>#n??^}Lnu>p5Oe+dsX2YpeJ>&tIRpWF8bB5&83Q?qPOt%sr5dkYoFD
z=E3{j&*%O>oxFEzSX}JSoZ~0{WN{vGS|<@Myzc6u{zn$|8kOrlmtU`37w!<H|NQ1g
z&SvkzItIyo|2Jh6>Ykqg@rl&E+a@;u(?0#wIeTA%<>dWjvE|>QUrwpN>>>Zd=IDt(
z_ZGXW`Ms62w#z$x<m8EMvSz7vUCEP$-E%D$rq|rR@nj+A#Nz9Azillgi#rzmiPzZ2
zfAs&;vp-#CzH49N`^x{ZMYq+X2b;fnJy<ZG*M+TUP2#`aKR*}0e!m-R&zG;iC(rp-
z^!H=!4~;)I^X<=tfBKu$|FiqUub%V-S%VEx@0Y)B_|+G4<$Qld-S<!Prn9|&9ym)d
z{u_^uJhSU}#{GKJ^Lh59K|>|uY=eD$RPp9%QGaSvO8b|^x38|Qv9UVeke=|>AmEEl
zv~9=di7)Ig<v8@bm;UuxVZQ$TSCSuiDiC?%0S<0sp230rmlGfS-x1-v|GM^qKi%mE
zjL{Td5M}#OUc>Vzp0)D(zqpf}7XKe%nzlxpZQAemie0bb^NU_*P1^b}XZv$U>1D!;
z?jGA1_C?hrYjMDp|7Iaof#(m*N?-6|m!{VCXPlq;s)%r6>8S%3lZDy&<!vnYiU0d-
zJ@L-_Ib9kzYY)HEn15oAedPY%dC~ta>xK7J`9`pQRp*znWl8VstZzPkqNYcXwM9Sf
z_u{|#H4i_=&Uz<pU6Lg8^<`c4v3{Q(dHd1_5B@P<I`;m1>XJEi>gJowY&J(5t*<+}
zMBgU!(t%Gq9-lt>>D~JG+e8mWf8(Apzqak+(^${D)5`+;?sI&#bh-79Md|Q<U!k7&
zH4Top?!0HQuBkZx@Z;R&$G+W5|MhYA9Ep|9^{ZEuMU*-3TJz(VV9d@vdtPkln(^TK
zB7LJ}rQ0t}y#D{$e!G7U)-~7fo^y5j-)*;6Yzx^Jx~eK_>-OmNzbyVs{HW~xUHx$F
zD_4shTe^3MRyS-~*&qM;_UxyR=XJ&~FIBo&)>U})M$Tjv@2$Hxot`h=Bc?HV&(G;^
z&u>b|5xIIx@86pDx0mph+XvW3*Vf%w`)ke5wbJa{t;%(`{F>d7m-w=AUPe!KLiDom
z^>4a9{%Exk>Aa+zeQrad?bY<P6HSAroARgJxjlFFw^b_N#qYXrdR4Msxqiy)_JCb}
z3=dk%71_?2UOic~x@z0Y?_Hnlo_>3|W$o0palQ6`GPZP8$!~hwIL*}e`gRTTEpjg>
zIUYO5ryyKVH}PVaX~0#H=!co1`K2!ZmXs{oQ4+zlTq{H2@C?Z->b}OUbG(krFE87g
z!hPRew18Q%H)^_{v`lqaRNsuZX|c_}OsxKFT6gtNg7o6s=N8%Q_FQJ+rX6Q<a&~#N
z+?CiPFRHT)IDYJZ9Pg(7q2lJl4Lc61swU6Aa^E3^cm90SJ*IR2*gZ5kw_NvJ{5OW@
zrd&dq$$Ik7&2`URuWFcl|Ma?ttv_q#+}~vJ{{r)zKmYQ1*W1tP|9o5Q`E1(*EAOv#
zSo43?)5kT(9__ipJg4T~<$~i*cIVP{&;9?x@VukRrK*l|&i#!R?HdEveA##8dyh#=
z`lp{Y=N`$t6nOrh@#md{mmEs)@us<)HL{_5k1yA4ZD3+_Ib^4EscFLQCu)0FhDgtv
z!ZOJuB{O(~Uw)DL&gu8=RPXejBsN7v@U+~7rHdR=CMqzwE|}ul!T<H>)698Y)1Ehn
z$IL5rT+F{v<IwN_>E~wtJU?mXm1V{c)IYzx6Iu8C&-w5F?N6VXv(sF(<)u#XR+r+0
ze2y@iVu>|1E8qV;ZoT8f<4aeo<ZQ}++cgy!<n%XQp27F_%%4TmJuU4WxL)~K72i8(
zA^zh)rNu*;T^DA4_B$r|t?_enOL56u<L4ZYV;(U-7i=k3dU~c({PCLajhlN4*k;eM
ze4jWcSFZRR^D$-4=Y0a-lJ@Q|K6&T;`_w)2Kg<xX?0Xil;q0=xkAI~eoB5^jv&5mD
zwvW$L%0JfmaiCJ?VayAm^MS`IS00}eFsDG~q0FnIzUO~?K5NNa^sCRAoITf4K4Fge
z?*p1b=RW41n-iZpN7=4mo`Z$=ypm@%6AJAfFhB2XF@AmMr@-Soub7{EwiG{qeC}h-
zjKaFZ?B^zPKA+)jv)$m_``-tCDjwSTR4>_PXUe`hyF(TA&zRq9%5ZJ7_T7_qZoT2T
z_#X#$HWcXXxh)ZH{CsiG=TLbIaiMdG;^&S_JlB)A@K>Gl_`B8d&xOY<`SS!m)?GOL
zeAi`;^q02h=0r<AceE?0n^C~G^UGp&iRTmT3g-D)Y_GX#Iq$Z_^OJT3^BgU_<qDqF
zOe$ond7z^{CtJVlS<S3My>A_p7tcI?y!rX+&nBmBpEV@<aTI9Wm?rbXj8FYmjnFH{
zMf~rxuR6QDzjJ<7d#B#Ch_76W-fy|6>~eq8e$#r@i|qUxUWK~E|FT=Xuj9q!_uF3u
zE}AZzbai#-zNZGO_sw{r{CUa;sYkyB7xnK?JX^1J@%TNXZRu;~tGdWn-ktS-u}lBH
z<g@<`7j3_jd3OJl7qjy>yfSsUKXuEibivw^xuNlHU(T7YsoClxULN_?Y7u+<_E)Dn
z?<EP}-`uIUC-doQ^<O)D|44P%6?U8cw{+3peQDKv?=P{>qrbW>>aV-A%3QE^x$mD@
zo%@ce)oFLwB|eVlz9>HHnfu1SbKSpqO7ETe!ntYUeH*^8x6AvQ&&u^Tr}f>IJf78W
z@nTP<bm#RG>*qc$Wq;iB`@l^<3-5O(->i#m-lxow?6<I#+IF6=j^Xyw_@ZYriF2fF
z9xeI0Z&E>D?ZKI!^N#%lt2kCHYTuCi!7Tk8yX5l?{B!2EY<^mner|2gXFqw1aN%=j
zmCwyNZ+NcV_L<4|=hG#RoAp~{*jvs&vieC;@}3<j&+Z<qnE$<FdhvrY;Wan%^$sv^
zoAU4IiQZqwcXHgmczw+;sa4*`UNb%W&vMZ^IV@Ib*AMp9f492a{~EqpPPyul&Fa5;
zi>7al{F=8&f6tXw$2;wcdPApo+RfYc$~I*B+A95v?Coo+nqEx49`#k_VzcDxI>oEi
zYkpZRdcQ%_-u275*K2<M?$~#8*&mauxu?zx?5$gTwQkyrWV5I7E+vZDXXh`jS|55|
zxVGE(C+i~pJ@;nq_xRG{`}1$ecFp>R7pc=D-|`F9-dOhMtIPZin)S?Ab5HG`@xs~c
zX}r^yShJ_~lU`ixUh`-EqN+oy;=R7iHG9f0So?N^>3_FH{Wqr6OJ2=A_21;;_WEmC
z|BDvoZ>&7`hv%Yq(X~I}0e7ESe%b6IzyIzm`Qw@Uc<v`&UH?QW$2xc3<n@bwDPQ{&
zF1+`j=IT1$i{&?N?4S0^?Av<fUoE|VUw6)v*f`DJ=j-~&uhJd&er^ffKk>z6vv2n9
zrP9C61#8=T|IY5T`=Ofsb9=zwz}0oy7lqG9d_C(T{%+H&`OAMLhy68M<bUhNf2ND(
zH*fq`x|;juzTcOF>!VNaZ+@|~Jo>Bk%6~gT<rQ~*SQGkR=%V@U8~eLoI7hGj`Cjdp
zn)L7Q0<n9J&-x#?XnJqtSAF5VUo2PG$zNP-c71!`BL6FqpYQvB5u5$-qqfWZj4jXV
z8(!?r`BXpkMYHd<Km0<qN78C)I$k7gHvNCtW&Qq(tMV0p?eP8Q-eH$H`G2;{`E?s^
z)lYdb**yB|@s53mpZ)t-xM=#ll(YM%zBsJwCOcn#p2DvcFKxLerj@5F8%Q_a<T&G&
zV8*mr@P~Lm!@1eH%65JCQ|5lJn)KqZdGy!i9sA6(ug+KdwQPFNch!i0#xC){EtBg$
z+dT7rAAY~T_Wt5ZpFR0fO`?|j`LyG=-Oc~{I8yIW*~|Xkx`cnvJLGgDKKBd8YD9g_
z7ub96*}pIMJL0m6|NpeP=)OO5>wn)x>HAZ!oc&sGt1-)V&l_jkn(hL%IFq8JIs1LT
zY~swe{bPNxJ?B;8oEKlszJ8Eh#2+4g`oG>qYjNq)Vwuf4ef6Id`|c~xQN7(WN8<Q<
z;n<2pD`x-IFtUI4?(yI6AOAHMq~*?gUGMOvB{S~eo8xTHj<eT%c--Dne0lS=GHb^#
z)1I&SRWGzR=gf-PfA=*0teoRsS7eeCZvTjV!`)A-CJKL*7q0!#ANE(><$lVqzf8~S
z8(*mI-}>yo=0)?F&nBKJoRv^$b@j1Dq05)&>3<luS;yA7|ByZw{ZvqYzuluU>xVr1
za(jPwyY%ZB^r^piUN2btL^HW=N}b=A*yE9(|C?X*c3J&T=;HSI30LEn{YpOeY2y3(
z4msPCGw$EXQ(|9v{kT>CtH#AMEu|#f7rV>su}#=hR-kc%FVFPY<ujFhk7J%1sw?et
zvN$eZ`Yb19POM$Qzor5<`NC&0hb@fl3jR$h;In;mX6LlRybm*kZ$Dqp@%%~ixjCN4
zDqD}w>G+X-D6*{Q^N!$zIp$SoIzRr2KeRKls4w0A@saz_ADcH7-kI@}b!89tyq~<5
zTkp1hHagb1zx{L5<i7dVoX=;xtiJv1W3ln$KW!kRq7PaA>?+=S|F}i`hmOgM6Zih_
zlRvQSO^veptNqJ=X)Vs{`yTe}IG_BVAIuNmm=rFOUoRB<rsP}loSz@l`~NI>`@6qo
z^BI%-4RwDyUs(I>+G(dYL;mx#>ihocAggwsJ@d2pSmg}<IrVCD9{-kJuPpI?zd-Gc
zXXPsY-M{!<u4wrgue>Ycv-PC?Lbb=g_q-MQyx;Fj;q3SK_d0$toc*5t-J)N1VgK)`
zRCS+yukz3Bi_z@+f`8_|n4Mea{z2^G_Pxnp-^;t0|L0@)TR;27*WxvQ-V5w4Sr;mP
z!nI^~uU-2K&e^|adw*Hr`}eH!FFom6`9=C$I{()!ntrq9e{uYx+kelc+An&)rL&&%
z;`Tk6z5oBY$p4ugYTxj}`+wfm`|e+aX5UxbJO72S*{?<ye!r-<@-APN+MB$3R=DJK
z!q=alLgbH4Z03A^VZ~P2X+pIh>=x&JTYTWpiccr_FSWKfbEH2?Oh2dec+JNS;frk&
zZx*%`uYFma|Ez+~;GA2(MWs#QAFH0CS^MHMp6!`bc+BR}laDUv_yx{YU9Q<U^K;g*
znV&i)9~a)<E_`mQ*?sXp3Kusod;fdp-yPF`7Op&Am$0W_Va~y-=Y?+rk5zUbpCj?!
z=+Mm4hc#`5c25k|kA3zywDZE#+G7@v|13K`N5cPK?W4sU`AJuH{)<oABW(9U$vF1I
z?+qPwCJ*nIto~@#c*)R3zU=4Upx~8Nckex%Sl?mBqP=Z)GH3c}=VMh4e=QbG|95G{
z?BkPX&y=tKcCi03=ks+FHrkz;^WEUwcAIA{1zbuGdG0-zIbSXLyzY0^|36hs5AXaD
zO+WWr^7%r!;v^e?l{t?dp8NXG<gv{?_H&atp5O5A`RHQrr}pazx7*!2b^Fi$`LV&h
zPC;JJLawHxKrN?^zliH%^RoNdGycv<sMGm&ga1n^<3kzKzj}wy{8T;``P@+bSheCq
zoxh8UfB$2D9P?ICeG%`$t~twv&Yg6gYk5C$PGP?#W3WYU!8@xXGdF(`__{0R!wlia
zKNAmGKKXQ~p6T(O7tGIJwiLH}+xVx<x&GroCEG)h*jvv%dp?KBTb$-h{}O!edgElt
z=dpGLY#h%!xSzL6Ja4uuVB<_bx%Aveo7Td-&yB_|7Mu0va7#S@Y**06`Mga0Tx-u~
zcDsTwfpbfp&wZ`adR%jn{T#<bnbL<gy@mfyv7hrg6uIl7<-Fy_=j?wTh;+5^j@j2=
z%J%rrZ2mdj9M4-$f7Li(@%;Vszr_ht3TEB+pZR`rbI;~0?B}u$S*lswzuqeG{Gwff
zp4yzIm$maO=OrGi?BbszuQ<m$)<Rn%{RI0tW6tLjxYJt-=e=ZpE_BH9*`dgj7U#b;
zeqP)XY_M0~Z2NhwV>e$hKR?d#JV^Xp*0G((*v~C(*{t%yM(?Wexhec}Oj|bJYu(&j
z825(xd1OoRWbSnF$9qn(pG$2izSC-a*uwrjbGq(Box+*s`&$b8ejSK(w|E_Eu|92%
z|BnMZ=M>}>J>1h<Sa+NK+-A<_JGep3?&WfYk8EV)Kh!FoYriTSm$<f2#&u=mi=}_h
zomaP>X}`YW2|p<2>gG6GxK5T|G2i=3mAL&k%aFPA|1|U0nFyU*YF1|=X#4O{`-wjl
zhuQ6CaXycdwht4io!l*-Ze;&#TE+i4*PJZcbN*QLzgVnSS7Kz(_vK)J*dfcL$L`V>
zkH`KwVQhb@=ELH-1${SvTy*?0SFbMS{2z;x%JvBom!|n{Xn%ak>yWkB=Dz)_JM0W!
z*!+8!@Q=mj6Z^%s8S-;mirY`}e<?P-=zY!l_s8;Q$A#qoSj;cD=6&p!gT0<Y)pGCO
zR*ww6S{3#2*VW7^$g{j;c`vnR^I`ULrY*&nT0eUn+j))soWH=i2Z854+H@7J``j3;
zG$&I0++xY}{rq#XIiHJ(pG%f_?j~=M4Au~Ftg@GXPHc;D#g^jVHhqQnUNNVeKQ;OL
zSL(CP4bPs>di@rO72#qs&mXrKZ@dCdN$oWcrf@!AmwRr`)Sl0p@)p$`&mS0{o0D#I
z?)|R=H@z*|eLo&4o2ans_WL*24eaB-9PC$lcqjAMZ?0!`(^BT_|2#w3`gUte@s%(C
zug<V6?)hxmZ@KZ_b-{C!R`+~wO*`i;@%*-3!7`5Yz1+`5dp4)k=g(WcabMxVif@AF
zK0cm%y!ke`hq8<_{fkBVx!)4cGv$hte9SlQNaM)=`_L_}r+V58Z~gMT<L8a*#i~KE
zZD_BjH0S2wHFZxq_FX&LKi|Oq+{c6cHV<X$Z@y@+yz|5Kam-Ue^_Hl}4Y48|=_^lv
zUlN}_XOsIJP^nZT#`$~!_w(63#;=*v?H}Ixr<}Z(-)xb3(+Afd%HliriibIf=%vl6
zIJew&VVixw`Wt3#xifRE#sA+9Pd!-tqug@a<N6S{X{;OSS=L<tCGeb4==sL*drmfQ
zm*1Y8F8%nAXF`kjCIhB9A3|T2-~D9g#!_Dv-1#}A!RFT<yFFg!p_ZGU|2I|I@P+B#
zrjU9&uGvNr@-6?0EOI=<gN4tWSbod>qR1`zCeiaelMY$gr|<E1thK#(boQEGY>VET
zyiBv#XQ+PhJnX~g<_)Tm4>OjDRom;UwWi7&_r=e6EVRg7e*3HWD!-lxhy4w9k*~Z2
zYEPfNz2=v|#qu7>(qftQY;ir0iv`^G$W2&NU=rM2D`P(CkkEOn;y7lF6F+4i9ML^#
z6+9u~_`J_XK{vjo&#_$3s(s>n@&g;8Z7SL_XRjok|Ct#zLv!A<*IGr7O24W;Xj#v&
zL+|q5b33JGJ!HB3)M)wbJE=0}%?Db)ey#2}9=KuY!a0^HzpHl75!rUsMDD|;vtP@(
zIU?%UGwScDnjL#jS<qnn;qM&Zbe`?DVXEOcD9AQ{`MH){nQMN3`sbhAB=l|S$F~eW
zcJppH|9PT{@rKp?#djp;{p8Wi`E7dax4rRmEsy>=><rHhp@A`1_$~jzuJm;Zt-dq<
z&383^p5@U$r=9WnMyP!Cx$~0_d9}}d-1+_ViO%n*j~|?tGFQ`ML-P5Zog!kUvG)y*
zPj!*6JW^4A^x>Jp_pFS6E!iH`+2nL`&P!$6w#)vG#JrtdBGdLBlqkQlUhUV4v;URL
zc`usp{L);vp}p=NPo>L^N#JnZJOBEmLuE7Xc_;L}?y$@IbU7yex?|Os$094%3nzGQ
zI&{%T_gh_zKi6vWz3UIj9Cmqc@^}B@XTR6&R<ylW&U%o4#;Q57Hhl+t?%Ml)kzC)+
z_<?zSyJ^6B^+VH4Iwikc*jIbcfxG&O#lM-BA1zreKUzLj>9@>RnPa^_>&czF3Ku>0
z6ui8$bNTGNEbh&x=D8&7`dGhx`D4QwnSOcKSL>eB{djcc{SPM9#k-e3-Tm}<x$66?
zsmpFl{@HGN>yenb?!&lSH!pw6{5P|2^3Bw$smq_vy1X~KFLU;N;d^^!ufM$YKm9S+
z_QYG8)C({4yg7L8-86ptyFu^d-+p?ynfVXrdCk(A<7e+5zVY<<$NBc}Z@pA{@gq+@
z|LU8PAIAN=w_d0!J7saV<PO{0>3{Cb%UK@%&u97LGncoXzWn)Z$>)pz4t)N#b!&F`
zoTqyaS>D}IynorPb0yaER?VrOR=(@!ve|iBcI(gkPcPr~@?2xvZozBeiLtDcdG0rF
z729{knBn!|4f|NL<?poo6}tBM!GDcPrfbQG3gQ3!ta)y2KTsvG$73(kwe5*-+ou=l
zANplDLuD7owZMq@rc#6XZ?yZ>iyOcBY||9hUzW1+f@<l>&WL)4i(IcaZ<?Tg<kH6o
zJw1^p2c3+Vj~8lAed$}~y)You#Y6n}6B(HUnG5$@U!Hz;$<q==|4B!SPC7NoJfFNb
zQ&LEsd*hT4k8i4%_r6h>-MrXoQ~WvZzc)+wHieu>X}uQ`?v>$j_-Di_Efv<Sf3q}?
zd>0S(O57*Ea*|fd)0uayEag^BQ*zoIlQFeAxl(7_Ca+Z{^CDD2CH-BNx*ik08g!*V
zNoL9_Rw+ZpsXnJCZPC!!(rA7(#8CfA*%t1bPZrFHnii$5a`|V|g}G7Jl}kmdBOZ0R
zR;bP7^Ypa1shv`Mw^EbS&&XD!E2+t6qd-v6*RQ4DJvUa^FJVm1YFv0>(T07|3*Wna
zx$9NC-RpkrlJ{<3?`GwiOI;~<{<SVHDnws*)pW6|-5syW7Vo;7bvJKo-u9^N(Q9w7
zy>@YR>F%pmRa@g+wuZS_tPmCC%W`dLjuL#h0K{F`-W-+ra6wp<#fqt7d|69do3A?A
zOrQG8C?Iy`oC_E3T)4C=X=c>?N=wz$$|I|krNT>>9t(|KSr{7L)_QGQ*~;9~&qga<
zPw+i?#GJX}(S#`s+B<6)zimF+G$pvtF!i*S$MP`yAL4>p(a|b#RsPejOztlAz8Rs%
zc5~rRmb2;=lUy9VM0qlQ%nVspJvZb9vu-zUP?P_iUg!1G7MLD7xapYa>2p(~UPVcV
zT~R72Pm-MzI#X+vR^^EVbFR$uu@^7uT{UGlebcqd)$6u<ve6CkC@E>xt1A88N0rvN
zGE98zHM4c!nw@uMO-*lhUCRA5al#3wQ!Z+v?I|}ccw8sQl_w^gI<hpPeti|!W$!mB
zTFe(io332GDn9Aa$-0w|V%)uReXC`Et&nPZ$h*nFf3<d{^~x1&%Xu|sY-m?u?cZp`
zn>l6EM)TEQB>F0{_I9OM%C>zL<9@+2t$V5FZ-KW{PltK*KKFX-dqKzGS4GV29Z^wX
zmsiY-sGD}>65H-o;u8x*U7{rSC72(1y?W*1VBg-U%T?NwrrbL3U9|pL#1f_|0p^A>
zrmG@htEWV+Y4~<Nia{c<H>=I*h|iSo`#f6B+79UDdu=#fE&t||o#@I7ZNfL6=CYSn
z#<$1byPi1xd+Cj*qU^h?1aC<Qb!H@UyvZt4z9qqwZn|4Am#1;_EO9{z=K~)XNc~Fd
zP?T%yX-|Bd*!s~R$!3j-T$m*P!l0>M&s15LZhk$ha^bDK2z#W&$NG3y=hJjkL2cf%
zTYZv5<*u&QSrr}`vVPNwxYWSCrxsOBb^WT<?KJgZ$BcuFfp2F$XY#V<YhtpBxgK%&
zR_TQjodX8q(}OpD4f0<$qtCf2qclj3-+A#Z|EU)l&pBGjs3-8dS*5xJv|EH4&1(?Z
zvtG+mVSOFXR_(c$FWif}&efV4thsW=v5(&QkEbpac%QK$T2W%%^B|#jDbvI+-z}(~
z+PC!FA?Xb&F_FKjlX+h@&3WBfdV0<Du#d;qy6T4<w06-r)Xm}QRN&62HmUV%Z^i0k
zzbisch+fXL+`j0w)6U%o&Sk~=t+riz$SKO3-}R7F_?qh2d_L!_6Y`NNEJ8EwEGL{j
z`sw65hkTxI9~ay3mzy%~I61|saf?mDj<Y)N{g1Gon7Grx;6|v=vPVB1Om&_*u4IfV
z++Za$=}<wl@PUN})4ZNCe^5*f-st47c6~bk8lP{+A4yJA73ddDVl<e+<<QBv$FcQy
zgT|W`<?G_6EWIc5{^+Dhn{<k|zu>hHn|aH7;+$1yjCUD*{JQ3WW|rN}<63d`b2uK%
zt*P8!oP1KG_-@g?I|)~I+!DX4y_~sHH`+-0z&X1KcNAE^+Hy)KM(Hh?^s~vFQMuoB
zp18;AbcOAD7v>)66XQRSTd>1M=ETv-AAA;;?0o05Yl4rs@zFg>UJDn9ba@nXi>>Nw
z^SQffhM$wjXU0<Pr%#-8u4{{{>^Pc{<tV?|DdCy-WjRjf^im!B=v?;d%~RMk(%w(M
zbY;t$m3lf?udE9>eargsgI^_Tv*YLUKM1ZgQr(^|m2*ts>B~FiT%~>q`bip_c?&{0
z*ounwF$jyXTFM2e%P(2u|Fo<!HqY#O_=|RStNEu=|DCJ(p6Yz*)U;w-2}LRETh3Vw
zZ`ZrO^6f8kJR~i@W8&?zGJoFXnr~iNUcP&#=l-?9Mwf10w#*THc4jW~{wH&+-Deo5
z%js<}F285@`TJe}n=|>lH*J3Zr}paHzt7IQd!Dw-*Gp-6b85R$p_~ZMxr3r>wYa5P
zoR4nO^40EDc(BHNO@jQUl?h$4t-|&lCcP%Je)q(F>~1X7FX?<MSdlGN=C*O61!v-n
z=Im;xb0?FG^p9P7)YQj1UFSthspy*LhpUb;8P0Tbm0a-qiPNU&GY4E)?p&IuCnmIs
z<8g#xr~vz<l~Zo0c2B5WILCf#jP|lJ);k{ht25VT$87Yvoga7cR><WQvRT#!25n6S
z=3*_&ZzO~k1R6-KX)|`L$l*Nb63lwWl+}6a!GO@}Y<JoC>DNuRUA<beW&MNfH4!Hs
z)Ct%-{SZ9X&-JI{fxBv5$iw4Ge>%EWC+##j^S`V6D7V#=-`s)wP3AGWWFMKu)N?KN
zXp>IYOu0o#cRm$4>ZBYg+8DZT#f&p23k_#?JWVy6@A2(Gt?&99ydS;Oxg_S#k$LUs
z&{bn}m)B80;h)d`Il)Oc#id(j-nJ|L77=d5+8=PN>1x?irZ~gg4-uzgv>M*_x$CJ+
z%$!^y>$LsU`Z;Dl<&GD~$+s3*pRZWr^=8i8C(n*AGF8f4b7AS@ijK2FRu<n<Dz=>E
zak$*F?=JUQnb})rpVhb#!{o^>bn+d`tl&2fGY;l_Js#w`GiJ@gcBLK@%gT)rw|Duh
zIsMeg@<rL!(z7#cC%*CR_>iIdEj7VIOXWb2$C}p6-XiBmp{1Ao&-k8x84=F4=k=t6
z`*>QfuWLIOq4DfeWpm-iu&s7?zich?=V5oKIMsfT(TTJ8;iFI6mK6zE&*5rcC|qT^
zgK6?i1Lf-*HZ9!d7nS$s@&s9R?u3K~p@-Y1N$_o+86udTX5hWSMr56c_`Flu8@>I$
zeeF6mhhvAtc^-$}G>7kki)NHMM%0P<y*4W~+4ft!ZST1Su8rm;yI*@-Y&mwz;LE<1
z-;M`Z#>f~hSy&)aep*)dkm%`4R}Ltd_+ORUvM_FkNaEy>YROqVT4yy?pMQRJazoLl
zlD*}R6Ao5wEB+X|r(#A<Sd>-%c`1jE-H%?lnQmv^e5E>Jxf3_LPriTR@;x%YLatm~
z%eA9pWngRON6j-n$JcU6bcI?Q%(rWuoB6<{=?>4C!~ThBRu+P$R#ovELZ)33xDXQR
zlG)l9*yS*VfnBC#8CTfUY~L-5WuILL*Uw&a`B0J-^V<_8Y*8&Qd9JK|$rCd9C69}j
z_2Vm_lmc8&dHZjaPQNhKdWVnIR=NC#pO{ZJ74e%-m~dHX4_~a1miR^|=~=g5Nf}n$
zEqM5<wQ+{Jz{5kQb_d!`)Liz#Xvxwp(F+|#Z?&)Mb(B4JVbR-Ouvzcemg>Nz7X+%g
zyHq#7Zk=&#_s<<1?*-rJy<PC=sH|C^VZ*)j;Ft=1(QwYQlHITSmhS2{IDAg8)L^~(
zw<iydMfsJlk5@8NHJjpWR1hlnX4+E=zR*n^{3;)Md1rVF@}B&9&@X4z{RKaGqR;5h
z7D`&Wv1sGH2eXwbq?zt7>d-Y?+HzaA!|J6DW8uP$JLfOk#u}$vAoy)vK%8YjhPT(T
z_6ZSx4kgXo%5*Pp%B%9;*~$9rTO66Ab+>Jr7P@xp>RCtabl7i|>dc>B93g&T!^DLP
z?p$m=rM>W=<?WW^qFZ)WPs@?Nsg)>jOID}!PUCv^E7!MdbUabOFm<K2K+4|*O>!<T
z9G8|Y*d{h<rPtJF=VC8jx_9A9L0#<HZOrEX3SRS@|1ao2zpY}yoDjbSi|qb<lwJ0S
zxml?|SVfg9W8(9Yd#~E2*1Wph@#{=u*_32c-l&w!Gtm}nr@XiyrYpy2n$qXDZIyeA
zT=SA2rbojzw!PdbSSsIru(+ms=_ZwJ(bGh{lb3DLoz{2k1Iw%n-$be-)+EJDEeXB9
z_~n#Z)0K;DTjx!E6{06~aMG7cUu=WcMJct<zr~;Cv-M%Xy0+!hm%YDO)XTQKXa2Lc
zJlFfiH=3u^TvXy$pQ3d@_|&0bjc8N5RhI1~GaA<WeOsVnl6{|V3e#2otKrjbt&CY3
zkao^+ZjhdKVphE(1J|5cw+v;)PWAZB?3;0Lk?iG{&tfiV_H?QHeRFu6Shi)k#Tlj-
z8T_u_OI{ducP-xEv0SxOqul(`LUpf*Ie(5WS~k_s(}cG^-9}buRi4GK#<(*vR#zuI
z>JHNGDqWKwA;FV(<6zFNn>#elHkMi})zr#OHPhQ%_>h;;Ju2dgc-03j!Fz?TwC9D!
zg>Cv?`^xQX<NVJj=4}3(EV%DX$MHlXOZ{utu3X!uCz$9YIiKb0_t;-5CN?7CmwzUi
z%|3YCatr_egjoXdCk*}e<}9swyzpU1s=>3(bB+jSaXQ{OJa3UqtJABAt6D{PU9LPh
ztB^Gz&^E}_G~VMZ`=UjOb~+578o6iqYsT9is<FswW^lWxCKcL$rDlcZd!?y0D;`c<
zq^aYu=vGL?2aOvI_h(;Mi!8XM$iM!3!l$j<9ySGJ82U_p^x0S@M6c~8|D5ys60h0s
zuX8Z{Ajxhf%^EN4e=PCUwI6ChGe10BFEsTeU+OyX%!89>IxXCswEO77)`NLjtA&<r
z`*2adrgf(gPxC#6hq@{nnKRxvE>CE3tn^-TX6;JhT$Qye_GC3?=FSms`mXrFiu2y_
z17GG`b_rMYDcQQ^ptQyd?y8L^gqq(U`gXc)d!TM^fTYDkmisT;J;lx}^Q~F2^5fsB
zM&TUxVGS%MmM`a*1+HjvJFqO5E$Ks^gznZY2XBA0s))bCeg7ou`>ET*UoGL=7j<mk
z?L~WX^A2x6`=zx%m-E9a$F+_JvNHIL-KV5w%nExF?D=DpU*+2A$KPMF+W%~AQ>0>&
z-IIiQ{{50?lef>VD&&?wBcCY$x^dYh)_5VYsrm8Aw$r+K%kpnsUi7K%(p%GXQ6DwQ
zJx1TGH=N(s)cgK)A)DB_2R%zag)*#hzO-UWn)h#kdiIykq<ikPDCWHS9r1+6;YMrR
jPP5yb1$-udj;uAB@+qZY!JI>vnf~d{|IhB@*EI_OrQB+=

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.reloc b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.reloc
new file mode 100644
index 0000000000000000000000000000000000000000..e5720e1ab211f92b5138ae2140f994dc3da14d77
GIT binary patch
literal 16729
zcmWG^WB`K-#;teD_k1q-d;G5jr}rto1wsb|1yuYF@j0*PkVqAE;m~7R<mS4zORD@%
z>GjxhS^M6usPa3d$7Ra{uIzff?zi8$=U+eGp7;CT_jivAV=L`H|66v}a{2P>mT!03
zso#6~E3Dk}{H<FN56?X+R4FSL)PJwmS5UaDqDy-lZ*+CI<+_{B+de98s%u;u-7(j8
zY59ikbc;ixau2>tE2`-$wmEcf?GpXMj^{fLYRA@RN9Mm(eQtc*F0Y`$*#DY(MNhDd
zjMiTk_lmCR6@70Hr_{DCujusNbLeAk%HHkU=e!sAeZw;5m&9+2gQ9YeS?4|Y!*Pz?
zzo7T<ZLj?I%G>P^*zP%`_)b>y_3s@AoNbOv`qf0nKj1mPq1yVTxWxhQnB?+!%l)d~
zKD_u=^oPN}u;+LAgu0ewn`75xm(EXS53gv`b<f*>$jtA(%5ip!<BH$j>C|>P+Z^GY
z_efOl!w(J1eFs_N9%xLsXX$lLf7iwvN4;ep-s8K(U(i@=b6k1OjZOQGSk6n%cYhha
zqkpZ#x%9@`ocAiPnQe}8&U-APZhiU}=eZ9eyLWrUKV~rBacuA6o&OWq`^%<2{$0_f
zd}n{>7s<ZDzO{Fk%zyai{`K3p0+YVmKT_rUz;*qGb=&8|c5;8i`}#kMsPD)>S(yB$
zz)slau;{vi-pljm?5|xD<KI_(<96&Xf%YB!(l$r5=REu<S5(uOe6GB0?dy|o^)@t5
zuV}mbI3>2#c1~RUH~xaY<TrO6ehKn@lrXR83*T|*vGl2r*FJ92-O#&O`s6<bb&Dh4
zdYAfd>~7z2Bsk_V6My-H$I>>3xZm8qWUYQ;TYv1H1A^Pirj#<<95ju)H}jv%%~h{+
z<yvn4%01_I>&@TE=67>GznF5?&ZhJ-cT7Qj)T0dlo%K7*Cw@(S^DsgGCA-C8!?N$w
z>RN<tj(xMcbiS}fd(V+;nMJ>wYg703hTk~6P<d~^v`k{Y{Y&<WKJJ)LW&D2AZwFh~
zZk#v2`|BU2HShN~u6zD>YI5yw_lW!dgkIk^KmO~R?uLD}-fQyX->)mG`}NH-;{HB{
z*T?mb{QBs<vF=Y>gneD`o!jyE>RI&)7Qg17P}k_4Ghgxf_1!DK*v2HtN1IQ!J0NUx
zz+2|=#j~k@Ij&dqw6lBJA6H#h@Ww0uuJ5`0uG~BJ9={m+3p)NbFZKT@z`ybE_0OW$
zzQ^}|{hK~tbNl-5^X0Cc-yd-HxwHK1zxhpHe=Uz#zd!8r&$|hG|J}R$^xM~eZxi<Z
zv-A5=rE%!n#ZTYTEsk2glU4r9!(Y%DUeS3tIrT4>`3-CDbLXx7s^rfX_EaDDp8tTU
z|09?GhaW7F@ekRqTUZBH{gx~GU3}-Tr$%iD_nqSxJumTplt{O*i2TKrUeR_pcuD*N
z7X6L2tG?u^JQq*o*Z;`CUOw@w;ku6;yO(>~A5)!|{JZ3(w$0INwokuJzj18wzBT*>
zz0Nj!R)5jV`yh~>?qPqFJLbWkyi4X0`~Sy1xH$9D?eCL5Z+@ru?ja}NN1pE=ALvBd
zANlpSzODNEgt{hMo1?`skJ-#Ex-I5!e){LjPZoCe?^Em!Fv~m=^(%ZIH%DLZgUI>9
z#>tygYnzSt9C&AW$@=+;pR6~In#v{p{c~<ZU7dJ@{r>a_`~7o^pZ-$*c7B81y(tm$
z`O|jIJAPR7+VRz|Y<BJw{LTJ6zpC}?$4gQk>-(qMT|2&+;q~L265%oa1&uQ6^W!_d
zzV+T{XUDtI&dztEot<ce{Cuf3{r=o*`u)$f7X7Z;r+4jh?*_X%-VJtjPb1{_yG6+F
z_gd2*Uo106=X<~Cwd4A)?-kko`tdZm_7B$vyE@ef`Tap_`s0Py^v4IU>5n(Pc6>eC
z>&M~ml`Q3J{}gSotNXgquFf?=e!tP2cRJT6CufU)6nXtPy7BABwFiIw*mmI8k7sw>
z*SX8zk6F{7FL>?zdd6$#*UP+qewyR;bMMZtAM^XZe#~$E`tkg(h;#1p|7&b+2YzGU
zv0wQ02D^VpBjo?PMacg@79s!tS;djBp*NoX-FxKMkL`zk{dgX$^n77|z1_9r`z2mK
zj&J(<F~8^Q$NZ+RAJ-rH^&>pF_Rr?zowa{|EouMyda{6i<(@Z>FYdI7d#rh`;J?jF
zZkflD+s;j|Tlo5;kp711m2>9XPxvag?gN8<LC<IIl)oJQA0*nvz3h)O$2=6>_U`oY
z=l3?<JI2cQL8jeer^mJ97Kb^@-YeJkIollK)%(bG{^O4%%eq$XoV)(#%y+p|$*Wr&
zDATEJik<UF$iJX>c}2xanfS+oc^m5EUsivg{`qAxyMBTE@9&ep3a%??oBP{){$t5`
ziLV(iO|NL0UeOqCQNLi#cR7XU;feCgr+dmjmh}6eFtM&jy`t&x>80xn`m*1|D(?O3
zu=B6syN3@PFSS>6{oYZ(^oz62A<1v@I<<|*E83ddJ@y|EjC-V7ra$>Jx182%+nC3U
zWx+3#V;%`^lUMsI;r~%azp&MvfBM%jrS18)PC1V``93hUTkQ9`wtUAS=Q(ykzclj-
z8aKOp-hW^j_u$FJIp?1i)fwM8+`RCM;=BiTeDcbF1)f_RzL&gIzr=RlLpJ>nT>2k>
z6c+8NZk-d~Xe$$|`<Fr8;@G#xOXU;A`wKgt?>HtZXXn@#|41+{@qgzfYyL@}yEk-C
zw>VU$_L{w-t2pM7VBdU|*WNZqir?5o{u2GRv2^Ji|JK?)2N=)IS30g<(G)CW7y3(3
z?xEqg`D%Y<_zPR-F89v2jX0-%%xv2{#oC5onMZMYwO#BL9pM#Shtp5}Vlv-x@Z#nr
z@efqX<PQC`jjj@pJ}>CLqo2K^vG|RB__h9mR&N<Q|6i7QAGoT|Prp~SV$OM)-#g4F
z*&Sf@+why|WpK<R&TsJ=e?`J~96Q&&B>w}?_aMvpqGca`9No09pIheP3)M^M76&c2
z#cTcL;V<l*JKb~t5yQB|Y@NN|4J`NDef!9Cyy8Pq<opMsa*yw6FPZ;<Y5Fd?^B;dq
z-Bi~qonsqwPQ7~S7rS|n8PwN5t0`y<mf73(`QYU8uH_ar(|25ZT)6nS_@(c1iqC_S
z*pI)Twy$4X=HVCHOX)ifTAsV7{g+3-u+#mz$NnSNejm}cIe0I$sBZ6f@Ar?Hj^Fs(
z@P$+Fqr~-!rgr1V_{{$7xAq!)|9afB-_ib<-&6jP=DWxL+Ly{d5<Iuzzu-%5n<K_=
zYC?Xo_I(tJmY;mDcFLT1`Ra;B_8b3qtm!Xob-$sxRQ|E#yzKv+FSXxp{F<?|_VwgT
zw=0^M_Z(0>XRrD?c+U}Mn_pYL1n)V-Dp&9Ji_N^Ear1ev_dHMkh}}5)^ZAngEytPP
zKEL#Q$06l8b#cFfu0OW?wol<NSNn~so;m)_wQp(zud!G33E%lW>5Hb`2bT38MEdvZ
zyw1M!fGs_K;=b<@_v~*R{29LV{bSC)f(Xy~4>ivf{?ER2zM#iDr#AMNYS{;_^BcZf
zSnlWgZSl8FM*gvk`i<Yqz9icm6PBs*{>A0K<Cu3&yzX}Sn&@la3woXR9I3VW)wf3e
zk><IA{nPdxFr1h8diN!LNDJZk>7SAJCx4Zj_kc0(fyDFs)4wXm_8+(S)A*%0wqN_r
z-+-#uoJGGCw-q)s?>VF>_ur$>zp%00;@8G6!E+uk-R}LuTlSG@`i;s(bLQ8sT~q#1
z#J!@Ez2b{-<ow4{{U1IozchWvkz$#6^}k&H8>gQ9`K4ZMZ@;_6fq&<h`hO5ue&c8B
z7h##ln%l%KUEk3!z30GQ^^-q;?4R<LZ(V`B_5MkAhm7YWzP^6xc|}utMWgqe|8Cdx
z3tPi2{;vIUZ}QVWQg0r=DZg~QqEC3vpSWL!dLLNay<ckE95dznr?B_0$j-k!-#7er
zf9X8uf!((MDt`ssEe?CfJa}+^Q(as3o&)dVm&893+U-B}^XC6rd)t>+G)%WRws`-^
zUxMpCO7MqYI$vtLZo~ibmur`weEWT4ueim5i}oqC9n&q29<*O7|47Pz<8S?!+%b>X
zZvUTF*SP#f<*qsRg?3kbk&l#5e7*k><Gcqlzps7WCe{PWdh!0hEVr%Gk4t2aK0o2}
z%tZNcivurtpZs8XZgKP;@6!1X1pEt|v-ce0mAmiJ_x@>-9cx)ZN%G6z76%2ll~1s{
z{MF*Px6R>3-PhhRk5%8j*Z9k&zu~)&rG35n%i5TS64ut<^B+jcy{@u3_)>4vzC)Jl
zHf~@2<=f^b--7QP-aEU%ZpQ(}zOu(PA0(DryzsO?C^;{wy!>VMoCj>Tt3B>lZ<`a}
zBD?2Et;`$E*UB-Ed3INO&VMX)zQk7VV?lo8{wrTAS|8Ug`94qix%pAMcki`pd)zG!
z?o<9N!T*uP`nPBNLy7A*ZpByq-dXg!_Re8$nWX;=k@t^_$|XHtb}7B0jXUSA&o9Y&
z4_M<KsGiH$dwuu$$)BM&4!>-?#Q%Zkc*O%R`$NJu#~wOfI$zkQ{YF-2@7J20e?@NZ
zDDU{fDEB~MeZi{N`HHXGcN_?|InL^rr}<hswqM+0*VHfPJkI6!#LC=>`o)o6(GctZ
zOGdq-<*oBl{lb>#6`kQ07CzUa^(TB@xv~H5Z4dbeLh8HZmRD5lSTp}cmCezQf|ssW
zbV=XYzxK;s@l!v~Y-nb8_qu;vwXfif_xy)k&n@=O{bC&RScpGg|F6*Wiq^;09`E;h
zoXhX1eRC)9m&9?4gV*LRkp~62am+)m>lS-A%jEB$@tyzO!-IjB+AErVTktHAe<YKB
z!#e60)AEY8>f;{!4>0dJqWxx{%Q=0Uuxr&j4l=)yRj=)NUeQv0+}r*b>%7OJ>p(5P
z{JkN0`wyC(^LtYBf$6se|I+>q)sre3ylswrJ9#O+qD#G^b9qHc*P8W(z0x)|p}+2(
ze)7lr&4V9$m%e`#ao<rd^}N~L;`qOpm(G6>n117>H@KvJz3x(VGPI<=VefoReaE5X
zH+Ou0an5_l6`k%C|Cn=Iyx#Wx2W;oukG<Bu<52CKd8&T}&KI<?f1j}L!q<wn>WN>t
zWBaF9wB8Lr@$>6D&DXXu4;be?mQepb>8tXbhlXY6r`4@{UD*1zc**uS&F%7u()xuB
z&Bdv;9och|zT3P6buDt{>(@3e-*M1;&w<5_Ys%wPpNA)l^XpHrJESW2;D^)8Vw<DN
zclK}pqAK^$@|*soy4Lm^Ra@uu7t6n_m3hn%E$%)4ft}w+CjSlfoonVl;<|pLb1A5*
zic@}`9ILgrS$#*pxW%ELwW+mj+H)R%sk;P9!yC)%Uryg8x4z&#<E85LQ$I^5$geNx
zIm~|Q7mImC&)(BZ=0C7pSD-&}-!ay0>P5e`?;L)7I<>Y#`p)s2qL=tT%A{LVPWZyA
z_kqVf+-v`ZuN9qd*}dQI^E;=1NG|RXBcGho>*Scnf@Shre<eV@*pOdb{u{qm?fk2{
z?c<BYO?C%N`96Mlcxm~LBhE7RPQNtgJ!1O4{!z^bj_Wt-mwfpq{p3&Ej`q3kp6?$^
zu-~ZK@`d@%al^O=JinbI=RfB1-}qVLCAZCC(QR_twY};Ut>QPT7VokB`8Z{7xAvQd
zU$S1ZSF|PDRP6bpY;!PK#?JAV<+;Mvz3N{1dp*yoA2oZIdWpTF!FkUiL%#VcuZ8bC
zkg4{cR@d@;$C16uJ?xLO#yt>?d-O3lwf6nlqJO+)1>a0wGTR(i^qZ$w+rvHQ!3)Vt
z&ntS|Elw=eFKA1@QM>Gmsb4|!+jP(S)!lRIL1hLb-#p#d&M}WgcAuYE*Wqn*_3MrU
zho7JN#n%6U>G%1`_hz2@$-A+8?sISXhZ6i7OefhLueCYE9h3B*byHoR_MSuMxR-9f
zr}f<Uu-&?XhRfn7f4N+LEW#hB^VjA2W6gJaU4BJff6TVN;C*h9-QMY^s@TPM^gp*a
zbn<jc?W)%w1>BQg9<S(8x2V|pMf6-j@7-{Z{Qa@#^bgwR><{`S;J%}OdPPTb_{m>9
z*LNIvw|eRPheG-r{z|=^UeR^8{KQY)jqUF1r+#IQc`U)NeyP8p^SDLb+%Ls8M@8T5
zkE?q9|H(J^8^``hFX8_n(0-$G@fXd$j{?#8lj^#D?>NAHC*l9uO?8dhZ}tSAJ73xT
zMQ`3ij_BZ*>=jMLdk!ei*&ljs`i?`zbN2cE5}lX$I=0BJdAs-f$DDixKlok>&v`6T
z{a^hr%kqk@>i1Lj9b>-pK=hqxk=?`HDSMlx?;MX)tZjL2ad>aJ_x#69=Ra^wulOSu
zx&H`n+`~6}FIB&v_IY-)y!v$y`NvG^7FF{hb^o6DUtH-sj=f`Fvi-jD_W2LwwxwTc
zw>VV0=ZLdRO~AGE9miP9>{b5qOs{Bs%kRDaNbs9|;pg%@ZEb#atdV~pb-dz7;7j)%
zN0MdgeSYoyw&T*j$Csk7pLpxPvG@1VFN}SK9c%qP=D(`4Il^so^q+caZKL*`gy+GR
z^gr-ezn}bhvz_kVZgq=8#x@6<&!6}uv%I3Edj8aXN95)`wv2no7X5zu=aY8o$A4G!
zG0!=()F0FUtd0A{Dfd{DZ=XVKukoFP@7<Ta+bKMsoWOoNe$u}Fc8f#jrY}AJLFD<4
z|DrF`D_XqY)QA33m3t&wruA~K<a_-$$=|at9k)2Zx$dKc{zsPneR{{kZ~SfBaZGia
zy~g(U^})a7))jP!@96)WfAW`N**@KKA9%!X{O<c=Y;&Aj=E^1g!rr_5C&7u}J~R>R
zSO3c~y`tywdvE*W!7&g2@h{nKr?WjiS(?9Iqqf0Y=Ft!LOUo;|+IRd<e!0A&h5L>9
zCG(07@f$nCs-7zs)ftv;{3`rX`Ob0XJqIkm)oZ<W-*HH_Y~AF#zVwRLwevmmYeUYZ
zH-Ve^^?I*`WBY?;{yF{PlzX5V_fYD)*rt64S>M(AoJ;SMo%1K?+V{dX<v0Jle(|td
z95<c!P*v~ai|a*yg#15peXm#E`@PVv?#A(J`AhpZHVfMvPLBO=9ch11IOb(l%;SUa
zQ}@Q6db@l_d$spV=Qj`S<~>%-D`?wnf8t}e*Sh`XjXCb|w@W^J^)<ger#^Y}_x(Fx
zR?Xg=pZo3JcJtWW&mXL3?~Tr{+IRM4xq0>T>e=)1i+}w+d++DLWv6rf>UP}xUbp4t
zO|#?n_ujlsyL{A)f8E_TZ|CRiy7BCwzj^iRUB3R?Eq~oi+kflX$@AvF|LL3Uz4Pp0
z(&qDZyEA{6&(_;k`Qi83dr^5+yUt#eH~W3n%>Unxo7MHVO7@-={>>I`FTV79eg1Oa
z<<|LDH&QQOTQ@r{r#OH6*?o7O{d+gNcI(;0wr17k=Y7v_x4fIZx%}I`lAUKy+Rd)b
zzwdi~n`N0<b^d-|{q2?8{-?>yZ+Q8#akk%GUu*W<U3Z>6d2n`L`H5w(bI;{%yji{d
zPRZ7@H`ki|{&8*gw>`e$w{2`nHkb3?{!%mBef!Nf?CtrttdcHY&h5+FeRKV`%AzM}
z_rII(z4PqjTeIIEzRixy`TT-!c5T6NP#E6N-2DCh9#9xAHmlaZQL^>y;l<|F-)Ddv
zX<N3ryze&1ksoK*zHb3J(ynmx_QSs7w{0vkH*fd5SF-i&<6yJjKd$&zm*4zSG&_BH
z?zfvSXZQV@UG{F*%e2{_jm@h6=gnTX-O{>v^Y?fAUS`d1PtX1K&eqKK{xg$XZ{}9#
z?YjBwPu%Ri_1{3gJ9qQV-eOQZKG}2D&a(dU-h<21Z_C7QxEU?pRT|gQTN>xmP%0PE
zQ5tt$=6XziQU1F6`ky}^iM+O%-C4!|a(Tr1^~c^ZUNVn(zCBX><k#0VR?@F)toUEo
zSjoSx*~Rg?W*6hNpC!&~KEE_t^Z6y$n$IuOBA#E?-EgiwI%zKd^Ly^+;-4R`jCg*S
zcf+~%?!q^xekZpquju*B?rDEKd0*W6f)DOLf8E^`k!OF{tn9x^ZG*5(((m(^{0mMT
zSKaTj&Oi3(Y`M5yncw%#*4tRO=Xr$vJ#L#vZ=;j`CsbD7{eAK)@5c7I&P(JI=6)AX
zp8I`z;@t1kljnX9e{8sY_TBhA|L3MpBi`RPUh`Shd(CIj>AU9r{?GOL@BQkAnEm(a
zYu0?9FY)@X`oXIIlF57j`xKn{yYx`i|CJl>)xTl?^zClQy?Wa<@Ac(hA6Gu`>!U}(
zk-w@(z4!iq@Ib$)e%2$Y>o@+c{=%zQ_}<9U{&)T*>x!=56`jg9QJ@OO;`rhH-u8!8
z^$P#+znost8eZ|o|K(nnbL$V<o%^R++jHFF;KBV%^*=hicb|Ux>&oizoT_bSe>R)_
z{&LEfo&Vk!uGfEWR&{)-s{eNNPCWCP@7Gyg|1~{YRX;aj?|#3H_u{kHe80|i{7!r|
z|J2VHf3I%-`tR29Uq7W2|Na4ufAMUzv%9k6(ccM6i>m*B?tS|CK-G*l{u|Fd<SU<W
zZ`t>a=N`r-%-wCf`RAUbzap>iynWbr$@&ZDwVk&Q`d#YxoBBT3c1`j2@EcEmuwL7F
zd-B|;6>~S+-f&%0ytR6FS83ljnb&vTyivRKdd`~!^Nr`0#wE>lwteflzIgfLyGO0k
zZ#}GATD+9`-0g?=r`yGSdhd6*s{gO(wVeky+dlnO>h~c<f8#mUbKk{Y%RHFzdgeU+
z_vb~Tmv?WjO{qQdPvrHS2M_mMdL8rNhTv<N2mk71>Sx8wKY!iT>bTjJ^~LYJ&Ocsv
zZe?k{+VR&hQQw~>%|8EG?%K{R-x_EC?TUMTN$+L4%{r5a=a<gKTE;!UFpa&__HQ}&
zYnfXg4_<nG=jKJlM~3Hrr$p4Rclj@NiNCU*EuwyXOR4uu<6o+K|HXAm*816pzSn%e
z|3_HSo_}(k2iG1|zV?0NKe^6%>VIe4JM^ns<ynQ3{o!BLDw~|2{?M%L`ug32rQI|B
zLUlxa{}G|{FQnJ(cTcL4w%oTwKKZY$(8q_D&c9S%v)}!YrPHsjd&hoNt7yesp1!Lt
zzq0<>_NkAhe<@zOuPi91`M2rb@n6*{VHKYH5B#cD;fn8zzv#c=pIqmYvP;qY(~sY0
zymnvt;k~%3+56hRe)qVt!`&XF-mQGXW7%IkuisC6aX+wXx*bUG8f(w@7xiC${qAw*
zMeQ%mYxk8W-iz$xe~}DwhPBtP3HJ{Es#cM@vwS_s{6dA}$8FBfNuImd#xm;HQ3tzw
z%4>?Jd`?~>|6$K(f!8umzeK#8T>SITVJr8_f;nHj=c&I-p1b&sy#C&EzGZ#_ujiz^
zcG-B&H7;Rpb4=@{*LNP@^h}ytY-1U@rnvWW@DZ!y7Pg^lio4m%1z*p3^uc7~In{4|
z;;&^M#l^2FZV!)m&J&&PvA($V?e5OfzJm8Y8_)56d#|^xQuaXTeeZkwxnnL|k9f}3
ze{Ry_Qoj!m<nG9w|NVXAInH;km!iLjU9&uBe8)OE&wl^%HS!;J9TIpQ^Wa0=CHFmj
z50d9{?>VIXi}l*h14nNb{b{)_sTT2^N&UIU{pbHo4p@m-Y+O8N`O()(rq^~ZeCl_}
z|0VaI{X6Gdd#^7JyIWjY{o~K8#JP(jA2a@y;ZI)o+{QBQd79eu?oz)r8-e38@`-aN
zzl>3+b;?hkJ2~><lzm66)Q$HC^vPf1-+1mM&wJfkxA)0&C+|FD`IqVSoHLJ<EbCnC
z4_c`k@9qDR{7d5X9G~||FV(;BU9+6YJMU6>#PgIs{fUpcf62U_b4FuYotu2p+{u~o
zTD6}07hSiUsrq-$z5`b3#TD_E_x^9L*(1EB*hspMd!O20q1}7@B=7t8t-m3kICpWT
z{Uf$$ZmGWV4|5*>{yzP2c$Ia;^PFF6&R5Es9noCh{EL6W<JfPzBc2!V-FRQ$yq({A
zPu?q=fWG&e?;o>TetDPAuUU_$f3aF#>^T49uKeCozx1gmKFY0Uo*wbM#7}>E-1DMy
z>jYlQobx$<dgAxT9%nyK{o0&Td+ML%HOsk2r(Sv;bM9yJ(d29AH=KLwBd@gg+(Q|g
zkTu2Ti$h{$6rKww&D||&<MZq1!b{Z=&-1>?`PI*?vH9cPk-q2Lo20p$BMau){t~L*
zKIwJ&x=D}K-)!G_?qN*Q+})9z*FAZ>|3K%ycYig`_5T&SwsVg5oDaKxF};pCcXaX7
ziuUU_vvcD0^7Bon>|3J0@!Zonwr;;vx7#1Jnr>XX=8N_(iPtfH?+Y(QhkyKc&}#bT
zgJ!j@rFzeQWLfTWpPxMUw4`lZRlD60tLc~Pn!f0P%8;UIU*u{VOZC#fxmey??!WQe
zQy!z2%vJoaW6r7ay_8;4Y`NTj(&OJ>lGhYlK34aZzvv$EyvWLbVx2>N^4!yrNplZJ
z+Js(vedp}Q%SWuzjjMM>JkRQzr+CeB*5Q~n#m0}*yGs4ie(7vHchtvneUbjpAL$kk
zOFszu@lUR6ntQv0dykb<%%hXJM@8Ss>gRpn(J!oN`f^P<HT(7Jh8+j=<UUv}=+}*7
z=lLk&zUyDg&U+HOmv;p3IUuR$H?^*%y<E`0pkmgJ1K(ag61u+Q(Byqzc>OA53%=z1
ztT?hq-e^s+XZ!hy_Z~fW5L#30x%j!)`eKjA&pS)sW&Hn{G}ri5{}HQjlbXhe=N)eP
zBCqdUc;K2eSNPT5h&>P97Hl}jnfqbVzC%{(CiR^%>x&(i>x=UL*g4(s+Rg=wr{{i?
zytZ?}$%v}C%(M2#?0NL9J>q%8uk{n+p4U~a7vcY}(!ZVW+RpjcR`1x??z#Vf)%DGl
zU!Q#x^^?<keY)Js{@~T!N36DQ);wahy!dC{#&b`%+|#~hIcIP75v%gcwevyg_`Ue+
zn6odKlU@fF{pa3z?&_BGOTW`S_Ak1=bJjQZrR%q*?>%=l=2H3|zq<)@S4Y-&e12H^
z+Va}YSx?)Su7AP3rr313{KUG7a?AaO*LKe0%MUMRe`)(>o~m4JNZ<CG^AqRpmfW=e
z(d)Gw{68&sKRo`VV*X#wYdhy$Jihe0<($7kHqXB?uPL@@_P=27^-iAi`s)7m&TIPD
zTV6YU-SFD+>zeC+?Ch76`&2Af{6<dqeZSQCk4(#td+a}SZe!B#i<@*eHcx(>vcLW7
z$8-Oe?5Snm8@s01Wv;*H`v3QCL_F`<HGkT@o8Ig8x0m*1oOpZo`vki~FXtt_H!j+9
zzK;F%oQwC;mtOxWcFl6}$!)KtAKg4y^!MEJCqEc}=dLOCn7i}Ku6xI<+OPcD^F{Kw
z{>xInmpyjN=O@i|ma2E`yS{VrU;VDqb1VL<ZagPix=-ht<s#vA;rr$EHk`hGU-jDh
z<JIvKAG^QVzTuo~={~K$bM76pD&KM`ZoT~LI~R9NdaMm{sk?jp<bBJ|Z#XCWwm$Y3
zYy5roi06G#_b2Ro_(OH?IoY|FUeCGs(|o5LDC<j}-KV;DUwzP;Vz<fp-us{YV7z9z
z_+9yu_>12+oReI;(R9l1+cK}`TnyAbe*25$HOs|+-+QgUpU)ccyl2<_$#KuStnQ0{
z-(e^HI_9F#Ynh8T`BU~D{-t@%a?wlvoprw?Udsf&cfV8(DmoYOetW6Crr71U{j|r-
zzhqv^TwF1+ZpY+3|DDzpyX?(gdVS}@z4JRt{a$drj=At``K8w~7k-u|&HXJ|DCZrW
zr+@6?x<?|rZQ@dIJp29dQ+iRY;&1LX#m>j=r^h{SN!u^*I_APdr#0K>C(PxR`WsX=
zm-*KJsS)?>8LwF`c(;D(^_}y-<sY$nUffx_ZuwX1m!*BrXKYWdT(akHu*^NbJxR6a
z{;^)OoPYNH(_dn1)^9lXbB_K}`MYuJ^4m(!rGI39$-GZ)M#9|Bmj4!i`SsPQuk>8{
zH}#jFtv`J{Z1vpu=jJc}=7L(ZpCdn&_5QTH$aQ^9|39VU;=k$+TCrdGz50vQ_s!qU
zH=g^sCH&E>$KhYBo^M`~4@%>9?e)*U?fkDA@w{f){|Rx=tJZxf<D0VmdR<RWcl2qq
zpUc<8Z^&yXeV6`2|7Gd9^bh$P_x-p1dU@Uc&@ca#_n!M&@?ZO!<-Ccdd)LZZZ7(jd
zE$sh%YQwp=Z{p*t+U*WlZNI#$@r&NyNpa81&b_exrFm`V+?TvhE9ToBv)X=n_rx!Z
zdz(x1(wm)salO8Cj`8=`k17X${jfXo>uYu2*VkVSudP47{Kn(gZzZp--~T*f|Gjk^
z>umQP`1SSek7J*{eZ4t<b@9)NW!4_+i(S~aMLcigD;N3y{$ERJUdEQmU#!%(?B85*
z@-1t`^OmUH9{U%?UwS>~g67_Htfg|=-yc`R-(<UObK}`Vv;OUsUAo&?qo=>^$vv?x
zMSAnx&1Y};l}+8A-sB$fd|H_K#C!GA_UxCww)RI&Y*Ow2bLEr2ivCWwcv$hf@Kc>e
z`LwmopJR`>_J7wu?!V!jrR;mXz4zk!O3!8PYX4$&y=24ki03ohsyk!z%#T<dFKI2!
z%iO$u&2`JApKFg;P2X}Od`+>(@xF-XeQCctOV4F&+8^<}%Z<IGv@fF|c;h+V(tNdR
zmJ7d~J!&Oh(pk!vQM&*XmnBE7o)=e5h<IMbwnzTUznj;;pZ@phqeMcj%?ufx<JE8E
z6X!C|l2@wru|HzPZnCHK3+LYcQoa{luODAzdM$I|*|efP-$bujF8Cbnxjvr%?1poU
zbMMT0>|RuV&UWLupFaGeuVc<1OiY^lSn{shoT7h<*DU8*{;vLg;&*<}^yi&#4?q2(
z_&Z!?eX-s2FGpE7?K^1oytuAKW__`3ckcG^q`&_X3!@|L6YG0R-=!BX`C|3_@~*iN
z=j&f~m!3;M`?ckcy;y|3{M*~7e_8$xkC}gtG4_)FOX;uNPah}7>*jC2x3Br@U&Fo4
zG5@<BACGw6()Uhd@99FxYnBWDf*Pvq5zkxN-t_;d&llYGvm&B=+T+@9`j&CeJM><p
zKR<mtFnO+Wl$`4E*LN;tDqOQ%xOg!r=qh?5o;Msj-c|Z8{lCS=b3ecIi@%QXf6lV;
z+((};dw%|^?D+cnq|EE<my_4T>-&HFzt8U2udg3uUSE$ly|%twJYxU8*u=lTww5d9
z^Z(XeQ|wmVKmGgay1(y}Z0qG;|7?#qZ-1!jpW(I7{u}4jw|)KN9&x_D>Fb}l0q5=~
z?eE<?{nTG;8_%k_)vxC2T(ewm+;{o&{N(o<mAmf-r~IAr*LF>Dz}w)Z*X#YmzgV%C
z?p&L{;hf{z_lJId`1@Aowak@ACNDQnKY82Owzl}M#Ophk*XZv(XDNFxbWMJIQ|Y<P
znzk?he^(^VExxsX_LpBDxi_4%{Ca*$oc%n#YnIE;-CcUUe|>N1xs|2UzWn+acj@(<
z%dE9WfA2qL^}M8r-!ksKyz-i2zt0}OW<73?cs{4?`;$K}YS$F|g;zN4KVbFzN>$Sx
z|F(HK)e-OK>z#Y2Q(LINbFb=k^Mh96r8Q=@=k1?FJYTfzxySnAfXT(?*ZDV`bL2fg
zIqvy9w&&enUpw-@z7t%&;pX=Z=WMs?Pl&s}Pjv4&+t^F5_xtl++qwKR_tT2G#Zh-=
z->#l|Xa8~W&eFcjGWm_?bba;2UeCGoLTKYTRo<kH=M+ozMPJ_u{Jk$}u5s2~|2H2C
zqd--N=H7FnvUlUJy_UK7klEtj)}ntOnU7kjZ>gUd@x19*XT<XctLfdP@0ORgMm(?4
z+i>n{j-1Ng^FPBPo>%p)fAXvH&#8^)zMhfO*}HGvgrvEzXWF<_)z&69l&(wv67cft
z*EWIIG3OPg#>Llv*l_M^iM-n0eR3BMTV20gx8uvNUq`y)o>%F;{Q9+v?RCugUxGz@
z?BvakTV3D0!|T_puXpatfeO2ui;q|>H?G_g@w~{*dE>c<JaWp{cFum6eAFuaa^;+e
z`R6xpJa;oDY3}NsJEE?=exBZ2x-RYOkF)!ZScMmVV|n@Y{$kB*J7-N+&-<plrr5N7
z<`*k><Jw6P_vag4vz+x;y|^}i()Z>6MK+#0Su#)S+Rho*E+4UCFK#S-m-@BjW$C%6
zZzPlEW=B3rs;&3iaBl0F`I>vrZS<M1dTr;_lbetJ`gM!p^_^2MEHAOw)U`%D54&}K
zs@;<Ki?8pTniOZhpKDFA_VV+SAAkLv-BX(P^u^Chub+pvmF7JyP}y5oryB7*?3n(9
zIQ#udYl^jh>rdHt{wI6H^ROuWNpbf7r+u;7UgRiWSkqgYmwKqK_K$qh-<r95BG%O(
z_$PR6=d_>ROU}P&k9Z!{ra#qg`S*?Iw#FpPO^)2_bM5t=Q#T{l6l<yLi@%OJ^+z;m
zuJg=&L2HWD+1Crbo^$fU)ug%FFZa5wDORaoFZ%k<3DIko6VJL-&E>YNpBwSqL2SMF
z>pRCkN*}R$ZdkoI;<=StzR+u#<1fx8&AlzL$8}Ay)ZLX4&y8;7i@ugQrh08>_t)D?
zu3L6Ly?xXwyzm#_#&dx(f9FR$SL3^{dCju(<L)C?;v3^TO8FkO^lz@)Cms=>&v{?>
zwR=_l0W0;3)%{;~-8*Qdez9u(7c23?#!|kd(%vst-wVFkZ9Hc=XHWc^V&2dE9i@GV
zR>H6E96HE;)M~lGp9vAqg{tJmUdtS^%%5KOKR&s(?!@1YHS;&_Z!h(G$n`qr&{Ox+
zz5C+sB+T{R@#n@ixz{m=em%Zqe&?Rd>zG4pro=rLP@6CC`p&@@lauBeOVo6|-rZJj
zZ>Jkq@K^8J&L-|XOV)2ZCpxFbtuOv!|Aup-GBsgUuRA||t&o2m<M_H*=D(fgeAa6_
z8_&l4vb%piJmNXqIXnHm=Q!uoIIbyX{H)(q%J<-hcg%hEC*NM_cYpo4&GOpL`lsPb
zu0OxcwWj$0viPZXmLB)^8D862@2a}@>}~slR^Ro1&iZ2ei}Bjd`n~JD*BAdgl;2Uh
zF8+`2#={>4ukEb+wtdNU%esTxk6LYC|6g|FxtHEq8|~~(4p=Q;|2_6)sos6A*E0K_
zRbP62XYbGNN3GJ&|66$D@y|n7`Hxto=X<U{uWlCc{LR^VmDi=~;yxyCJa<vrJZbLi
z>9N|^EGtj?AF&eG|FtsW`2*JLou%*Q{x;rtZf9|w-n*|)9_J>{Ee=nd-tXR7dM@@K
zx8=RX@=0@x#r2kae_6Yx_+6OAg7}2F#o>Q~`uJa}M?Alyx_{C>fBOSg&(Hnc`bDso
z|Mi^RQv5HTM?AmNTc>>dIH>(u{`bDudV7Akgt^7f|NB+_{qLoB&9a<(&42k7$E=>`
zeX6#M`)_}N<Mo`~2ZO5q{&xV`Q&m49?*IRpt)=JgPT0PBpNrkUo%`hVM9)Xg-%#IH
zdhS@t?T@TwmivAzzVol+uke~;i(~bZ>{9o+^p*0t|4M&Zy6(^e{YRX|f`|5ZmF6{p
z8gia5)*i7EPi!saJM`)OrQ_izZr@Ir+x*~f@#gXk=NvcuQ{8*cc1Ggd;($NVzh0?S
z*35fw^Wvi?_LtJtyV)x|-~S7*`Y-ab`i8Yz%;UDYzT{tLCY2uDxQTb2`fb*@r0*6t
z?f34>VYk@VSkW?9y6gFlL)SiTvfn>3hgs%c#GFT>^ByI!zm%SHBk4KI&0T-~Z{;|>
z`v3p;`_;{-)m|<WexI-@d3MbDryu*${wJtUo;%lf-L;~9-8bdmWqr!h6*o8hJ^8Lr
zS+&~r?8+^>Z{ELuI3?SEvUv8+Tc_X5f1CR$MSk+~-?MCreB|Dx7wy@9vi8%OyQOM7
zbBbNo-I^nJ;osG`u5bP|m)Gu?x_I_XnR_us-~WB*Guiok)}E--XC2f2|4EqK?d;>1
zm$<3gd-Ch8HoK<YwBNJ!<i7MzNxJ@groY+SXWrS}d-C(vIkI`**xhIBi8+1w_N>1j
zC#qTRJ9#zgTz>ku_U-1CTc>qf&-i;%*0=0^O3~hF?&W5`f4k4jVO2YSt*CO#O?%s8
zPcCj$<L@`Nw7d7@AE(-H^;vIP)S}gA?uj^k^<>)rHzJeOm(QAW|LBu1CX?Bh&zAY-
z`|VxUruw#%2k-juou6{&Zu{chv;TgJRGXe%S;4>ge!oxLgx#-a-n;y!|9$GF;%y+e
zoHzV^xY##t?bAm#o9k=#oxFMA$pfaz)3Yn97T%P<e>>&5(q!$T@_&ne&-nYd+jpCu
zVdb`Imv6lJu4lS4^7P~1v;N-v>*Mz>zvv6Y<Yx9+Z{pH6%?0`Q>(jJ)&v$aW?R@oa
z+o*@<#>HIxcQtO=+xcC0*8T?tweP!Y>*cQeyBfFa>)EN%h1&XZIiH>=sy*j7we<Cs
zvpMtR!$Y;|C+}qV)TifuJ9*dAr9b0;mi}E!mhjx8Yv0&iN|}8p_PpMcO=oS3%5DEG
zzP10Bce(Ak#oHfUd%Vqd%AL3I>z@8HO#A<0quO`*^i8E(Pj22i$L`*n@ADFhob_(S
zPFA1wxB1MIdy{?Ut$+IFWLo_Pk;&7aytA6UeAeI3%V);e+<juWvpRlnSIo9br+4?l
zpBjT~_-;4zS#+Ux|Gdmk6Yj*Gzxrg;S;?Kd=bhXPk}S8i+!_5}rs(D~!JX0ZHoO0>
z-dpne`1zY%(U#TgPIB(tJx}{&>g?d6a@)nvx7Kf+{;sO+{Qa(IOSzeM)XT3Ig8~JV
z{`M^ZX}oT%Q2tt9X?kwo$-BSaw7!jhyEJ<Ko(%20ryX}>tNC{3d~UcSd%M2ycX;*7
zzO8YW{;F1Po2LHcUDZ7gz1^ix-c>!*&jp3oR}uZ&UD1^`W|qq3*FT38-F!CZPVD(F
zPv6Gh-S$^_f0B0I(|_8&-{Mm@ea@P^_PWQs8*iUqu|E56)}6cW_)nzHmM$va9X)@_
z+hx1&9^Ji5cG}go|9N-je4cb??fbnDABG<dyA$<azv$-MB;W1#YW=r6U%UO}-LG=R
z>ACMF?*96(`Zg$P{_^dNt`9D{nKu2-TK@H!Ag5LJ-&t!vCuZAC_43z?zk@;~uh!w+
zHF<Zv+cJ}OfBpCyq;dN`|998sd+ObmnYjDwOZHp)Z=JsOvxM8U{=$=c?LKj9pFTUB
z_WzHvnz+1a<sZYzoBL<anUeieSj~ODiRF(eCm$~NeOG@h<^N_i_W9ALiznYbKRagq
z(~II~{yh};?c1MH^#8Ql?|4JYAFEED-n!@2y_Ij5R@aNg-|mXG{JHDoJ9D3P*NZ&g
z+40O*o1Qyw^6u4fHJib)WB>D7*qu{9xBK$#PX?7ZTW`*<x&GuuwHo_<^U9smUdzw;
zyI6kKo&OV0UhDUrxAy54!{6-JXUY6KlJb7J+V^$Fm0PFHo<H;N=lQc^{v3Mp@z9eu
z)78}X8(IF^dh%etPg#BTCf|2<V!J1tHv4|!?(swaV?pt3CwA`r_D5mcrm5*)EBea5
zx&E8`Wc7L@%m15CzKr*g`*ZclhkUha?a8yZ+Wgyn)Bb1s$)EPVe*aTHJ-Dyd{@?JX
za{2rA!zWW`*A{)R>-cvYl=r?nBZc_P(!JmEBj-=p{WW~jX|v@|@@fnBZvA@Q{N~=q
zlV79c?p}EF{{D%S*T>Y(U;C88zS(}euiweLJ7Znnoy+xjcP@9&;>h`LQ;IfiKKV{h
z?RxgkJE7ms-+sNZ%s5*td*`c}e>dOto%bfOXy5XaFXPmzt7qT2ef-JQsJw5czn}M=
zJX)vL|J~fu`oNPX%hcS>jV*WVJo&Cs?e@Bpr?<w)r+k~vKHJ9j$deypYS!CLZtj|T
zvU_XH-CJ+wSE~8*nOfSPdGf_ft-5{o9qU6NGf&*DeQZ^9^BL>T-T61%XC+tfJE{Gx
z{P@4_JF?jumswxiJ2&$E-Fr{&$@<J&|MXE`T78lF<h$0hbL3M$73p4YycsW-`{|3s
zWcTB<Y;4Xw`B5?XxB84X^D~MBX3d$G^65d!<io)}-~3E>mWxjg&)#Wy_RakHc|{k^
zD{I0hZ#MSvdzV&Jvh3u+yS`=Tjc)F1KlwCjo?BXdjsN7i)iYz(KD~J}?f(;*$)AgT
z{mvU#7VJCu@IcCYrpeXf;QD3n*?&J>)x^IWR@Q7hc~ezQedEcCOV!xFo8H_z|K!&w
zz4!Uw{N)oqy=YY9*EhEG^LZDax2eAM<hf>_ZR<=xg#f?V@6YWs=Ga|%;+R$R!(j5>
z_Stu;TTZUtdM76J+j;rSqK_JrkN2BdO3#{ObL`2BjcV-u#y9ugO8Na!P5*k)UzW}L
zYZjfneCEl;$v$#+H=q2_RQo<ZYtw&|$#a*_&aplG<Y?5oqRsW+d?u@(H?pkXcJgJh
z&$oHmMVqHRKX3fI`}u4extVkJ9(eMkRc*Jv(apMzAn)%x@}@sNYtv_=$!j-)qHgb<
zH}m%%dU8_R_gs8x(XXt@?CT9JYu28;cjU>v*WhgZHSPZ&UN!N2lgdA8lQ)~sp0nrZ
zlZ>pQ4`Gw<Zl9U6|L&8gQS0s}ew+S3{nMAZYW??8KW#9utlNI_@AnyR_FqqVE;V`W
zcW_1d`|Q7)>^}3(_?)xPDEg&1nO)w@@=wRfd+xsPYIAh!?>xC0rRTM|{txHm&Hl6I
z)SP<q;<DQ9`Gz}VP9Hu$>+iqkK4s6;qUW1eZk)DSf5zX<`m<~l%j3iMOpMu9>Gf{k
zx*7E+*XW<P`z!mz-CvSBqxb*X6nVeq=#!t}zU#Cme_lURrtWS^|DC(n9zJ=ut){3v
z`lb1qUyM7W>jig4%TM0@^``mRUk{?yc0YM{BYMK_WZR<hoKLx%?Uc%2pI0n@&Hg0s
z?+5F&TAz2<?$5uo*8jxaUwh16AAUdUP3`$7_r8Ow?Y29y|4W~|`z8M5-LJj!vy!Xr
zjX;Hq{OrG<k3D%5HTk%`q2=$DCr_5Dx!W6C{@8i)^j4d{tvBWMeah-Gi?&Yt96$5#
z!}TDO9+{`re~O;G`2NhC|M#90>GDrc+y9>()NJ~-_@@2u$tNe<&z|%D=#ww&)%gFV
z740y(`Fr=tz4bHph~LaF|N1}k+wcEIpirux{r6|R58wZ6P{H`;jnjAAibLl$OKv_*
z7uglF{;8n->I-k*e=g>X-S%T<i{ANbMXT()FTDHy!?GpMck{I4e{7t#+doPd+?8W&
zUVdk-y8o>hBm3>AUyIK-y}R-5(X?2_En>INy!#(-e)iV+kR$4G^{=<>>UVK|_wC5S
zH~Ss8&#yUb_WX~{>E2s1A2w^7=Y4M4<2?KLAD^zf&;G3}vbEk;SvKp*+*>m9^(vp6
z?LKqi-qN#QD>v0&&pZ2bQvRi9oW;9#o1XUi_Ws&4!_SG;xqSQeewXKeZrbBM+x<+!
z-OXniKZkwKDt5lN`>bX0{%@I^|L5+US9?2V@?5j@`xF2E-g+~+@6Es5btl-jRGz50
zFnin0)N9Yr?lOHcyF7cw!^(v1&)AFR=FNWor1{pIe*xd*@1(U$K5O5yQ|11mvnTsg
z&+6X3ldW=p)mh_DYj4ZMYyaMxch+A;{?=MW`D<%`u6(oKclO)YD)RT9HPyJxX1AaG
zcYE&Uuf-?bw-tJQyDjtA>D&EvXSF}Qy*=mSZvFQcp3S*mU3uK>`;4#U%gxT8D9<ZC
z({p(7t(?#QtDDWlpDey@^P~CBHN)I(|1^HyF!MWkeCy5hY4<<o=ABS4$^Kzqq+XU?
zViz#G{fw2x%Cm~ae13VG&+^O<zO_eX{;jn1?e8`p-t_L$znPQ$vx~Rveq+D)tm)4C
z&w6g!m*;LiK4+8ht(b}LuRT-zeC}M%=H1(4&fmRlqdx!Yvnl^K*Y%rOpIO~;D`wLB
zo6n9+On!egt=|4*`u0j!yXDd6|MS{!kFIZ6W4Zq9(Z)&V^FLphYLl_~^m(@U3(qWn
z9x_{g#$|W;?77Y<e_eC)PJGWSuH(L0UpV`3wAa2RXH6~NT{GDI`jVOYnW;PTXV?Dg
zfAaTM%;fj?pY=p0@n>u<pAqw%`F6}i{p`;(elGEx{rGU|*{8YN`X|)5&gTBqe9I;w
z?&Z|nZ9gL4vTrZ+s`Hqwoc7CR%USKBThBN*cgbCQW>?%Pckh|%Pr+O{<Ng<`%-YY0
zRi(@pf8xHi@<`7D_wAMs{@3%}zB57o^0PVrmw&%u_PcS9Zhv;M@4j7UZ(iK=|6}gA
zzKMTY%)+0jZ>y|W^XB}mH*)GfJ#*(xo`2`rmx`PJr<hr*d(>sk_WpGF)|@#Szvth3
z7N_x3GI!p@&t}KfPyS2ab~BCd_2yeKljq-k#`!sX{^e&aH&>s}-F*Je)#qC)UF+&*
zdp}#>cWaJu_E*+ixi3$*#ob7oF1cDi>vPkeM`r!!CYb7H79Y5CYWlXFiu>1`wftOo
zEce@cz1Q*gp3VBxWtM)Xq9%3r-s%&x!nf{J+P~}UVUKV7mz{lQtGa*NS?*6u&MvM#
z`S1ExON;1FuW#R(aR0)yKQcG}A2a*?+^a5lcJ`;)w{4!LU%G$k*_WC~<J`^06aHK?
zoBm|`R?Eb+L$`0o#A;;UyZG$o(MkW;pIz*&vVZg0Qk|c%x#zw-uf6@|<K{i@^NNe@
zBR|hGJ1>0leQt5p&L`Qo-oz;Wtj*Os`9A;i3&&0WnRDghG%K$?`*3iQ{f%eO7EZFi
z^2}!HpND4a%Rs#Z`ON<!*7--*&UurxY4>Vy+vUic_wUm-T{Q;vUhbZ)%jci`yxQwu
z#%|4TMwNTEpZvK`ZF%3x=D$9C->3iCSSBpHVcY*@YQN2mcb56ilglZp(w=<yvF|rO
zgPqs^Cf|tLRUEhWsoI-wr~Xxb=U3ioar4PXO||s9_C0Tx?w+^gcl*Y@C6-pX+IdeO
zluW++PX5@pOKUgou9vv&J-r&N==8a}+a86LouBgOW0|p~chSwfXA__JZ<);*A6$OC
zZ&&?=Ti(;#pXVQ4YxDY_V21wuod0Kb*R$L@z5JH_)2Y#g*}mTdCQp8MaNpjN>yOL$
zU%h>L`ELB(=JM*FKEIb&oeH}X6<o$Y;qB6B`?qomw?PeN{t0iNZhkx8^E<=MxLtoM
z!PFd(ny1BY=dY=5$kG4&&Hs+Az_#h<x7S~Ko_lod*XDPP8}^nQZ@bH!SpC!KxB4qN
zj@zfjZ`&7sceoL^%k(cux@P5H<9Bj@Zk?Wf+y3x7$8~#ami`qk<Ij1!H2VH)xih!C
zr-x_nta^Se@Ac}p%POZoS#?*3`(*rUv%Aa=)jz9#FTZZfuw{DwmijyI7#HlV+5Go$
zIX}bOr_pccZ>VO-(7&Je|HxhToqKcU7JqM4U4B>EU9Kcw#`4WQg-zG@IzEXkZ+`pK
z`mOx`>gdAPR;%C7mWj#U^jmoH+xfHh#GL+Gn)d(8bG81u#G*gmlmEuge)H#8%Iocu
zUYA||J@=;kpQ|a~^VQP-8(99De)3(tO8xe`KmJd>vv=jj(!IJR`B~eVpS=4!^Twq5
z3u|wld^7)TQc<z+<juA|e&0-P-n$KIe0(?jeY)0no|RhvH{;43`%ivkRQsNvwW;2C
z^4!_8b7V6<CFzE*yJ>HI;>n|HYW=p8H#__K@fq*5bNa-8`}@^T9w+Uyic6<N8gu*1
zlgTZr>pA&yqZ)sov8Bzul=m!?7f<%#^E2CNbM{H#{U>i^CNKW%vrgY+=br5+FL(RM
z-97%~;Ze2Y(`Vf2_x+}CdUNOclbe6fwAs1;<WFWFKlzML4~!-sK9cgjXmWM<j6G4O
zpN6LW|1wdnUoQ32hl^^*ohSe9H@sQD=H#`jYR_k$Y*wEwV|O&={Ytg(^U^+L>6-hS
z|9*bnpz@E;<jv_b_f)MsdG@r=ynbK3xV%q4MAg#Qn^;y(J$Z4pFJD~prr%MM-*$o;
z>HE*U(T~sn^o3Wg|6azY558*0^UZet7n}Td<;io=zT57lfdVAo{CE0$gUUnMmUok*
z&ShK1`rS{x##5oY{Pz9o^*8rNojz-t_Ww_<n)rM3%0G;gH_tqIlHIq@E~V&0;^epe
zvuw0{<?0SS`7v4TyM1cW`(m~A*FOFEoL2vlb@K5&Zl4~1dpq~jFZau7)32+q%Ps!G
z8+re4@ben)qVMOU|KFapyZ#>A{fU1zo9(_o_0C@Yx9?|y(#iMK`tRox|0-`@_GjbC
z%g3Hv+^WW3pH%cGc=B(X^80t!{Wsoh|G4sPUi~NW&Gx@mpFDWqrz~9UcD?z|@A{LU
zXIG}g?%$jC({9oE;tTRG%VO@onZNewl;;a}o64PcEZ-S<I(c66yKnC1X4jM7Clu|R
z)^5XockTB|zFnvP$4}4HxGwnd&zjOrI})Tn&&hcoa&!M*E1SOi`|3{>AC)`L>whyZ
ze*0a)`;&ct+MRt?y?w&_=WI3o(?8e7AO7CTcw6wkvENU-qLbfe)^3~pgDu+J^1<&*
zQ{IOjulfJ#vu*CV?>l+#v$N*}?{lB`q4smv`_;<Zg7-b&c7yN7y*&?pe`URYzCGu7
z&HDXMb~f1E<~%RFrb13J$@-}!Tld?B=Sp1j=0|+^(|X$M(azpE&#k@YF-Lxs={#@t
z@aJUC^D9Gao<G`n)~Ry;Rh#(7o6lD2Eq}OkUc=jt=Z#`E{vw-XKg(R2^nAvz9Ce%j
zTdchD)RU~A?$idEyQ9ncl-2Cq8upJp&(Bol2+xbwu6-%H?d8NrmgjHp%xQN&@$Xf^
z&8nQow>yrvpDe3fk@Gu8eO|@U&;53{JD#hl+r;mD^z%%|+b;r^>ntO-G2XuD_^4I;
z_Jp?|95$Z+yzb|}M@9BG*U40E`?>E?n*2?+9QW#O@#>RiDc1Lb_uO&bR=aKT-J^WB
zFXlaZrnYJBTdmt!;x}*Q9G-i0wQTC_Tbt&7+ViXH`Q6}c#q;Ku-{h-&x9`!;+Wy>Q
zpZ}Sz=XS~Yy(cZ;lU37Uv!`F%EZ4j5$Z6jb7Wgr$?YP<RPMdpIe_3(dUXy6Qe&LUt
z;<)(CiodD}){nN%WL<x^CFl5_b(<fQ&Y$ph#`TtId(y6?TR;4|Wa__plG}pgx;Ne6
zt2n#r$F4cc&ze2^x=wO^qv{^LXCI?(wp>3i_WVTQy_cKL`b}PI=l9<}thMYa01+(*
AGXMYp

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rlx b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rlx
new file mode 100644
index 0000000..90a69e5
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rlx
@@ -0,0 +1,12 @@
+
+{ 
+    crc :  16804384305805465068  , 
+    ccp_crc :  0  , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot TopModule_tb_behav xil_defaultlib.TopModule_tb xil_defaultlib.glbl" , 
+    buildDate : "Oct 14 2022" , 
+    buildTime : "05:07:14" , 
+    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/TopModule_tb_behav/xsimk\"   \"xsim.dir/TopModule_tb_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/TopModule_tb_behav/obj/xsim_1.lnx64.o\" -L\"/opt/Xilinx/Vivado/2022.2/lib/lnx64.o\" -lrdi_simulator_kernel   -lrdi_simbridge_kernel" , 
+    aggregate_nets : 
+    [ 
+    ] 
+} 
\ No newline at end of file
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rtti b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.rtti
new file mode 100644
index 0000000000000000000000000000000000000000..bb491e0b603edaba4314a70e9c9d7686d56dff33
GIT binary patch
literal 682
zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=U|^7Y`!|`3fq@~4iGe|jfq{XUfnh;T
zetKpy11kdrFfuSOurM$%7%&(zL@`8w1(g{XK=KQUlM{0ii{dl$N<dr&Mh1q4|NsBj
zgS3DQ7hqsm01{+iW>^5$2a<qcumA%C!@vLk|IhvZ|GyX1_TUhgcpravPiF=u1_m%@
zW?*38WME)W4^?MSk5Fe&H&AC#H&ka(k5Xq)4_9YU_fcn1_fTh0*Hs7G=8a@hC}l<|
zKt1Z`7!n%f=mT~R$l)+wIx;XYfP4vebbOerbBKQsSRo_B0&vKId<+Ur5Dn4{!Z2Uw
zGcYjlGB7L%@$_|N07V1`0|P@^F-Qp*7ZiiN1Y+kEGrRx=1p@;^X)%L?6F*3hA-9-;
z;nXZ^5W6@vnSnuI>6I4@3=FxMc?=8()?b@w#=wwK#K6E{7^ruOhXLjvPrndXcUOor
z8IWR49~yK{{{B9$j(%WofT989d=TyC=o9S95E2yX3U-zT$XW&lh9D?i3Z<7p=?_ra
V8tV0EC_M*CbA!xeU|`UO(g3XcYjXeq

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.svtype b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.svtype
new file mode 100644
index 0000000000000000000000000000000000000000..7c62b4eaf2065362ca4b433deed275cf688ee99e
GIT binary patch
literal 47
jcmY#pfB;4Y1_luR|NsAg5Y52I!oa}53=-vmr~s1yeZ>Sg

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.type b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.type
new file mode 100644
index 0000000000000000000000000000000000000000..94b06af20bc0275b77d6c304ce9e8e8eb6aa0808
GIT binary patch
literal 18488
zcmdnOz`(#^$-r=ofq~(MH3Nf%2LnS|Voq@?LrGC-Dgy%>0|Nsy11ex-U|?WkU|;~z
zJWwf+IuQRq6sQ}hGpHM?GjI^l50VEN!2&f8s{ManX%0hieg;EvNd-eH2<MkDq~;Ye
zBqnDwB&FssBo#AclrZF^F_e`sq@^(=7cms)GZbesq~xSBq$C?Mq$C?Lq$C?Nq$Ha#
z<RxY^6j$akq?RNxBq!!Eq~<admnJc!7AG^L6&En17Z)%T6&Em+78fw6E2uN5E2=Z7
zE2%T6E2}f8tEe-mtEw}otEn@ntE)4pYp64*YpOG-YpFA+YpXM;>!>rR>#8%T>!~xS
z>#H-sysd7e&Y*6r&Y*6h&Y*6p&Y*6l&Y*6t&Y*6g&Y*6o&Y*6k&Y*6s&Y*6i&Y*6q
z&Y*6m&Y*6u&Y<p~&Y<q7&Y<q3&Y<qB&Y<q1&Y<q9&Y<q5&Y<qD&Y<q0&Y<q8&Y<q4
z&Y<qC&Y<q2&Y<qA&Y<q6&Y<qE&Y&Kk&Y&Ks&Y&Ko&Y&Kw&Y&Km&Y&Ku&Y&Kq&Y&Ky
z&Y&Kl&Y&Kt&Y&Kp&Y&Kx&Y&Kn&Y&Kv&Y&Kr&Y&Kz&Y+&4&Y+&C&Y+&8&Y+&G&Y+&6
z&Y+&E&Y+&A&Y+&I&Y+&5&Y+&D&Y+&9&Y+&H&Y+&7&Y+&F&Y+&B&Y+&J&Y)hP&Y)hX
z&Y)hT&Y)hb&Y)hR&Y)hZ&Y)hV&Y)hd&Y)hQ&Y)hY&Y)hU&Y)hc&Y)hS&Y)ha&Y)hW
z&XAIt!;oxfWC0>98Ilc+4M2n;h%f>X#vsB3M3{mIGZ0}8B0xHfK{`x8I!r)1Oh7tJ
zKsro7I!r)1Oh7tJKsro7I!r)1OhGzKK{`x9I!r-2OhGzKK{`x9I!r-2OhGzKEg94o
zs57W9RA*3Mq|TteSe-$Ai8_P&QgsIPW$Fy-%hegwSEw_nuT*DHU!~5VzFM6@eT_PU
z`dW1c^>ykD>g&}R)HkRzsBctfP~W7^puSn1L4AulgZfr=2K8;~4C>p}8Ps>EGpO%W
zXHegz&Y-?qok4w%I)nONbq4i)>I~}p)fv<es57V^RA*2>q|TszSe-%rh&qG%QFR9O
zW9kg*$JH6sPpC7fpHyd1Kc&u~ep;PD{fs(;`dM`b^>gYB>gUxN)Gw$rs9#iPP`{+k
zpnh4MLH&w4gZfo<2K8&|4C>d_8Psp6GpOHGXHdVT&Y*r<ok9JMI)nOMbq4i&>I~}l
z)fv<us57WPRA*3sq|TuJSe-%ri8_P&Q*{RQXX*^<&(#^!U#K&vzf@;Xf2Gc#{#u<u
z{f#<<`df7d^>^wF>hIMV)IX>*sDD&vQ2(UPp#E8%LH&z5gZfu>2K8_14C>$28PtEM
zGpPSmXHfs8&Y=EVok9JNI)nOObq4i+>I~}t)fpJLu#_kNK?MjSq&$)U6(kG{3?eKL
zz5olPtm0vTlw%w$3=AM8EG!HRtRQ_XkTUKMGXn!V0|UbkW(IJX^_7`{fs=uO;S)2&
z&iBj=4BQM13~!hj7(iv-OJ)WJUIqq+XUq%?d<+Z>kC_=5_!$@&9xyX72rw`(++k*5
z5CoN}%nS@d3=9lcm>C#^85kHYFf%ZSFfcHjVP;?uWnf@9!OXxQ#=yXEgqeXsoPmMi
z05b!F1Oo%Z9%cpxNd^Xnoy-gjQVa|XTbUUcq!}0(HZn6X$S^Q4tYv0kkY!+CSjo)5
zAjiPKu#}mBL7stuVIeaEg8~Bs!(3(t21N!2hMCL^3`z_P3{#mI7?c?p7$!0^FsLvv
zF!VArFsL#xFmy6AFsLywFtjo=FsL&yFf=kVFlaC^Fw`<LFlaI`FjO)#FlaF_FqASg
zFlaL{FcdN~Fz7HaFyt~bFz7NcFk~__Fz7KbFr+dwFz7QdFeEZFFc>f}FvK!5Fc>m0
zFhnvlFc>i~FoZHQFc>p1Fa$C)FqkkfF!(YvFqkqhFnBUEFqkngFt{=^FqktiFgP+Z
zFjz1!FxWCPFjz7$Fjz7(Fjz4#FqkqkFjzA%Fc>m3FxW6KFz7NfFxWCMFlaI}FxW9L
zFsL#!FxWFNFeoxJFgP$UFvv19FgP+WFi0{pFgP(VFo-fUFgP<XFbFa;Ft{)<Fz_-n
zFt{=>FmN(6Ft{-=Ft9Q+Ft~&2AZ7*z4+aK?zf24ao(v2OKbaU9ycif5zA`Z|cr!3C
zd}Lx^@L^zJc+14V;LE_k@REsv!H<D~;VBaXgFgcU!$T$ph5!ZzhPzA*41o*`3^$n=
z7=joW7_KrgFa$F&FkEC}U<hGgU^vUfz!1v7z;Kd@fgy~6f#E0<14B3i1H(Zk28IX*
z28O*%3=EN=_-A5Zhyukw69YpuDE^rk7-B&2&&0qG%fP^}l8J#Kj)8$;DH8)jJShH|
z7#I>j@z2D-kO+!@CI*HiQ2aA7FeHQGpNWAX1r+~G3=FBD_-A5ZNCU+`69Yp!DE^rk
z7&1Wd&&0rx35tIv28Jw9{4+5yWP{?LiGd*p6#q;N47s5AXJTN;1I0fR14BM2{+SpU
z3PADC#K2Gpihm{sh9Xe>Gchm}gW{iwfuRHx|4a-FrJ(p{Vqho(#Xl1RLpdn^nHU%<
zK=IGSz)%T_e<lWoDp340F)&nv;-86up#~KHObiUQp!jEEV5kGdKNAB(Jt+Q}7#JED
z7#L)j7#JEE7#PHv7#Nxu7#M_@7#NyC@y^7+&;n}nFflN+g31ym28K2U28Mr(3=Hj{
z_-15a=wM)A_`=A*(8<8S@Sc%@p^Jfm;T0nTLpLa%85tOQK>3`JfuWayf#EhI14ADJ
z1H&~&28Mo6{4z2yOaSF?Mh1q73=9m%85tNRF)%P3Vq{>L42oAq28JmN3=BIM85pKA
zFfeRpWMG)az`(GMk%3`4C_Wh(7-ldqFf3tYV3^6kz%ZYYfngQ{1H&vv28P+7cw}T?
zn8U!pFoBVQVJ-s$LpLJ>!#oBChBih9hWViQV`N}h0E$0G28M;8_+w;XSOkhcMh1q(
zp!j2CU|0f*KSl<IrJ(p@WMEhZia$mMhUK96V`N}h0g69H28NZO_+w;XSOtndMh1q}
zp!j2CU|0i+KSl<IwV?Q8WMEhaia$mMhV`KMV`O010E$0G28NBG_+w;X*aV6{Mh1q>
zp!j2CU;wo_br~5Lwu0i1k%3_wDE=537`B7rkCB042PpqCGBE4}<zGeyhFzfi%gDg6
z8<c+;85s6};*pVoVJ|5EGBPmi1La>v28R8h{L9F|Z~&BlL3s%jpP;Y@<zEH{hQpxz
z3o2_t`4^PuK>3#e()N7{iYrk51(nI5{0qvPp#00gz;Ftbe;F7UPJ`kX6t|%K3&kfH
z7#Pk$%{mXY^8zTIp=v>XxCF|-3=9mHLHQR{7l86F)J#wqUjyY|1_p-fp!^G}GeG$l
zYBwki+yccrD6N6=FQ{$-<zJ{9L22|JDE~4rFx&^lKPYX2;vec4P#$^&ihocY1&V(L
z28Jh~_y?tBQ2c}HFi`w6Ffcp^#XkcB!wXRSL&FAC2E78sKLZ29Yf$`y>OfHZL&FeM
zM!o~ZKPaz&;-7(m;R7iCp<xXw!#{!IpMinlGbsK+c?*<(p>YDLW4?jnpMinlJ1G7^
zbucLYp>YSQ1Al?ypMinlHz@uY7#RM5;vX8vpgQ^=DE=837(nfS|4@*ZUy{mDo>-KZ
znU~IxT2z!@#E_PlnNwN>D)gZ4K=0Rp_@K4{s2>BWLqW|0P@N0ngX(Tjp9fUugVaH-
z`CpWpm=d3xpOVT@UX)pq3SlM|6r|=sg+YceK<Yk_J}4JVfy@Jmfy@WhxgbGMT@K>I
z?1R~pUyzy?pP$W8T#{H)S{x7YQC?zhDwGLw0+b0d23)s-%m#Cy1c(pwAE=H734`nf
z*$46`$UUI83rHQzUQqmj_#ibP^$ZLQ4gdfD2Nkv8{vW7L2FZgkNM7f4OQgN$yZ=xc
ztd4=<gEL6~-+Bgyv|@&WVurk8hSFk&++v2})MSR-%shq+P#po%530jKW`W!S;)C=-
z?F2cg9z=jJR2<ya0r5d<K^W8~0`Xz;ptci;{{mzvs6GItlmGw!J2-(_Yml}WNS@);
zERa{gZ8k7pVCfZ5J^;lxn15jXwTWhsHX)eLU>K-(iiZKjM#iAF0LUs>zZT>sWDHdc
z4Ht$6m^(pj09^W@;SE=dY#yksfK4CBT#!Bx2C<R#fY_im1V|q!J%IQy3{nfiAblVV
zVuR#BZ46xMLGmEApm>6*2emOsQ4h+Nq^JkASx8Y2YTJ;a9@KURg$-z21LQv#hJ_n?
z{DS-q3Ih-Zu|aKqkbY2~0;U&47iFe{#w}9QK#d><NS^?t4kQP|ApM~9528W&5hM=k
z_kj4&^z$FohXCnAcLzu<$PLJG0TP2@@L&LF5CA$p10Dzf4Ge$=5<r6sx}aVFq%98a
zAHg(2X;66!atEl~011Km5+FXPyac%!)HeZzGpG*(av#W@Aag+e0EH!}?*s}Hkh?(`
z<{wZx2B`z-0htTKAhSVibU%UGvLN*!GeGj_80JoNaZow{=_A%2P#YJd9-BSLZUU8G
zxYU9C016WjjqV;$n;4fqkXn#CvFQUPA6)uC>T&4<wYhQW1K9_X1L+5`kuj*x28utB
zxya(kYLUYn)VHICdQh5z*@tXCNDP_3h6&P-C)RyyNmRd%1ogz^F-Qf@z%U;={s8h1
z41@dy%kQARHb@+l-#}pv%5R`B0p&MPngZoFke@;M4HS2v{00hlP<{jDGf;j5rCksP
znG4Hr3!wIb^n%QXVUQg#Hb^~;zmR}>P}>XCAb_b~M5207pBv<7T<$^6YasW5+MT4R
z2i40U^`LM7=|{%MW`p|h#Hh>Shl~f|axchy<nY6#9u$`#wIGbF9-R*w+X2NXF8h%E
z0MZLeAEc-UjTM2^V+$WpoPyj5qCsXO<9&>f@h)8M0;xsz*AWubmlPQoGL#e<8ZeX;
z8B{Tp6jgz_U=~=cf}y0S0xSk*fy64nvK8R598et$vLA*)<9DF^0P=?oR1h@g1mc6n
zs6c)MjcI}M6)3-f`~(^^1LZ4FyBw6SK>ZO=z5?YlP*{Olks$wp(hLZL)Pn4R<ulOO
z5y%}NwNNX;6v$3c9Sv27(XIuJHG%Yj+yK@9C7}91Sq(l11Cj!bMd4Eqau>+`F#Vu(
zj!!+v53sNTnGYJn!lxcR{ei~1u&D=yF~~f0^&oeF<Ulk?9yCTqihgwefyUNI(U0z5
zP`wP&k0ai|VGoKsP(6%I9mp>re}K{wNFAu&#ikA<4^jt;XOKG3SQs{Spfm?k2XYTc
z9jIRqQU}rp5{F?}_<{JKbP3~w#?bJo2dM*@1)@QEL1S(B)PvN3!W^a^G^U0}JxZSu
zq!%>y22u}7lOR3}gT~@O;vl!c#6UFE9t?9pV|F0*=;naN@UV%4#_~YoAh(0e1;smv
z#)YBw!lgms59csI%06U1sNaCh2i42Sd{DWJ%m<Y-$b3*c7?}_92QnWtHU{E@#sZQ3
zfgWBUHOQEdyJ7Ck&r2;!%`0KZ&r3-yNlh+cD9bEK%*o74Eh@=O%z^MqiW2jR3-XIV
zX&*F(2yzFqU7$1#at|o%(8n1-^%y8`fWir+4wRNb7&OKRG6xnfApOW@qO%G42V_1-
z4`>V$WG=dSAh&_!(aRywm?TI&%pD*%g4E%{xZD96v!uivSbTuSG$}C$G?xN$J7|6%
zWDc?6a2zyd&H$M!1L=ohT;X<t1ohyFa?r#xXtEkKaSfU*22C)7CXYcA$)L$=(1bT=
zQW`XI44ME3O^Smi!$A}8$o*H)<U44x95kUFtPUQl1^E-^UJxw?9rppb9n^mZiGlJN
zh!3h~K<N=QX9J3B(A*9vj6m&CP#OTu6@khY(3}#e3<k9uKyeNVS5Wy1>KB3PeNZ|F
zl_j9|I;h?R<!jK`5vaWmnjZw^dr(^e)LsX*vq13+N~5551jxN0G0>PFNH0hXWCv(|
z5abq+`$2gGgh6AsAoU=5kUx+y$b67FAb%l?gV=fbd8rJ=hD8j;hG`7NMnw$8MxY@S
z@K`O#Jdhd~2H6SnJIEZ6J3-<gw}JQ|J3!$D@+&C3Kw$z>4{{p_gT{J6=7IEs^ucHl
z8yiOV2gv=%>R|T6#6aN)Vi%{Dloljsq$X!GWaQ_hKv+eo$@yieMU^mKZhl!}4un%s
znp2!wo(WZ0kXn?P4`qW)gUl&{{0QMeNRZn>aS!r0D1CrLL34&6K4`EI6b`WVBFJ5!
zaDe$86c!*18qWlo3o;9&A7mbg#)Uy<Bg>H+KA`jqvKQngWb=^C2c=&aAJm`1rXJ)+
zkbaOlP<(@E5C)kG!XP$C9@L&BRzFBRC>?>~nvi~&JhAFQZUmVR(g$LLXl#6R^FZU3
z*xV1(4>AKeyl|<9g%`SdWPWB|K}KS6Dnov0353A_nR^7;4^juiAiF{4foM>f01^k4
z4<J4$ZGmuVQBhfPNe*O^BQL)ou_OaD-I14?j>H75tO03;&M%?c0}3ZtTpB>tfz||o
z>;aWmAUA-@Dv&ymogfUFBLtZP(g)Q7ra*c?43Juo9E=Z|!w2~RTY3hSbD(?yQw!1$
z!=QYIO&>@eR4#(nOkmSz3t9t0Lj8qHJ;?7MyU^PS=zLH<2e}jEXOMarhPjPc^~Bl-
zT5|ykH)8C|O)V}?OiwMyDJ`yGfO7Klaw-`rz+_&2dQN6ZGHBKxvQ`6RF3kNP8aXaO
z^&3bG)Q$uB4U`5zZU)tLAa$U$0m2w#V4(UQv_KA2uY>A$P<;=o_dyH7!qmZQI?(L}
z)iJR24ojCH`$6`C>Q|7xp!yYLFRc6prB_f{3#vCjX$ll4pgIav)_~F;$UIO!2C+f)
zHYof+_JG_B!k}^x<PMNH$e%C_vJ=Eck4I2H9iMuT*&sV%`a$grYN!YK9poN#^U?XB
zaRF@hQK}#2A7bqT#St;?MK=#LZimhN)HaXU@WACBT;YLBJuH2p`<GNcs9l0Bd|>VY
zg*hm_!}z$=!~Bn~9-R+b(?p7Wpm89OdQhGOnFaGVh>Z<{@+Go3DBmLULG=)b4_Xri
zG8f%Em_O0;DQNx@q#ouD7!5KD8z$rqQ2Bza4^;ku_@MbEkUhk@3$&IBq#oTqm_I=A
z0xFk4^HA8-f#gB<g7O1M9cV2UHgzC*kUEgNK<YqiwXmrJm6afMAoqaOf!1(gQ-|(8
z(EJxRb?EK`jjLf(hweVm*a9|n=<WlJmtj+f?mp1kGHmM5-3MBGhD{y1`#|Gw*wmrB
z4>XR3O&z-XKx^8tsY7=kXw4fob?EK`t%<{?4&8mAHE|$ypmYI>cNm7b55z|<KX9ps
zxf5MIIv+HyfX_bkHXUfq6)yFlvH>Iqs^?+u1+BpXiDB~(HuYDaYm2a{2e}>HJ$Lb_
z$7cRxDyfHsFUX&uxCYVK>;tXE!sUN#{$Xc?tV2fkKQ{YF(GPMTDe6If0;vb-1F=Cg
zHa>cMxZrR<Oh3pB<n-%Dg8I~=qP)~}Q0FPNs3<e9ptv#@)VInnErGI%OA?_hL?5gq
zF{wN=r8qYSq!hx*DFQD+D@jZ$N-ajn6r~n}WI!%tV3-4S7la2PL1i$^?Vx%BBnn!~
z3-TLi{0bCDp!NkQyg=hrpg08evp{tlXj}r6FF^GYD9?l1=^*n!WjYA2fZ7Mr12Pwe
zL1u&4Ahj^NL443S7bxsOW`p=3yFoNA3^NzJJ}xmHvN$e@0nAN;aucCz<`B}5wcj9n
zkj(|z1@a@vZLod-XucA}2aUIY<UxJ{VbJ&-NI%Sem^mP|(D^p-+AAm@yoQ__>OpS6
zVm`)v1!%20Hv1^m5A_ex_JP8a2=`)`2kPfyb3e7sBQ`v6xd&Hx;8G7wFX;XymCqmo
z-3J0S50?DE^M&Aq2MToP8br_-6i5N690#Rc5F4})10;^FALJjH7$^^d)Tb5a#%F>y
zynz_yiJ71_(?J#n@IDlfSuhOJ3kpvV4eAer#6kTG5Fca?2!r-+fYwTa@;yi%hCyl+
zKzmA<A^T}y;xHPNA93m9Wny3eVUQUx^)MQ=Hw2qLko<p800>~W4>axp(gz9ykXl%n
zfY{hDx;(o7Kz(zNK2SLc+BdO*g@NG*4+BF6F9X93UIqpQJ_ZH{J_d#fd<+Z${0s~o
z{0s~S_!$^}@G~%I2rw{22rw{o2rw}05MW?<A;7>OA;`dBAjrTFA;`ecAjrV5Ly&<%
zLWqH(Lx_Q4hY$n92O$Oq1z`pT4`Bv|24Mz<9l{I@AA}hgG(;E}B19M%Iz$*4PKYot
z{19Pa&=6%{Xb@#!_#w)`pdrS<5Fy6E&>_aautSW2;e{9jgMc^#gM~N)Lxwm5!wPW*
zh8yAx3>*>+3=R?u3<VMl3^ybg7!o8I7-mQ^Fr1KNVE7@)z@Q<;zz`wDz%WCKf#HS}
z1A~M#1A~J!14D*11H%Mq28JEd3=A)%85ksF7#KWc7#J#K7#JqVFfbgDVPLo+!@%%C
zhJj&+ECYjs90Nm#90S7xIR*v+c?O0Ec?O0B@(c_w<QW(w6c`vh6c`vTC@?T=02S0q
z3=9EE3=AB~3=9d%3=B7v85lTJ7#KWM7#KQK7#Mb_FfeeaGB8-EGB9+gGBA7qvDFwD
zGSnCt7N{{W+)!g+;815^@K9%9s8DBM*rCqAAfUm((4oP=AfU;>;GoICP@u`cut1Z6
z;ejRtgMt<VLx2_o!vZY^h7Vc{3<lZ^3<=r{3<tCs7(Qq-Fc|1CFeK<OFznD_V0fX!
zz!0Fzz|f$}z_3D>f#HHK1H%tp1_lK^1_lp328IGX28J1W3=9YK7#LpYF)#?|GcZ`_
zGcY9RGca`MGcat>XJEJi$~*=P3<d@a3<U-Z3=0ex7%qS^h9LukfguA!f*}LL1VaXf
z3!p@A#K7QS#K2Hs#K3UCh=JjQ5d%YqF$2R7V+IBd69$F}CJYQ0K$Wd21A~Dn14Dr+
z1H%SW28IV9z8M2UgBb(E0W$`M4`vJu0p<)06U-SHE|@bga9A)fI9M<+R9G-DY_MQp
zNC4#_&^{5+<P!q}!we`Nl%7H9{Q?@F15^kxFff49KS<sJ$_M2M5I+OT2jvqGe+G;X
zHU9*Z56UYb`5#a|DBpni8qkhADBpni4ru%gC?Aw}K=LP`e3*M@fGT<hNL~WVqw&F;
z>p=TzpyCFg1`lX20+b&C<%9AfNdE*h{s}Zbc#|HIeHPGy6Q(}{jXwj8e*?+~<#UjI
z9H2%ysO*Q@V*%xZ@;_J}RH-6|Ka>x*56TCX2O#w`pnRD82{ir>C?8Z_fYd912FgL<
z0~Pmx@?r5=fyUnf<%7yBkp35Fd<p0P2rPaApnRBn8lZfb`30bbQ%LD?1seYb8lMAH
z$Rnw@fbv1*8OS{uP(Cbutw7_yK;vtGHaH-qhX6Ew1sZ<=8vg{85A)v-G`<FC;29~s
zL_qm4_jN$|pfVNY{smAzEPO6N`Jl2EB>w`+2h|lIJ_l%H0|R7lCx|Zr<-^jK29yu8
z&jQManePGR!`vSM<-^oxK>0BB6;M8?%m>-m0p)}00}y`(ln<&GK>QVG{0mS%%zY1_
ze3*S7(D>j@tVsS_09tT|6#pC0_y?eTn13#y@jpQMpgIcVJ`K=>1(JCdP(Ca@c|iFv
z{}({{F!we<`JlQKWZncQAEtf>ln+yX0?G%~yCC&9pnRD6A5cE1?gYteKqWx+D2N{c
z<%8-}5dQ$E9tG_mhKgr^HuZuepmYV4hS}eN#-D-4UxCK204;Px3jYo$A5>?9teFAj
z!^~fS#@~U)KLO>#;{OGd5364!Kod7e<y{1n5A`0y3^e`;G`<9A^D>fqJ<$1}2~5yl
zGH3&@0?G%qNkIPDfX06T<%8NBAbAPMHVAMV1jLVk@<Htp5WfSBe*(&fg^vPgV;cjc
zjRI01fW~h?<8MIYe?a3KfHq+xnU{dZ-+;ykZ`MXq?*Q7ojl?fN<6l7IgEx62sdoTP
zydm)`pnRBrJJ9$upnO>VT!F?v0p)|*VW98<Zze~|p8}u(3?#k+8s7ko?|{Znfbv1@
zJCOMkpnOnU55(Vr#(#ju=Kysy7$9v!ka`0sAJp~)@gvaq4QTuoX#5K>KGfeo(D(|V
zHYBKihRS=O@e81QP<t0-{|q$#0W|&#G`;|+J&II6IiT?ypnO>V+<?Y^0OiBtQvlSK
zMK%x0hvnY_H2wlK{sT0=0B8X=Qhp9V<2Ru3FF^UAz7r@sz=sPUl_wmaHZ)THcYyL?
z?hAnNq3zcPP(G}^BLLdK0*Vi4`_Tc7-+;zHfX4rT#&-Z6a)4ys1T_8yG(Pw+1SItd
zpoQv4`~^@xEdNP>7Nmi~59*%)G=2vf{{)l|>NkMG?*^0)>O+9|FQ9x-e*(n+0p-KO
F2LJ{UBuD@N

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.xdbg b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsim.xdbg
new file mode 100644
index 0000000000000000000000000000000000000000..07aa228f168630181279c105b54412aea4c00a17
GIT binary patch
literal 5512
zcma#Z%*o8FP>2Z5%vFeRadKBMFl3N>`#0Hvfq~%#8v{d!C<6B}Fff!dpaMoDE{F}n
zAR!RV1Y$5SFo4+1NNg4;8$`1ru{n|0yihiX`v3p`e-I5aM-XZrh%E$VgXECa2t&m|
zYDAFOqDX8pC>vStC>s*av<?SQynx~!WDkf9qCptMhNTmbJfXA!QV+r~y~ycJ93;rV
zz`(=6z#xIdRzYGLK-nNOY>?QtNNhVKwmlLXls`aRkX}a+1Dc*-_JYKr!r=4|@(+j)
z633<n*_|M@l=z)gzc_&$26ewP659pJMs^#>{n-2tQiCgOk^KvcUywOa<H6xWikp$`
z0-1yC9#^QLAaOS&wmTBr1Bva4#P))+LFvdF$_AO^3uS{SSUCey;|CIeVwgCH3uA-y
zBKsXA2f`q6QvHjZR!L270U%4E@f(Q54nkrFBe6q}*r7=5FeG+35<3Ek9f`z_LSjcF
zv15?fu}JJVBz8O!I{}HEh{R4pVkaZ9Q=n`C1_p*yD4UUC(PSu-0hG5k=q#H2x1NFF
zggYoEL3I>D9#l6l-0<fDss9lVNwLWKLGljgA@ULlnDQX=8!kZPUtlpGl)oEpLF5(S
z@{J&2kd+J|^I>I{1>F2b7ErnYVO;VcpMWqfd5}v%7?(WQas~#l{RWYCVE<R(upgT|
z$Zik@nU75#WH$)ol1H{*K)(`fe*_NuvB`t%24Rr-*yKTWgD`e^MErv-z6^<<4~`%c
zQPT%E0|Uc>GZ6U&PMGqb^69{Fh<t!EraZW9a}pxY-~yEgMJzM`*cliYKy4Th*8z+D
zd?1M<5d9lq`jOH%sQfXQ2a)H9gqqK=1D?L57#J7|CP3spQa~XB^4|`4`obj-G6{rn
z$%9M+VO;Vc2Y@gxc~HIqVO;WT3=9k)j7uIAZXk?Ho`Zpb0fceMgW8`Uj7uJrE<hNU
zJSbg&FfMsew1Kc3DEwzZ!#^7oVyNMdOCA*VAdE{MWD*GDk_Ux72;-6mg*^!4k_Ux7
z2;-6mg*^!4k_Ux72;-6mg*^!4k_Ux72;-6mg*^!4k_Ux72rDx%Fl?9&3I7iTpb$e1
ze_ZmQum@pW@*tBy7?(UK>_HfpJSglz7?(UK>_HfpJSglz7?(UK>_HfpJSglz7?(UK
z>_HfpJSglz7`r^8d<E5QA0|P<|3xAw#6aPXkO#Gy3c4Zk4p`(RLGjlEk)M!+sUK9n
zA7BmuIhA1sy#7F#4^AIbA^J7o`41tl1TudbMBW3QJ`nPtek4O7#C!oP?E|oU4n*Dn
zhx~yOh<pGRc|`^Wh7Tza`%mOz`cE3<K7WY3K_;d=Sbr2megzi&3JeSk28j^)2RWGf
z<w5qxL*y;6$jgAruOx{44XFJL3})c)2IVhV1_p)zJ##Ss)-PDa29XExK<1;%Be$<W
z?%R+IF`ohMejTuRAoD@`3p_l*{2%c6)8PV{4Z_&v5%CAAA0HS&^q&X^<!IFO2a*pc
zo&YvK;v#nWh7upJd`BpD`GULNVEGSMvCCh00+F|f#teUu{Trr0+&AMIcKr+q5dAmq
zVwYdA4AOoxz#(s70&%~?b?o{tJcgLha0$D7fGb4*3mp0jOd;|saOii~2#MbbH?W)E
zPz=o<m$Azm%rF5pvluLH;*fXo1k3-xp?^a_H8_42T*0ot!D%vB-UCPcP4I-c-{Lk7
z{hJ{29e1$H2i&j$oBsf+pMe3w2c>UN{DaDI5WC|RL_bI#l(s<_mpn)%2;-6mr8E%6
zB@e2bKp2-isBQvbT=Jm0350RUgZu=-xa2`~6A0sy2h~j=j7uIApdgG(9#l7hFfMse
ef&pP%@}PD*2;-6mwaY;mmpnfM0|N+SlLr7FMy<*K

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimSettings.ini b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimSettings.ini
new file mode 100644
index 0000000..ee97998
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimSettings.ini
@@ -0,0 +1,50 @@
+[General]
+ARRAY_DISPLAY_LIMIT=1024
+RADIX=hex
+TIME_UNIT=ns
+TRACE_LIMIT=65536
+VHDL_ENTITY_SCOPE_FILTER=true
+VHDL_PACKAGE_SCOPE_FILTER=false
+VHDL_BLOCK_SCOPE_FILTER=true
+VHDL_PROCESS_SCOPE_FILTER=false
+VHDL_PROCEDURE_SCOPE_FILTER=false
+VERILOG_MODULE_SCOPE_FILTER=true
+VERILOG_PACKAGE_SCOPE_FILTER=false
+VERILOG_BLOCK_SCOPE_FILTER=false
+VERILOG_TASK_SCOPE_FILTER=false
+VERILOG_PROCESS_SCOPE_FILTER=false
+INPUT_OBJECT_FILTER=true
+OUTPUT_OBJECT_FILTER=true
+INOUT_OBJECT_FILTER=true
+INTERNAL_OBJECT_FILTER=true
+CONSTANT_OBJECT_FILTER=true
+VARIABLE_OBJECT_FILTER=true
+INPUT_PROTOINST_FILTER=true
+OUTPUT_PROTOINST_FILTER=true
+INOUT_PROTOINST_FILTER=true
+INTERNAL_PROTOINST_FILTER=true
+CONSTANT_PROTOINST_FILTER=true
+VARIABLE_PROTOINST_FILTER=true
+SCOPE_NAME_COLUMN_WIDTH=127
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=204
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75
+OBJECT_NAME_COLUMN_WIDTH=75
+OBJECT_VALUE_COLUMN_WIDTH=75
+OBJECT_DATA_TYPE_COLUMN_WIDTH=75
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=168
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
+LOCAL_DATA_TYPE_COLUMN_WIDTH=0
+PROTO_NAME_COLUMN_WIDTH=0
+PROTO_VALUE_COLUMN_WIDTH=0
+INPUT_LOCAL_FILTER=1
+OUTPUT_LOCAL_FILTER=1
+INOUT_LOCAL_FILTER=1
+INTERNAL_LOCAL_FILTER=1
+CONSTANT_LOCAL_FILTER=1
+VARIABLE_LOCAL_FILTER=1
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimcrash.log b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimcrash.log
new file mode 100644
index 0000000..e69de29
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimk b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimk
new file mode 100755
index 0000000000000000000000000000000000000000..b8bb42b486b08fa2315bb1ee7ca928a923f615d3
GIT binary patch
literal 187328
zcmb<-^>JfjWMqH=W(GS35U;=lBH{p{7#glHL0Jq84h$9y+zbv3vJ5f|YzzzxEDRtq
zh%`(+jLv{+gV7uiWem(veJh~y=(G$}9gGIK2_yufLH2>zSg`?A6h;d`_#l0(ASO&4
zjsD6FaR`iNK+*@c&jBLOfJPs11R2V}0Hcxhfx@Pv8=|jcHY$CA8=~(9l!oa8sRHSn
z0o6AHst-mBfD|w=Fu-V7c!Jyr!bhO;=(G#OItCaGQVS9acv_MIVt;XlXhf%3pccSs
zkR}EOhQOyKDIj-3xdbU_a54lz?ZXu=6(GkjFfhPqP`rT**U!mJGBeT7Nzu*8%qy+X
zt*|iDH8asG&et;nr#}$}25_2k_X}lculagU>hSltvzxe&pYpZHb#g3t1u_=o29S9m
zH6SxVX%OOTh+Z!S25{O3`K!ZWAxJ3)NX!?cn1O-eLh8BPU5_W#IJ;hbbF(l4mNcQV
zs8lTz1A`E%5SVw50lT;W8+P$-cI@JNai|BS3vBkvGGjL<6NmYyaF}nwz`&pY_6aI+
zj}^Q5XK?se0*AfpaftuL;eIt7?li?=&Qu)k{Dnh24o5sT;jkB!D6oakF&ySxVZk15
z95~!FgAu#>1RUa_IKpi=4)rr|_{$wfyx+&6J`qQ}E8(!08Ap7*#bJ&!4s$?-0JijR
zf+PH=;xJzjN4$K+;olq_;vqQfy^BNK4o5ib#}SXKaJaJoNBU{NVU9BnbB^K&=Kvh)
z{c+g48He~J9PasxBVH!q2%j(<;lCA!IjT6^^Ad-9EOGevAP#e0;E3N|9O67U!g(eR
z|90YV&kr2ttixgNY#jcr#9__?b_NC|)S@5EyTZ=EAiyBOkgyz9qk@GQ4uZwS86wcc
zPk_ZGFvJ;hGLwo@GUJOgb4zm)OY)22vr~)mQgif*^BLkjLww^?Qj1d4GmA@7i$Z*z
zbMo_2LlTp6QW@go({uCl;)_cXi%R0-Axg0-%*@V=FUv^Di7!shNKGltNsTWlO3W)x
zOfJa;>Ca5hOU#Kc&dD#2PfSlQN=;8JNo9cRNz2ShjW0_q$^_XN4>KPupPpI*F}EPG
zBqKgAF&CmOxhOTUBsD%IwKy|953CSud1_f|UI~JmoS&DRh{P|-FU?B<OQ%+(CYP3^
z#upUjC#Mz{rxt@n!Db|9q$X#_7bWJUr^e@}#b@TFq*lb|7a$ZQ78NB{#wX|J79<v>
z#-|pRCgva{GxJi5N-`63;)_zVQj?MFE-1<`NCbHsIbb0Aa`MyTi&IPDOEQX56H`1>
z;?wer;!E?267y2>bHPdyb5OjAFO1U?i%USJB_$?j8-O*Jfy__K%*!m!fcY094vMbC
zWRU+6fuE9^n3I#Aj1oQIP>lzLbYf0?L1Iy2ZfZ$t5!j64g3{s)2rIQDzPK#Dq_QA2
z9vq*j5msE1TN0n2my?+Xi9oQU$`dn7;uG^yK<<stORXpYB{W#V0J$1sb8=!%4#+!j
zdy10dONu~&oSa_@jc>3+s=zA1v4}-yN@ZSRZYD@8B4L8mLNtM_BN(oE`JmJppO+6x
z#bABKB`NVa`RSR-@rgy5B^lsQN=<=LnZ?NI17t;RYHo6FK|Ca8iVL9W3T`nxJ;o=N
z#Fu2|rp8yL7UhF&0y&jn?gFcXW^$D5k&&8M5TBWs2}+xpRggGHPt8j$0%fDrqN4mF
zaH>nmEXyng<=CW3gv}}NNG?i6PaANTW6AC)Nin`SvntgP?7qy@)YSOA(%jUd%;b1b
z0G8y(m*#=eS1KfTf)fWJlfg#eR+*HOpPZeUmkvtWp!5YwKn4upKm<EAtu!w=9-K;0
zk{4J3QC5R;Y;sXqaePW?ZUMF=4>l?XlpV_wb4pXeX#yhyffa$`B_1s&Lc9mcqM%%Y
z;GrptPt3_dl0+$*Kp_s61BY>HMM-9Ue0fo3Nva7}QB$xe*g=E>04Xxj%{Bv@f-Y)~
zRn!733bqm5Tgmx(#U(|FnRz8hMRRUGG<|@Ar6{u)6uqe_>5$Y6HYP1GCkI8eI5n?0
zvjmpn;r@c=GX`+_!IvIVU|AGwd{Jsaeo+ZhWtvo!nUbE0RGDTLXXZLV1k+PX!c&sM
zK>=O_(vl47R+};8rsfu>mVl__+yXFFP{|M<pIng`pO%@Im;-Wae0)x35-4wgs@>eg
z%shtp)Re@MM27hIq~c;wDOps)5TBZt!r<=X>Es-5q-SUXXBz35LK+DS3=F8P28b{=
zF^CLhBsOzFV*xNznHU(s5X5I>U}9(lw^cwq28JmUr?G)X3vNQiN~JP6L9Tzo4v~kA
z^FW797y_VPoB$oifr$%1#W@y0Tmut_@fFa-8=&J%8ffANoFMiZpowpAhKO6Bi6^*1
z#2wJYKiEUWJ<!AzpaXdUXyOG>@dz~W15oh<G;sx}`!mqQ8KCYdKoeK6fP_m0n)n8&
zcmtZagAc_09cbbWQ1J<9;s!ww^)t}K6&6Fp7odp;K*d*}i8nySH=v1cfQs)x6Mp~|
zKY%7Kumoc72{dsBsQ3jm@dBv$4K(ouQ1J(7;vb;mFVMstmO||PfF?cxD*gja`~g&)
zVId@bVCmLi8N?h8H1P(gxB!~?1*o_Lnz+Goh&c*q;uE0a8ffAlpyCE-;tDGu=2)PK
z2SCLg(8L>{;vQ(?8=&F=XyOVhA?8P*i3dQ%6VSvLK*clA#2-M#3(&*`Rzb|KKofU>
ziZ`H%7eK{3(8L!&#V4SNGpvS~KLbrX0V=)#P5b~<d<B}g!WxJ<8_>iHpyE5w#0}O#
z)E__-S2zU`KY=Ema0w!Q0Zn`ZRQv{-_y?%?12pk~%Mf#3pot4yfrx)V6K{Zu|3DLe
z02OCggqr>xu0qV=KobwR1`!uP6Tbizmp~I2SOyw)W?)c26K_}r5!XNyXIKXjH$W5r
z02Q}D6MwKCqTT^bTwo(a+yhN~!xo5m0GfEgc8GWcy7)ebcmkTZ!+wZ(2AcQ;sCWUI
z_y?$X1-keFh&c^t;tmHP;vHz>6QJS~(8L1{LDbJc6F&eIUw|eaa2TR~1)8|Q5s3H(
zH1Q8m@f~R52FD=k51@$$9EXUXKod_m0TI7|CVl}begj?n5=8w2H1PwMA>uF4#09QE
z#6O^kCqTu2powp|3Q^Cn7&ZMfT!V;npour!fQSpAi5J|2h)bY}3*3T;E1-#AfQoCN
ziGR2aQEz}I{@@uz+yYHJ;3Y)d0Zp9Y6-3+vO*{Z99)Ko(0V*DWChqVSVom~@c)~k~
zcm|sI2dH=fns~r_i24dN@dF<r;tgox2A?3}9cbbWUm)TW(8LYCLd0jFiBEuvFF+Ij
z02N<>Ccfbt#GDOi;tt;-;ycj9FF?f)pou4d1}+&G7*3#xJN$&0a{*2K0#y74ns~x5
zi24U;;tIbZ;xEv|1EAs`(8L>{;y=*DA3((!mY}A8hd&VWIncxxK*a^n#2NlV)Jvd=
zCqTs&(8Lcw#Wm2x75+iYF+dY<fQnn7i7WhvsCPgUKL8c?Kod`Z_RIp%#2FYN{*OQt
zUjP+PKofUhf~e0x6Mp~|FF+G-V1}r#KokGK1`%&S6HnlPh<BigU*Lp@Pe2nlfX?^N
zKoeiU15v*KO<aHvBEAAmyn!Dgz5z|#K^P*w15JE`2t@n<nz(`(MEnGrcmY)W0-E>%
zsQ3*uaRG6NIS<gp6QJTR(8M=D#Xq2lGe|(p`GF=L02OCg3XzAk{}({TIncxvBq8bq
z(8M=D#U;?h1*9PA70|>BWFg`jXyOl`;s$8q6XYQ3EzraR<RRh?XyO725OEJQ@dQPP
zcmSHXgAznM0!{pYGDJK9P5glhL_7mcyg?NrUVtV(K@B2afhPU|D&Bx5?w}4)-+?AR
z0V+NLP5gi+MEwjj@dvsP@daq&26_<j6=>oOQ1K0D;uoOeJJ7^8=tImofF}L{Dt-b@
z+`#~%{sNl#0jT&5H1Q9H5cLnx#2*+##9yF^CzwIRKcI;>m_x*Wpot%ViVG}*$iveA
z22V&kUjj{h0%!pVXzm<MT)`WnUIR^h1614qO?(1$yut!aJRuljjsu$bhY*Ok2b%bX
zP>6T{ns`DuL_7jb+#muXo`5F4APOR$fhNun2N5qo6F&eIuRs%Th=-_eKofUJfQWaX
zi8Fu}7BDa{Oh6NV02QBsCcYsFV$K3I@rGoG_zE;}hZKnT1~hSoREYQvH1Pva@dIe$
z4QUYdC(y(l(jnp((8L)sAmTUB#4kX_AE1dp$b_hWfhK++3nKmjO?*N&MEnPuctZ|E
zoB=vN3ro)rav|azXyO9-5OD!C@efdO2{iEy1rYTLXyOfp5OEDOafc#^xB;5DK`}(!
z0!@5D2}IlhO}wBKBJP1E9#94m4?q)lD2IsOm=DR<pfzAz5Fs%607;w=ECL~3Ac>1X
zguvtnByoAL2!!~7Bn~YaAQI4c0kzI}AtGRs14&#GECL}8%!RlUH0%u00}*9lIDsS%
zQ2~*;fFzDQ)_ns>9JEFbqMCu>0g^a)-6T}v1(G-@jG)2{ACSaBZi0#bKoW<gEwJ1?
zi0eRVIl%%Df&)n$wloqXBY-5%0}_B@2_$iTs2GS+KoSS7`vZxAum+O2AV>g;4Uoi<
z*AH1Bi3>y3f+z<hanRaBkQfMiAc>2D1fVzoNn9K%2BIR6#3euiP@I4yE(H|>Q5i_$
z(jWmSE<h3otwDndGgKgn%fbX17#JFm#O090JCMYY*Rf4N5?4f0KLbe|d0of?BynXV
z^(&CXRglCtAc?CYiSIxXS3?p%fF!PtBz^)(Tmwn`0+P5UlK2fIaV;eA2T0=DNa8P$
z#C4FwKOl+gB8mS%64yf#XMmOip!BbgB+h{(4qKuOk`_P`Hv|blu>_L15mXFBDIkd(
zg9M;h14$gZ#2YMQfFur`ngWYkAc>oSMIeL&lDIiU2uyk)iG$XPf(02E7y^*QEn$KT
z3=9!S;#NrF2}t7BNa7ht;x<U)1xVtyNa7Vp;&w>l4M^h9sV}f>2a-5+Dhn(=0ZAM>
zl?4``fg}!{iUW%;KoW;e#ev0FAc@1)VuK_$Ac;e##6ZFfJCMZPz#<Uh0FpRpZ6-vN
zf#C#_xCcxSw00Ru9NJU`%YNpU`{mL6hQp(q^<+L1gGcLu5~lwbJerSi90tq(H|@%0
zV)(Dxlgq@wFYmzcUlqg$H%eYU`2YX^f7Pa3CWZ`974-4~m|q3rg9`VT2f+Ly5Fb?K
zyxaihXMy;js^;YaFh2>z2URgICxH1;AU>#SdD#Hw2Z8vYZ1S=I%=ZHEK~>4i1TfzT
z#0OO&F9X1QD-a)4b-#1~^Nm1!P*w8M0L<3{@j+F{O9e1r3B(6gxi1C4d?^qgRK>q!
z0P}@Fd{EW$^20xnf4M+>P?hrX0hrGO;)AM^mlweNUpY(+8K5fU<pD7N6NnG0I$mx7
z^Iw7Zpep0#0x<s(h!3hNUQPh>Z-Mxrro_tzF#i&W52`X=7J&JuKzvYD@iGC-KLp}~
zng%Ze!2DexKB&rg=>X<$0`Wmr#Y+P)e-(%isv=%0fcc9+d{EW!QUJ`K1>%FMgqI9p
z{v;3|)P#BY;V;PlT_8TFYIyko%x?nmK~=)b3t)Z~h!3g?ULFARi$Hu(74UKcn4bmW
zgNpu_3&8v&5Fb?JznlQ(M}hdDqW)zAm>&e<gNpc<1z^4xhz}~-UnYS0P9Q$0NPigs
z=39aIprZVx1DJ0F;)9Ctmj+<I7Kjfjx?d`Q`AQ%@sK|aP0Om`9_@JWtB?Fi*1mc5=
z=$9Y<fc(z|;)9Cjmk+>vCJ-M~B)_}>=KsoOV#oj$#V-$l`JX_1P!arc1DO8`#0M3<
zFBgFMk3f7-k^6E2n12hz2Nkt18^HWaAU>#weOUnJp91kgMeEB1F#iyU4=Pe$27vjy
zKzvY9`qBZ+-vr`=iqMw^VE!r)A5?U{Q~>iAf%u>z^Q8coKMTYM6_qa;!2C%dKB$O%
z`QbOn|6L$HsAzoo0L*U!@j*r6%L`zB6^IWi3SS-o^FjL4CV&eQ1_lODd1Lt2v-4t{
zN9QAt<|iKlLVS8z!`PV^JbHQWvw<jE3pOT(|DsOWOblNpc=XzCECPwLt^!e(KT1SB
zx>@zIAw?DE{|Ef?4Ir}*Lurp*+eVPWUehWNrTNdJ^Ml91clI6!e=vJ69`m^PuSCS7
zoAqlJ!~l-}57PMMT^JZ(`a$k%u(d5>VqhrM4)tiZy_Choz~IsOw1mf_+xA)(6T=J5
z|NsBLNcsQ&|1nn4A|?jL*u(ttDEeQP|Ns9V>K?~%$1ulG$B<x;&dbM~B3PQM0vJo}
ze7dV0x~o09i+#F-Lz;j6@BG2<a;*6mqhsec7k-!Tmfv0Y{XV#Ketcp3=l_2f?P3oX
z{`JKnzKs7~_A@Xr`1BS>c=S4Zcyx1kbUSc(bb2RvbUJ5rJ12BH$8>w=@UK6}zur5=
z@<e@#XXk&<#^V-Km>DKO0RJ}U2%lb+13ul^2p4pF$Go`w`~UxLkoJ_$L)yU}{Of~5
z9Qn6}h%)#x{&C{pCZg049ASB)M5sGBhw(zEa}59bL;UMcS)Qm{>)6E>?HJ=2>lo)4
zfB2Y_69fM?CkKySmIM6Tyc|6kfAVi@VVpFDnW3x2qH_u}Lx+>oVTfBmBo_5uEsT%h
z>afe3Oof?q%*hdKUssDoD_GDG$?ypiCWOKxAi$&Za(7ljuj_wT!*8u`9r-=pbvk7n
zcLH~SJF^OoJC%US`_A_-I)45C@7Q_Vqx1cX+~5EIdv+c%{N@4Tzj~qj^Z)<u?1a|0
zF8rQf|DW~g{Qkn~_y7MMoz4{=oyUDTpZRotda>dUNYh=<=C=)<t3j#rcykLVjWRGW
zxO8srU|?W4-rU2$0Ips<x|=~NJUW}FFfcH9bT@;fJvy7`FfcH5gT*?VL7At!djSIj
z12|^8XD~1@Se~fUdASfY5CRHC1n!;;Qpb1!q6-#D^;JII$pPKf8QsM>-N7Z@-5?`h
zJOFtH%<Md&?VQ2C-nqo1JG-KLH^@cZn?Y{wo(yuRV}lI~qvIB4$A&u$FIItcf!(AH
zb~^uhuxDI4Qdk+f!Q$Oe7xK5}{r~^pr#rdAv)h%yv)h-$qtn^Jqtn~NBY8H&pZ8yA
zgM;rVB=kHwZ@tL+{r|s9=l>Ureu3!kFJ}D$h3^YclLVg3V&Rc|%*l}vl-{~pEc&p;
ze?yf6;|69Kh7Ko4!T_g-V2{Q(pg{EKyzJTe&!@M_!SJo&w_Tt{j8EtP7ZN}J|F>Z*
z4fg1aQ3>$qb`I$Fj_6|H=x9*^1)E22h)Mt`;v4_}|8K)wss&QUVfYQCv+n2r|6L3n
zE-D@%RU9By(I8b!4*XM&zGgCf+xfzy@d(K3Xi#p6Jq$^o1l-f{gDCfW{P+LA4HJrc
z-u=LE&uNe<W}NQn_HyWUa<M$f?|Tp&#&EtLgbxlsNP*V;Mgkmwf4Z|0I^Vwt`u_ia
zr;7@UNAg=x&N2Q0$^=K@Ip_1&|NmXOs}nrBog+NDK_!-tihxI_iwcKl@_UemPv1fD
z?3@8k3GX~QU%g29`TxI9Z+3x4w~GpgM>kl#cZEl%a{<B-aL)4R>;@&%ZZM}4oGKwn
z9Fku^NzL*^ox#fhMg~|p2+J|ulR<F`$}gZq56dt7{i=+h+?XBET^-R~9Mc_~(%lVG
z@M6uk|NkLbR@*s(f4y@G|F#@f2E+gRKrK>m3hI3MqW#<d|BelNbf!;bW?<lNZDqi2
zRsu0*S%b{t-?m2Q>r`e2pYGy}?&^Z>;*##*8mNn;kW4AyU+-Mw*rCG)abkBj#OwU6
zqW}N@e;wl4cx1s;W`=#BF<`J;eLA1Nm<7_GUD5ge#e}c_|1%<Du<#ow29JOjbU=$g
zy)Xa&dw`0O;0mA4XD{}D2WRgL%<P?A0g6(8aFiYf$LKRqjP`y1|G&FNh2_Q3Z=lTm
z|Ha;Kph&;r(R@S#OXh}^-@%}=`<PP!gGaZMhex+pfJdiOg-2%>C`P)SDmtA?y1PK7
z6*#)RY8<yQTArwrdR;JK!i4V0APG>7RRW9L`Unry%CF?bwJ-nwcYBwBia`(l_0AzK
z{M%|+89chPBf6^-x{Fh~gL7VN0;zE>={%_Ioxs1|JIBSUhL@p~v)jAI@<i#3*UYYl
z-&{J13tT!sK#Hn3$9P!&2Im)$A3!-JE5p_BZ7Zn$dE5E^h0GUduIYULg5fJDz>mYT
z%z;nP%EoaIsQPf>_k0S9u#=!j0A&JDh4as+^U(|QZ=j4)T+x|baoo8ERE)WFE(Qe-
zq~ZZpYu(P!bPQ^3eC2m}(RrNT<zMqJ#?C|hF4sCg^1Ga{{J`&bmEZ4tC%DY-1Xm2l
zn?Z#Y$bilZnkRY-7@L3mbL98?+PN82U3E?drLXU|zTdPw#ozA$%3U7aD0v1X|DxhE
zD9?bH&^!YPUdM+2j3xGt4gZ-+G94TKGxN8C8aE!@*#+Iz72U-(kf`hS&Uj%CGQc@Q
z+qr^&Jvfgab75sLd<m-Quqo%jraa<x2`JBFQ-1>#&gjM)ymrB*eg(RE*Ovb!Y{ytM
zB3=s|W6=l&>4V|_-WiZO9a11!o+y#&o(u|8P>}-)5?HF_?>qPpl$)vxJiFOEyZw;s
zN>EgKbl!jQ|1&7JK<dhWpt{lylqNy>sq`}_KRthu3s!-vvYaqsg2(Z;04~TjXOG@}
zpeXZbJZ8bgz+iaE@Z`&NpZ@=cxg0_Vdvtzo*nb_=KQH0;*bnM=fcoMuzPtxHw)p}R
z1A}8XLuWGsH;CcD(%H<y&A{N%Tdm>Ic=!d>T#wFs(Jz%gfXqfS57ch~o3{jF9tShX
z7>3T~4RG_eK+H?<XgoZF8{$r|d6(W}F%Q)50h<>BG0y>JUIGuuV37M#ctGykeF0P`
zHy-xjK{B!)Y$U|vP!i3(pneqC{4ejo{;z<UFTe{kUxXKIJ_jQMgGb}xAIRp*W10_c
zo`!%5xX+%Q|Dk2={TEk3&hOp4gPnoFqj~oOkd=;I?VxBV$!j^_xd+rg0|}OJdG^+*
zFuzEB_y4~~@9q<zzDloYFe4L#;iVT1??KfNH1c>nn~$)7iVrK0(#FFU%phl)YJio3
z5?2t&%FgeQ!Yc;UJbC&5<NyCCwsC+A`1uyuuKNsNyF`%f0y~QF#RZT;xJ}!@3PCo#
zc!%aLCXlg<-v0mpiC=)V=r1FKPcN(3|NoHSfL2uBeL6oPyG{8cDEcR$g&%0#31r1o
zQ1tX}w%`DVUjnFdhJ~L~%YhPMkNu#*G*Ae7G#_Vrp$2g&X!Ec~uc-*!rFI}=P{ZW)
z2S}K}^p}9c<msFL|2-NHLk&3npOL}v6388Y-y(UH+w=bskWY8K0TtJ*pza2L_yKU7
z<M5;%IL|<nBA7z+FKAp1WY5yKAR{;L0QtAO`2mP(Jy7EH|A8Yc^9p<H_hDdQfO?tb
zg)zj%1(0N*2zRkJ$Rt!R|9JoZzvmv9Zn%kHkH2{h_Bhm-TmN7lXTj$2{jVWWJpDH~
zib0X}IvR_~T%bhF^kM>v*=2CESG++AR8YER28C)8)Nm_^;micm&*OLC^n;eaLF00u
zAbKJ48l1m97(v;Zp|kk_Jbxd7<nIbd{$9b0RDRgM2Ac_vC@2AnFOVIej`%*%s1nHZ
zeW2k_P_(^!jpP$>cVW&ePz$U11}g(Yw?{y84I>9biIqq9?gy-(>?&IMmyyBn+fGmw
z|3dNY|NpHAN*q19cY~CB^qNM4WG_KvgWvuC|C-&Sm(}erBSSYw^Fv0D?#UoiI=Q+X
zSehR&dUSV#xsELG80GZrtz&#432_4GL<$rqNU(vO@a+%63G?2-od8nq(QA4GBnx!{
zDF1_<aQF|x2_RFDoB-ycIf3cLw3pye@L)p=g#d^Xib0k@LqQth1dwu%Uei#JEYu0U
zZ=s<8TAv3C1&}F7P5^V!oWT4-7~+HmbSHE`obcf{A{1u4hKB-3xks<*C6FxC38&sb
zov`;eA{0QTAUOfdMRNk{iwQ5lp|Atp2?rofC<R#p4Fyqz6F|y6dQHPYvQQ_u!<^uR
z<OGl@NKOEA(VW2cf*0b159m(#0dc~oUx-kc^a>sdAmtvtrdL3+P$wLD4Go3;zYw7S
zG6l&AU@n>y*k5!#2Zw?NJ6c*W0M(7XqWK_8prOEzZ~{oVN3UrhNEYe@N0<|AkemQA
z1<46uE|L>?Je!Y!^Cb(!6$$9B$bh)w<xfOFbiRZK1W36@ujy%!EYuadUqJ(6+fPJ5
zfJ{Mh1(@r|;?a26f)h0Ocpp4k@Ur05|Nn^ocYtf>amUVc9-W^(I{!L$p7rfrV!J_#
zfx)Nqxl8Av7tLT-@V9_FpS^nv;+Pp;{0DiWcW*>CGsBC|5Vk`OGsBDL5H?3HGsBD9
z&;S4T>C{p2>0K)THtoGf=RKFsPcEH5U(5&PiO$0w-7G2|y?ZoHfaRb0bpCp=^(9Cb
zlF}n!W1f3--UCU4^K;`-kbxeZ2vxB996WRw`_kbh%zq)l$e!yw;nTZ>eS#0%Z_8hR
zLpZE??+Q?@R3hQgyZ3`PD5PL6{R&FAoriroVJ;8>IUTC1@n``z69e2^o}Dj1eNRw-
z?WOI@|Nmhe@c0KLys^1Qz#r}&akzUF{23S+!0svVLv~Lpx_eqc0=;{|sv3{(;Kbpc
zwJ(Tvk3}HdJ?+n7;S&%DbI*(bWcOSJ#W-^Kd;tmc?gguAJUW33hkInv-4pE5{3ZaD
z2D{xdy8Q}3!(_10uNMh#{{Qc2wg6R>kp6%IBdD_U=)C9Ad?X<HWx<pG{~g1E13Wvw
zHS7Z&fWgS$+6t=YI)8ig?*BKHnZcuXGy61VhFzfPy`7-8j^hq+)fe^@G$K~w=h3^H
zYZ_RYFkBgE>JX|-AEHd%qj$F?M41v?8OTVeGLEO9CS3`K<FPi7D;PX_cWXnG>wuJl
zYy{V-P~|tDfGp>40qu<Q=-sUgQL2Yc={l&=QgFL`w?0Iv0XC)0P^DfFrG^ltM%a`_
zK$U`)vU>FHHijrQ!KPFfsuZ*fz@vA!DMYCmHl<8Zr6<Ahwc8w`)B>B*>yIHJFb|^C
z5~9=!o6<E<rNt1X))1vO*pxOxm4ez_9=*G5AxiDADGh@vm4%pU4^ip>R|;xbLSsuC
zs`L}6zt_9l38K^)n^Fd-(&G@Nt`Mbe*pyy<1PKAqFuh0bZV!l3Pi#t8ft8k&di3u0
zhA8vFrmPvF43wn(Aj<r)DT{$9110G|h_WDT%1j@jCTXOk9Rkr70n!F)hwcOs(7^il
z5ag{Akm)fHWeIR)pdkRLvg;2~P50>CoeEK&30IC}>I$%_{4Jmp)jWE4=R%YgVpCcR
zRayp#*iwknO1M%`UW7U#2&&W@qO=O4v<{n6b*NHM`Qy>MyB?yn8Jp5S4?rQn-|`ib
z23jCWJFqFe2vrK&x#-cmyAz_c7n{;$P^I%BruIRUPQs?N8mzRW!lQThWQelq*p!7p
zlm&Y9?w$crHV2zBU5GL*kKWyLLCP8rFT|qEqx0Si<_G9;%9`>EG#=RoF2I|Qa6~)C
z9iHGA1}gDA&`W#=kIqLg3Z8+>dnWJ<C20Hyw5Hw=((Z9JJmAy$9b}Q!ga7|QgG~^w
zNAnSnXveshU+zJ=PLTQ*G+_#D-*|R@fwXTtd*|3{WPvKN`=IvC;s@Y5t_;*xY1ms8
z%FMu6%Hz?y_eTgb!wa$dpdpIA9TCh7FSzc5y2fieGC|ejd!No{(8kQA2O!@afi-3v
zz(#{xD=!{^8#4%{-|vCO-R^^@_kO)N`2f`AJqj|=qZ45uT4Sd80oX$b|9CXNk#Oxi
z;@El4v-2z1OXod%=h$0h!@YFjKFCY_Ew@4I^Y(6$U}j+CZ}|e|2r7X&pyLS}_KH9_
zpt`DIZ<;d9=MR*a8D7YMof@Me(6Coa1tzmXnVI1Q(>>4-;b))D8Wj$Y-n{`T%nUEy
z-TnW+Veh37n8E;1;N83n3b3s+vOod$-KX=NW9R+OTP~dsU(CM`3bLb+`Iz248UY};
zcgKL{aqfY}q1S_gy7Rkh=Rcp$cP^d3KzerHg@)ZbkcwVV#55iSnGFuRci_l)h&ulN
z(j26!cdvm2Gs6pSuoEHvZv{=6di3s{pvcVd!U!w@35(V*|G*IrmXHKXfWw8q<;lPQ
z|6jiT2aXF+mmCov(DVgL$?*2`gd&ii!R=?~d!WcDi3TMpkUI|F0o(AQ5ENZDD)83h
zi!N}8ANK6sqq_pE9o!&Z0ut>;R=4R6C{@AQqb(r$#-kA9aJGo`pt%C%atKDaA4hru
zEqwOq1ZR<kyC5&{x7-0Ip<QC&Bm^qh8unJIf;o(g3=9o>s~{X+Fy|sDG4QuYf;ng@
zWB+YXT!Ir<C2EFSdK;0rFmhwZZE%9RPyz~Elmz8>7d1h3l!9i;5D6*{loZhtR2J9~
z_kB9S3CaePyc&;!%*K<To<SndLX4T=#jjhSG!F@Y*8h-n^8=I_9)cwxfzkRIN#X=p
z0unH-&mj`voU$G)0S+Yomg}IDb`O!#(Bli5-cZUjhIUYD0!P;6+n~rQ0hebUy?YNl
zXJUBa4>sT63lp?#Tk)BR;f2*LP-w1AXaj{NqWF2ibO#ic@bXLoY&5t$lK`hYB&F)N
zK=BAG&whdewDBm&KyVO33rMu`Z1HVy9zsulpe`t^JUiprJICfhC)`U6U@!5vfGd#R
zy*qfB8D7-f1ciPgKREfhf)iO1gcAtnBttl{U``5zlL_XeLO7*hP8x*M2<D_iIK5y_
z281&c%*h0Cz}3P7K2ZPn1}F>WfC|SfRGFtY{{Q#w{0pASZ`hlSDtY3@|NpL?-+ekC
zH|)(pm0Aljv3ILOCnz$$dvuqm2!PAImoJKML87B~kM<8xkaWkWaDaQmuU>S3Vi26F
zeLLU#c0NT^G<`RaBPIb9O^ruEhJzypQds^(D=fJ{s(SZ=Jt%hr6uOWAZCwvZsbC3K
zummJ<TNfiqytxk20SV~V=|~cn!4i-_Z|z2s*aen=L_ljjlEgx=1SB$Ai;*Ncz!H#%
zX-!9x$OlV+BZ<Ey9F%|JaOWRLd54kSK6Js;+k_jSEX3ae+Ir^EyZ6F-CWaS0*TKa$
zIPEb&*c14f8D4z32J$z!Oa!M7aM5u88d!0MI5WeG%U}hYK$S390k|^S2Nnd^%m~4i
z5M4h&wZvSo0!VGuat53RAvIJBXdisT-b7Gs&EN6_ERzJ`d;oKx^%ZElaKm0rP}R-f
z0@~AxQIA_etOM8IdSL6oMHbjS;Brh7EU2LdtJD{$GBdnjf#`Y>z|8RC$5pU5Hv}>>
zym$j)M+7l5ym)XG6ef^zt_5`71hi?u-?9ztM6@!0<5hTWLTi3azlxljG{WF631wz@
zQFRrTn>>2=7KAY~yvTsK!6KZQ;YAGC4apHOEe}9~?jW0bw+3`!<TBpt@La~x4XHa|
zxlHLg$a$c~2zoBl0NK|GEB=0gLZ<O3$Z$NlYzasewB@nm3MiZ)@!F~ZNzdSx$9%8^
zB#v8UAQIqKSsPda65p+$Wwsu@d%+U9U<pXvx3VDV2mwn#(n0Hwzu*W1H{-0q65zHS
zf6I%%|Np;y50M4eNU~sANR8C$1u+jS!2*^5#~^=;6)3AYg0mW;Jcrg#DD9yOy`T^W
zw}*_cf-+Z0x<~I`4N%6~d>QN}g^x@OFP1~t2ECxHh}`DM1BW!YZS(?c6u52F0TM-N
z8%?_miYr*#2%H`ok3x*Y*)|fo3aXIsyMMz3xciH*z};W*fr;V8=SyJs7kpx3c<~&<
z7MOtU{&iPC<uTa(C%{I5-G2fkisJrjmyq4R0wmvf6k;4s_Xk}e?EV82;qC{8ck2N}
z_!~pqd;%2y>JYZVM0EGR14T?H*!?%aMuFYW0dgOT`^8Y){{p0|@hHSNobI1=nXvnJ
zOoqE36y8wxgVRj$MR53o-JcF&OH4+0zaGdX5cgjI8wGa12S^mf{b3i8!(Rg=-*^;a
z98ULNx`fsJDD5+jX&@JY+h?HgZau)?(ga$7+o_}C(Ysf{gPGyQ>I-0hfD6|77eEDr
z7N`XcE{<0OGc&yCx&UskWlRMH1yUm{=n|ypf)1uDfUN?z*D}Ddfuyt?WCy&!@&v_p
z<57@--~tPKd+qZ@koO?#3mtLwr(eu~`!Dz+IDdfKpACC2y@j<o1Ku(*yf}6q?8zG-
z_OA0_|9H$m^H0-76#p>H1Q`wX&kS(vAo*uG$T+xvDnYT|cobwH9{(s4;~$6hAWOmV
z)qDZrA5fRk2GssL2a2BvCs^fj!I7Ea#jA5*|14OC=ATU$Q2Y}CHX7`o6QCGH>iNI8
zehySjz$%oLpxA0W3NjFne}XSyj~|rsH(&$EQQ-1-^Lem;K;^H6Ei=OlW00M_dlxt{
zGrUlTus496h+O`@0|zuX|7U=W0_PtNkONWjj~FNn;BzG}K)M=_LX5+ie<qy=c>sU;
zo3Ih?{?F&&?tcJsfALvxlFD#qW_XbfVISB4ialia>w#PX$^R8#qrmR>0EwcwKkO_@
z{s+l79)%c()BTsu5q5vUCb;`S;SDXnI_#JkUTi)CcE5oOGsBDJ5cY+Q=<d%0MGVCK
z9blut?(YDJqPTzB8D#fofaDvGLX5-dejy^<->@0(eo%Nr-EUwIn*TcucK;8M`=3MD
z4>qB@e;p`dAnu<5HVW+i6ChC(_g_1W?EV!X`NpFV<8Zn^=q%yzpRfh)eo%Nr-G2k*
zeq)H654eKTKZN~ZGrIfVfg%Rt{uN-O!0zV&xevwtVkqu^0n*iY6k;4s_fI-Q*!>H(
z!rlM*G(7z`I50E3C_V)a{|+}$`iHO?wxYXV59AVv`*(ni0=wS>B#Pqxuv5t4uK|*8
zJPI)mr~5CR#_E2Q`ftNFkc+_ODJZ;K55UJKG(bh?`jepY^oBdEJPmMXW_U5{B)B{k
z*aq@CQlX!88l^lv0X7<3o>qWEA4zHZN#yc05)`|QM?nVSDNmWf-XT!mX<UK(C;1d8
zKKNVKg9pdZ`gzw*fWlKpr2#Su3$Dz;9l*UOz_AAI>urRvKWH*DyjTih&(LCKcrg>g
zKB33V@S+!FQ19A~%V>cSdI}{l9Kh}Z2SzqHDv<)C3S=C-vh)EZmBynW1MvjLx09f_
z1&u&ql+P&fTksYh9>FIe;Q?#kH0(ticRF+&>~(NYdK-joAkED1V%2eQd|!Bj=Eb6u
zC|>LUTLt!F2PlOg*Pqit#=*Uq35xy3qaXwEcu^SQMGXI;)SnanfgA;{KZ{R*{8v)x
z(YrT68&v)s1AC`J7qtEX!d3vq*zIGGsQ2kz`{6H0HzEVQm=BH$`1*$xVAH`_aw{mF
zkybXmI07~X)}xsQ(%5(uWFWrv4<}&jACTH>DE?XSALJsie-<AH`G>!y8=QVabzyn%
zf(~f@18h4e{f41R{5=ZxH8^d4JPM9D2C$h(zT63p4Y)6NfaSryJP(R$WMAF`83AuM
zYyfF&JPI-pk1wN+!_p>>^a+|A={)VzyTtke10!rX%a&sh?`{HnHxT42{+82VP7s81
z9n1-aa2|s>As`Mo^MXT#0p$5k8I^{;Xrc1_2qIK&gV}Qp7#JBmKuHoh==NgqF;H?o
z3Y#u^0d^WVRCa<=53<q|M?gvFIe3Kn*Na&o>BgfF1K)!uMnH>c(1urCK&pE8g54Q$
z1XQd-ywwWYZ3r670!6DmSOVh3R@whxM}u{!fhEA+<!|Br|Ns9>k^hiTM$aE-AnB>|
zghwZficjwviyIuEFn$l3QVTc=31iTmO&+~_H+V8Lyf||hY)ga}GsBAm5H^E1GsBB5
z5cUcXdj*6Y;KR)DVh)7;1H_&HVK4AyW_Zy8VSD&7GrXvPupK~SQ8^Gccq}U6FlgB5
zoloyt3y7n@lPr%wE00vcQ3p?xAHee8Kue3P!ATI3e8Eb+4ue7;G}Q=_mH}yOJPI-p
zmL@@}&_e&%5lCpE>=#5{f&^V(<)H@(EO7o)J^~7?lKO_diKv5Bvkrmm2jxAqQLILg
zMDN-ax*#(k4hAKJ7oMOLhgemW0Ja01vf{xB2}x-l$Ow3Ta{y^<JPI-p<~NXmXnuQj
z80I&u`3;gDp#DoR0J#n9Kj*_>|AG1&5`xSOFAg6BCxjOw%nUEKL)ZuO85uk}k=yHa
zpxA`8S1Q0pfxR&UB#P2rS#}T<MzGQmoE{pFLX1QB7R?_@V1J;e=W{6a8OIrri@@!b
z=0jljL;JJ2sKfT(4uCzQ0m@Xb4uFfnjME^mBh|Z`4uLW}d_F}1Y&5w3IsuLaB&F9u
z#(_!zuy<D;0?!YF48&7^1s?)O3x<DC;zQyr$Wh?<*nAM|pA?M3OABOq@7@Zq`;a5!
zBPf<2kzoKf2kbg-kn2z)LlP7K@I>_*q^t2L#5kOhG4&wW^@Q@vgiCPue?9<mKYt5o
z-%!I|A<z^yxMT(ooTlvum3YFaf`R)%i3F69Uckq)1JsxqURZ!6de?rq2r?Yu#1{-8
zrz0}b3b5s1Pm6)m8<J90kP&b{e+LC&<57@-crwz$1ONXcwJ#y_5g7CNpo4ay^REn0
zFY|#%&(VfdiuZw&Ab2b?9l{1rOhiN24hqZ+FZ?0w7oc$_X9#<SKQqG%^L?P;Y6^zc
z`3#_OCMB?-BI;l}FGyD>c*ZsfwV3+67kS1Ot*E-T7nCQqDqIGIFv^VWoc*9&fmi}?
z<1!<I2htLNHQ;~+jk<$tI`9&J9ebh8dGL&F*M4yJ0GSQW9*_zaeF=ccen<kt$R8;A
zz2ho8zfax=N?-8)33&2{e=jJU(56Rz?E(31?TafQzaeGfL;FBJgXi}JV57nL{l-2}
zLPS#fd=Jz^;Ka2P6vmB5K?Z_7gnc|Nc^}wA82&-Y?>nx690ktrhxda0QxBaC0_PR*
z1b`LD{hgp}0iFavNGO6Nde=&T%|J@)FTueNuRAY*<-soh4~k~w_8cF`2za)50Mgia
z6l5TtY|*tB>@iT~0^6^NJl=@nzZ2Kt{(HO!<Ud$>0G=}edj&i#61E!@ap3t9grMVY
zP&9x%4xTSTNN9j0de>@z4M+0$2XHjOJ^lbJ5B4}4y2nL9M!-D|j^D<kAOrDueBvII
z@&G!2f|5Qx?!eRM=iLzh!unSpy?eovZrQs)UPYTD3)=-Q9Kf?<Uc12Qb;WH^&><y4
zp*<++H34iDIK66sV-ZQIImkG8{lp0hmd2wX1M#HS&AY+T3R<7*(Rul}6Zjm+ZYPiK
zBA@Oc(3usEogZBIT@GN|N9xG$cLB7KG&rHtJLR}@4$}Tn!~e}c{_*?&>#WY`432?p
z9jy<9CY}isCR|L8@aZl_J(|K|C-`Uz(9T;A{`KA=jtw?^%%#l#og=zIr(9q;lVT@m
zlR0e38p7}i6F}?NKpSz7IYlti$TMXq_F;G?dk1K%aSZ76kKmBb6PiEx*B^3huwi2=
zQ3R_k_Icp}QsNw=?F`<F43cCnVL9d;!2mg*1M4Xq)4QA_APuHC@Va%FBRo1Udh7so
zhCtY()5*i*xD#j_JcGv$o!2dq_MY$ldvrQQeBu{ya`?nAz{_%sks*y=??f4o;ej-M
zJ=Q-*85zpmKr+9MGBSMPk38|ogJ0*MN2g<e$FB3=gC8%QeMG_I`zw#m3mykw*?Sy(
z!OnQ0)6s)J{NyKotrMU41wA9c<}v+0(dp=O7*YOtG`{%(TI&otgW<o&@um|D3=E((
zrk;C2E4V>P#;0=?c$en!rU%d)f;@JB7J-B0JUW|RfEH6C`Jzbzv=X$l3AD=dc#{Tb
zsVD<OgGXnR0Yn_U45hOPwCDAB6KIh!BuJV-!Qs=pDS{EyxBmX(*Vh04yPH2SFfg<p
z;P2bX!NBl|U+cgpenId`_D}o*-9H#WVgjO|Gyc=~HEw?5kGPe_AO0kbU*qK`{zGpV
z)A&Q~rtycqOyduG{fR&F#V7u_SD*L=S3?{)M`Z>R$bbml?MFaCD!4}F1}GqUr+_71
zc>eqUzq1+S{^lR{{C(S)7#MtempAY*F@Tq+_;hYj0fm)kZ~G$9lFrT+6>uo+0C^a6
zj*&-ai^>gf*noH-QIH5I2#>X>fC7!-c#Fy#(2jD@R90_}3WrbUfAHGIS1)3>foirG
zkPyTckM3sBqC=1gU|YJI!5dq<y9L03+M@z87bFX^5u5pNqnXf+_UvsxkKqB(K~^y1
zJa&M1gggMZVBuDf+vli&0s<6B6`(-vZU)5`$ixOF28LrTDjlG;;U33ZRC+)RP%!mQ
zfocH-!i#7u&U}I4%sh}rcsPT2L_71>7LYTMg4naWy#ln|Z-a;c14H)&1p&~W)i)d-
zy?azZi2%Cw0CZlh<4({HLQr;Q$V$!P%1VA=3o@+vhyuuikoaj)0i}PB?jEpakT@u2
zJUSsUg*S#0wty<w?=Mz>1M(>7^pf7q|NnOvaO{Hk?){5gs1nyr;7wTv7#J8F`M38+
zO=o6E>-1o8?CuYn&dkus@v@D9fx)wP`vE~vs-2?(%7UFODh-Sbpv2e0$N;`L-lKO4
zSO#=-O7m85b=JK`1-!GecZ*5^D1{wwQ30htP{H8Sxkd$?_<Hw%#lVhw@p~i4CA&c`
z>7D}C3p$xXb?g8Co$p`BZTkP8Km5Qael2i*0%c;5OF)?zlovno$AC(xZg7Zo!t*7s
z{6SE@jD*sHJ*fF}<*)yc<32i%ztG(X%B!Hl1Y!-ioB)+Dc>NA4#E|{o4vNLjqb}V`
zz#i~G@;s;<0wq-BlCMPtoThvCsDNZ4!SH1RI2b^24Gjj5&UY{5wtyP>&tHgb1cyT!
zzaBU{fcy_C4L|V<Oa?jj6Mv+r^#PEdBPK(_CsI`S03$=0F{tP+m9CQX*dN5mzyLZ0
z?-ReEh{_AmP2iopA}XKw<0eCliV$Tuz{v1g7pz6TN*197w8a{t?cPQVZGw|Q<?ko{
zn8_edrSa>D9^B8!P%Z@83ci0oxH3A#-)6*&vk;7#&ddNx=HTQH%KHqU!`(n4puq6h
z0pdZDZwt7v!jc6%5QQM<l#&QglIldtq0}h^K}P=tMH8~op1tiI7#;u>doT}p?gjBc
z9ss5P2Mpjs45JhW7lI%Q=724rv=9tK4*}2K_Jw$zNtEOMuLl)<7>)xa0FZZamC%st
z36x<#(feX2*o#Qf<JsH33&U}sXa^ZebRvM-()sE|1lXC#7T_+SLB$B(5_<N!|NlME
zN@#H1+1;Z8(hPSap%NMtyZhEbN@zB45P(bQ*K1Kr=+jUoi`V@Bf4q4n1N5wq#v`D{
z7HDfDWV0o#kvRC<V_9p!Wd^uK1}eBf#RRAzhm<-3qFK8^g#-nyFvH!Tk`KKVb{KRp
z54hGK)Czk>g|vq#fP6Y%y|}&xluwWnAax2LkkK{hMtk<Q3(Y_;K|w_hJYka73X=v~
zfRV>Ru?8{`S00DuZb&YCaTRO|QV4kVwrgWJ6V&bk84AhcARefk1c~4+Cqdy*vKlRj
zDQSgmUxk^+!EFk1T4A?VLGri~I0(RboP8B)9)Av1vVR4r(r*T}eL$_SxijFcu$eQ+
zYlZz?O<pUk6zmf8R@nU2;8xg-72wtfsjaY?+d=s<k{3dwx57Z@zkm;2>pcEq`EqKs
z!Wh9xf}&Pf-f~cc>;|Prq*mCBRghNLgyrCHz}E`fx((!KL@TU!8)_?T3Q8-ie+9T`
zL~VstZ9{E^!L%S+VG)?xFk4~P+hDCQ%Wa?nRu6wGY(7Tj1a&?^SrHT%9y>rh0+|!7
z6?O>}mdH&d>J);YYP1~PXwTmEGZ-E~E(A$zg^7VJptKOY05%1+5PXW)nMAe1DwlzZ
zJ`Bf^*a|bo;<$epj-#Lzc4;ZdnaIAUpcN(xGK^ZSFpH&-61o8#kl+$JbqQ(-?Fv;Q
zyO=;L4ATAz0i6H}ZWV$?!~Q`>!$9L;o_jzC27yMrd^#6_yEu^1Fp!*2=OR!?0C^;@
z3DjBk*a;fh0%4ENE{LhfV`Cnj;K4Dh17jYY;E^$pPVlJM@g~rq7{s8a1W<RpvkP?8
z`|&1F9~~s_xgRo)6yV^~xeL_0|HQA=1lqFtiC?e@)PeuRAK3(|`$2qg=e;vTMdK5{
zpo>Zd=!jH}7tyalWjDx`(DA}<(4faBegW`6QyRa<g-`r37eDa}LVKnHvKu#o%cEOm
zJRaTP2HMMs3=E(_OVRlo85zoiKJiEJ+HM4uqk`R_;CNm0i9Z6|F$dSloiV5mxb+I;
z01;5Z3LYr{s|2;oL5)q24%jFGsKJ@WugB{MvPBB!!cY7WtmYfRwt+{=Uh{cC$H+Rt
ze2_YjD?n~|ac?omE>Pg@19j><kH2`c03-qmW{^Tih{ZrP3O0lCB&fOog>11GXcRVO
z1K9H?%JMwAn?XgZV^^Q~OlF1-4>m{s?R};*VNHNO!<nEa0C@11fq~(Jy+>y=C<<S`
z1&#W3?gp_DMi?NB&_fuZ1vcX4ZUzR1&ZD5gM-7i|u$w^VwnF1?_RIhOoBuL4-}v9z
zoWlqj^w|a*^Z^}j2puCk-l789g$hc`pq3s;1~k0Xw-}VikGgd4fsA4HLI)j>yQqK;
zhGOvPOi@wr=&ezafQ)kREkLec!P%kn-3yDwp!)Uy3xfsV`W4j90J#LzNdWm0Ibb1t
z!Di5i4J=^!o40~T^I}v$-UG=Xd2i1PQ2c-ry=N~89^1MIr^h%TSvN&R0OT>q(Ao>r
z`CyNMT5{b}AYsw@?nV3}h{wX`|Nq~-8<edWORSH9dqPYvK@&+H-H^s#SDzgs0&Nfx
zXaSBusMgY>5UtFJXm>^Eaz^N~2kSzZ?SoL|g;3=NRs|ZSxv&<TCc&w;oU?g1C^a*b
zC?0G6$iTqBjM51O<#SLc6m;0mVTb~z*LPu)6|nh3P-zG***Y(K9B%@pa!{Cg?gu3w
zNKv>8)WG*R-UJ!|1IhVx?gEuE$D3F{jSL2chK60BOvhZR-~k#l0I?Z7I-8F$Fff4m
zJ3%4+aytV9gW=nT-JosI;3JViX`I8OyBU(QKnK|zcToYI55@r6HXq^BTLKyx|McS7
zlmGvFU0gxu!a<V`K3$!kUTg&&b<=qaWPXK5cQeFuEh?a)T+moF$Tbje>;aGMgNnwA
z7Y$GT|94^h(Af;~6vXkpE)4&7f=2&4S-4RG7Gxs$l&Dx(&mIz}(DUa&;Rw<O8b|d2
zoe&1vN&nxYvkC0e<KVdz(CD7W4$#dOpfL961P89iad4Faa-0X~G)kC0a9IuxN{|MR
zPDlj<)(wgQ56CnOB=AAe2CHqrW<XVd3RI8YU7!)j7cFx@833G`K?l=;&N|;7$d}d`
zz|!r>)yd)0y%`ka-Mc~l?A{ETz383{$`FqGK~CEVB3=m0{r?}L!cp@-MB0Ua{V(S(
z7P}5`SqU=*tltrwoOXg7_u|?d&@ua9!(I5-gL4{0*G=$Y?XXc4Z~&u*FQonkt=IHu
zd;^Y7^cox`*r34;@(akxuvx6*;5rgiC3t|3If6t$7bw|!90vz2IC6G^!Wt?Es+l~F
zgXgxOCV_(!IZD7q8FG|>suPqb0TqW3_d%lsRM2_!?gG_AFFwxt|KGQFUxg%S2p>{7
zg0ini^8qeM0eRd-r2v$mJokWH0CE?oysq%<ZS$Q88BqWqT)P+4L;$Jt=v<=$D)}J^
z5Mm?ZOmz((&=%`|FMiDeoxoDc+prsy#u)fpK!$jBzV_%|4YJ;|yA3j1!XpftEs+pr
zVDJD{7a$8j)+=~4ALa7t4N;K*O`xn`U|>KQo&$w3D4H0uQXPXreLC-ECBFDE3)+3}
z?gnMVt^l@<KsNsE0W4{qfh^q&os6&55QSkRqA(0c6o$dz!Vr|bL3IeoogU2xSir&L
zxfgW#3@C(rI&)MCKyCyDQ513z5fv~GXMzKU6B;ljWw=8GWD^I-CIOG;qb#7%08b}@
z&K<@R8d-@i-p+&v1*q7?5fmUP$F9CKL{Ow4f+7JD6p$%Y56DDeS0GbI02BXq&a_Sr
zlwu1M6`=Y6J}8NrpFlHsp#1dTb59}@Wc~rxpb2pB=yb~P*o&COUIj`h9-XVeNvv}f
z=v;S?&Q%~kdvvY>pB3G?3X~p>H*El=NCt+6V@+E?ERW7rAa{6lt^!5BPwysBNeOD6
zyq^wgy@M(iP+Qldb2TVKc6&Q~;@1MVNI@31o-7px9Y4xE6Ev?6nnRxq65jEG8Fbd!
z_n)2685hVDGRS~W`~uz?Y5aN@`P)DhP#S;u?KFP9%b;d%sZ5oWC+I-mPyB)*DjYA?
z&G`QxB>#zDAVh@&)Q|z0{fR&B0;oX@@@N{r-r-V_Dj|?AmKSw1zyku{6YxLr3j}AR
z@oSv=#2*Kq<pPDoC;kZ0iOWFMb@<6Ne!YXG5>;X#t*lTBZ6OALEsFzt1Y{97@Va4F
zQ-A{Ybx!k7#!^9#<KPP)K!KRXAAaDq*(d%u){9FatznQlkZ2me-i1=0D)6DMyf1D~
z2Ro5<Hds|0)Tx3H!$HviYpjBt>(SW^vilRifU^gvxd!5Zr-r&AhU=Z+Z>t1P4XuaG
zj|+gNenG{oXK#BtG>?JOE2P{5-9rQ#lmHdL9y>rhSTO{uSdO)TXVgGbl%To=<So#k
zgaCL@5_~0r?Q~GPE=C1zi$}L}0LVbF6`)lo;HwRK!1L@Nagaq=j76CEdK#LEp1ti|
z814oYAu!`Sc7S*U+>NlH3v9t0@YE?NY)*jsaox@yAmc7Df~S)}XA>}h+97v9rh@th
zy-T3#|NB7BS#d%4xMy$sR19Z=u7LuX3-Yzc4iFDyAV>s9@FJY~cq%9y(47fM7M(3B
zI9&>w`FaOBT4)j2pGZ;T+1tJi!-b$~0^}Zu3qd@hUFeA2g^+YggbNX6*o$vqqmbQ-
zdu#(#WS|t<=xYEhr$EbWXkW{t8#+PU-2<K{hkLgZk}UBi$CxRQv5h5Q7lOw&Iwzx!
zZDc}~I8FlRWN;2n<A;_fd{v+WnH51L;>XEgc~!+lpz<INd?CRne!<0{GT7rdxTy!q
zVaJ+5g)r0cW@xF*(CzKe%fi{&45~$sH-mcOpeX9~;bb(t)OwQN^J3>@P;cGiICz8r
zWJK!${*JYfMLFIcpZEp9m&<@Aw?XB3nhU=Mt0>3~F8l(#DGM1HKDqD<h)OSH1l<La
z#;<YY6My8PPy7*w)A%)heBzJ!nZ_Uf_7nf1_iSnW8eh}+L%*jv@`wLO;}3bC#vk@B
z&4pj@Y?<gMeu34X;wX(@??n+i#1qV)9Qh+Ib$5drE|v#NczXjGJ10XpHPb)^Dabvb
zZYijoYuF9)H#2_==nQ$J1wQbi#k044H%9se6<P2IC9MDh-@s8b36#x{QXVA*7}!KD
zbQ3*$+wWnx8+05X!rdSqC{jTp_(}$_1t%whoQz&F;4i>DdZ!=?uxBsYu(<INh8sbb
zu7O+xDH}jMqTQ$pb>j>0BC;(iE0`D<(vG*NY+zzw-~!brTR;s@2G9Z8Q&b>|z^jes
z_W%Enloz_49eQ~fI=fXs>tvdB7$K9ly)F!(q<?_l^Frrj(CC=Q@n#v2(?Dy~PV#qv
z4ubo{FCgkM4|J?LY>~_wusxtQ11On-2D3mJ?-PF{xRLvbKLUI?%qM=qZcu83v`0W=
z3ZP~|QH@6@dVn{Bl!1Z=Z&CaVG`S{DY-oUse{dvE=>r!QqAhblg@pjPboj&{aR`)K
zK)I&785Abn-5`Hk9t2&Y0S=vuonR6E^{4pPAF@2i-^T;GajNtCizgF6i3VJLo$Ny`
zzgG4`inzw!|Npzdg^x$$ksVA73@8mzNcVYyM|Yb8Hv>a=p9ePs1L$<yHjfHWEbaqk
zYmdfb1{DkpFOT<w*UEylcyzZ_fYmp^)PI1e2MzjpG#<MFQa>F<J?N^7?mkeZ4mN*A
zCD?pWBJpTE)=<g7@G>1m{R^=9A7JJ?RDsn;fZEE9$26)K7+#vAs8`?txnF|^>i!oH
z_28=_8joE7sb@z~9|2Ymx-bLmo(a`p^TAgeG#;y{W?*=Ey${*H6Ts?cz|6O(0jme!
zFVT2Rp@xCs<#H7D60#uoD9A$H^8l>APQ#<|*a?v0Dum(*6DEL8n}nP%+=i+TG&s`>
zY9s%e2s(ALqZVXqHRzU*0uU2+#;^{GX(;BQ#w*;sRbcZB>Oki028~U4G#-`!@nA;Y
z?1lRt#XQt_hnp7#Htz<=gx=j7K#i})!v{b-n0cKz%tK8VaPzpp<~7uV-3K~h)1&cl
z28ahU&k~1usObi7-mVFt@Y84jn>PWRiVh2acrf!`_8|G!qq{944HSO~X$%YvHXO{Q
zG9KM+3;01oEBL`eOr@O1+AcIOFfhGlhcMVZ8jl|kW@7MYJhr0&)Gc~h+66V!qr2?}
zSn~sZ(D6+o93I^+92_2<(<&Mn7(6=L8bDO<KJfh#jmHuiLAt@iAg+c7Uh;QCO#$UR
z2!^az|LoD-#sFGP*~cLOO=cQRpkqn5%>dnZ(Rf&(32YFk5Ov&<0&4L>4kw-4{r~?i
z5Pv6#fFDr`I{WlxAjr)K^DV&UJHX7p05P9~1$^zx4jks&;xYeY=l}oE_30q@Bf=D%
z4J(?#?!N$We?l{M_uuWp?f!`%^F6xT48Y-S!4C}>g%(iE?*nz2JQ|O2v@kHd{0{Od
zBs@L3+kWtYA{Vsy5A2W=5cS|@Z{x8IAoa&l)Hkqz)OWBz%`a#Lo4-OF6fnmkS{WE#
zPDfF{1FZf4Oua-KSp5TtdWJSo`G-)CR33Z)>-z!K2TIS~Z4<z0b4D7(ZWk3#&`2uB
zi*3E&tMNFGH6Lh$q)RY^*`s&2gapW4hgX1Xg{AoKppb(5-vew<0Mwqg4A8-fz55Cv
z?h9xKmH#N}HCRFZHvo|$9G%kyIv5!Ew})`Bq;*ac>0n^!a^Ya>XcOxI`?3RU`>`MG
z;OGR6MZWxnFbs5GQ+L||kV$<fKnJ6DBl5=%kO7@-2S8Nsz6j7TSmUt;9bj`n!-Ow4
zfz9#gZhHaN|A7?}CT$s@(+GR_H9&L(bTTl!WCtq+d)TA9tplun0!+OC=zzW6eLEoP
zL1!7hyxsxNcOKns0bunJF!ehi>OVl#FX#f5|0wDeK$l7MX|O@vlK?umt#{uH5l}oF
z^XO(^cv+56j}+e#V0{TteUSJ*0gmqr=<$858&sxo^nk*6cLLbt!yiEQz+zYwW&@J>
z6Ts%rKrx>o9Tb-w=@7TV(^DGQ{0$KEH6Z5C0P$evZ*7N$5R&;Pz~)~-G2a4gz5}}X
zY+&;vK<BCT?sgCbh0I|G5D#X4C>HZUcl&hraj?VO>fzDdRsc4?0^R&&U7+w`=mUpO
zgc#WT7s%#+ZNqSX`wJl^hVK3kLQLRx5Gee?^>0-V$gC9*vq0B?c{CoL0OG;ix)Np<
zD1kxCPtVSO9=-cOX~ggw=rHIPDlMSnr}<m585tP5nm=SRFmyD3%w%Bb>iz^`cYn?V
zRS(?<K!d)MPY5$GbcqOdOa`g*=<e<SiEf?%66G=M0PAS@#ayaj!(1xn*}G3-7Bj;>
z&;pcQpoP~jR<{2C4>7jkCv&NM!)}n-5S6Z|D(gWio4;f-Fti-tZ`sDcz+l73-wG}%
zyPLsI=>|CkTn%c1mZyNKgl<rk(_q8F1h%^fWFqLcXo%gqt>9@6u!Fln4hHMh0SzyM
z`~WkKsZ`Rl@z^31y`TZfcP(J;;HnK~4|A!NNB3l~Yc@k%W5iU-ehlnvh6Wo(=29ti
z<C$UdjIb?3o{h&Yfouca!wq&RsOp1kpn6%^0!<5`_(K_=)c{p?ou55B{~d3;0lK^o
z+`-v*LkpCywjJnaVAuuf>AjfV0vbo%lMU*tgD$`Q0av>_Kog{P^8>irY_Qq`aJ3Sk
zkv_1wyE`Ci879EYwFj#`0#|E+P<sHPRspV77_9aLTx|qG?GK1r2e{hj%^>%lfvc@R
zs5JolZF2%#?QXEz3vjhF5Nb0ZY8&8c`@w3jz}4<Rs9gY2y8x~>8LajORBiKaQ0Ot1
zm^JSP1r1|~Zu4%CzZpwZns<Xd#aJTUyc^_V#uB0C-5}>MmT)%j2HC|}s&u^h#smh?
zKoaO~3ju9#kRO0s^`i;o-5&_YXy|}dJb<gX238>fT4w=?iVF}G3=?5dv8Cz%|6|P-
z6B!toJbHHrq=5|pognyPRTDVP7JzQf>8@Z0?R*ko0gYwz3QPbEMC+aK=`2y<;BSir
z59BTb4dnXt?*0KjuN|^3z_YjQ`z*+e5_p^yJjn&R@*UJ2h4j`zJWy*6B!X*1p*IIK
zZu=d&)v2fv)D47NpfDR@fhYJ*HZE|pa0__TfX7}C4`RU@@Zc_%Q8bV48qoAGxC<<T
zWV#3V{@UIKEhH;IBe5Wt`*fFp&IQJ4CU{Z|Y~rB?gnK=DMe8RrGI;dvQCR`%QFPvW
zvAGe{;f3z}>TZFEclW4(dmNpRtq-7GK)3=2H2DU$Ckn|P&)zl@Bu7KW(A7Xm0A}J2
z5DyYAkj-US!Uf@nfAtVQfVXCW#uFGA9Go0Lan^uych(x{?krHeffn!WLUmshhWivy
z+y~+j?Y=l<_jNZT(yT`}3kN=DLc9oao-~s4e0%$<W-~Lurz}N4^#f#s7dQ!n#)Lqo
zg2!|~BM^`*+Svl$73I;p2C|2%caO>pM)*8tB4`Z*s3e7K0SkR$S%*3P3sMi-1Ga#X
zf#GEmGkAFv>w+Fe2L84U__A3IMreXE7RZgD#OkpF#3K}%sM(CW4ie>{TGO+)Z7Grk
zRLEv)YZ0cyve|khD@e~~(a0wDic0iBvRMZ-oB4nO8)={&EZ*ItG65`4LN@zcgK)WL
zZ`)2JM^l{5rbEr9B%1{zg@b2r+kFi8$)RL35RXv8fEO{}t08^|yN|qVwgu`%P_pvv
z?fXkiHUmv1c%Wo6@FI%dHIR)sy?emB@1S!n7}@Mn6=pUA59)RIfcG`RGYP(F7EmDu
z8X^5!1qy-xFKlZ-rS?(K21unU)L}{{a3KAEajODce_DX+PY2}s^F{}x{v=R!{>O+q
z4V0(@@d!m7YMwR+yB=P3s?VWn)p@fL6gCjkVR_mR$qLf*bUU(%y`nB%kUR}u?9zGf
zMFl8kk@7THyt@ao0Tou!5fiKONcMR4wpk-Nn&LctxB?O?;5-AZI+aMQI_pv0mx$p$
zP-O{E$2&kgLJ0$&r<IZ2*WHXruEbZJ51>Xs^K|1JBC1aCFg!}022C4+s!p&>?;aJ<
zYD8Rl`d=Alo(8E0Rh^)<QShoWx0PVk*^CjIpp1nGO%RVzXrgAb3uTZf2Ze`cZ`*1l
z3#d?a79p7q%Vt}VtROv`DIlBJD|)vLlFh)&&^qtE5G)6kb%+)!SiHMO1-vSalx()6
z6eV2tBRQJlY!(AGo04oMffNp&y=_l1+y|;G5eWmtBa|@U*=$b<#P4ADk(bRXp<V<f
zE8pHe=D9><Gte3+)T$HIR0U--uuShB70~KKT-j`TF=jRcsRv~<@C*wnvA?Sr6axQW
zFqMLm6S(SpUW8h89)~KKUx-$9Ldv3M@V!-_0v~);*&gt*8=%7i&+h^)_KwJAVCXz}
z@TENC!Ol|`e|1id$!1_^e)tc%!(a16XR}5Qs2eNToRAHo1-d~7!nO%yfW;ztA2onx
zG6lOWAZj6p2!L$KgxCTW7H9@Z^TQ5Hi8%<G?{ol52{uQ7&hzix&5;c5Ogn)3+MtWf
zUw9OQ=SrJFHo+ENl*t`yu9(Qc!06GtJ3<|-Az>l|!wa3_|Nl2IzLtT=g1Z06vV6t=
z{~I3YXg0|K4RMu(fo6+Aw!=&XEe|{1oR9<RZh!`EW<W;(UW-6PLCN-bGe{J~^61@t
zAqi}C1IVr5p`STL2)BOXk7PYl4-S<U)FTzbc7g)F0DPTTH@NDU3~K3kbn^&$baubU
zW?<;<21iTx2T<fR8{{xBbT;pRgb#xT*v<uTJ2gPbp&1lw-QA#=>TLc3*7XBKcK^v{
zU^w`YnYkNmNH;?c14Hvq&U(=KG|iw(5<Gf$pYR2n2)ca%GJtih@c;krW|02o-5?Dd
z5amB04iErcJ=?qa0o(!0!OB72=>}WZdFbFPdB#Jaw8WCbz|hOm)!8gD31r9a4~`&e
zGs7g<KuUHYXfVcN5+ukydUq!{fmJBLRd}MO>QDRvEF7T2dd}A|GL$k^i+4AJ9NB!}
zU-J)+BF<yY5tE>k9v}v2(!)UwY^K8`28I`0V8?<q{67IzUjb7OVt~|FK-4FI)ZZ!q
zg#{=!4nAOJZU*_Xv$+HubyzygC@0(GZwEzlMK%KiG%tZtdjlv|kh5D2B)fGU(md5U
zStkcP?hEdTPlk>j@d(25T1PghXB!SWspv!*Yx57rdbwlGGeBYI(Yu=i;^+pDqg4w)
z2_2LTK(e4rf-K8k0LmmC&8FatC(sNs7L-`!Yr%=N*#j&th?Zy<ZUgxy1}rB4R)dz0
z1He*(%{w4b?EuNg3qTPG&c_Y;|Nl4a23g8j3eI{U4X9Z!1)lY8fPBTkzyQj6AW=|;
zJKhWu1+hGOcW;0ge*k1WIO{3qL$V&sH-R-^-=O*8Ht3|3<{9Al>qZ1KH0yEXfU+Ja
z#yYzNz?p6iD7u<|K)h4{$$AgqcCO6>B@R#wc6WoKuCrMLtV;q!cFW{IvmV%xZUu1G
zgXSghZ5_S4D}2BylVLI}KSYB~1nF<y4blKrZUMS0q<8lLPq1<YxN;q^a*%hr!PX&V
zJr!8ii-71YumkILfb0E`3(9&Gli^wK0YpUtT*bLuaD@U6Cd61KDC>n(!?GU8k%+7}
zV=^@Bff%5yv;mqbCo?d-=+6a(7Dxj;>w#`e0nM8?gBT$7FCgj{fYir>9k>8GCVag4
z2EwT;ATe<O?o?$QP7MdG`#n+41#<NADn^Df&<qLdTxN!SK@1EGyFf!yFWPf(dGl!{
zBSV=AXd_y26{PbC)*lYiUk}&s4$%)T$h$!WIk<!Z>9U3Dg4k~kv%h6NGs8a6)!7jH
z*+KdteH;eRI`8HKpvyTi2MU@mfW)B+!8_b;fCM_5A3&%V5b6Vj`T?OBvKbg4xsIVU
zr@I-Hwp$OBBz3l^fS0U5O?zMuYM?nVgEq9aNiBeMa6Lei@8FR;A!rXe04xIHfpcMJ
zi%JAYq<4x6=(r8gl#TX9(DYbC76WJ%7{~^YLeIUBH1@zAv||@)iw?3adqK)T=7Kg&
zfNb&Y{NlL}Bmj~Cwaq~m`Sk9ATJ#*$ve<tCi(LpCT2$<it>|2%0x}qGRwvkuYC>iN
z5H`b{kQqsY&G>wtfL}@oo3Wmd8Eu5k$cCE%+j#&^Xe+=4CPp{6c>_os+Oz~MLIfT4
z_M&_PsHWHfPI#cE7Go)AH@M1dKETr1d?y>!wE8ibfq@5<s(N=XU<VgW58!z@JQGwf
zNlXE)B?L+Bz5!9eFa=ghnr4DZ5|ByF2Y5Q0A7nEy9Ba0i!oUD3LLNZM28AgM3@>Cd
zK_^SHx;+6ko|_Z;K~uj+K=XLm7Qeyv<AKlf^k{qoUXuo%@AK$x%b3Ez;L&=Zg!BJ{
zW6g)a$NKI*k;A~iSfcOIyBf5t0y4Gh(fR&`TN-HVN{JXk#3dav-@AdGfx)wz&7=7T
zQ;id7W1<MC!g(M9T}cF*8I6zt2_;B?=e2nlp_95Z7BDmH1KA2GGOncm{|_<;bVXAv
z8v_F@vLYQkI-75R8WEsP(F_b(KO8(dyB~lAjyJ!^VPII$#>jBI`2&>Y(b;?fB)8+#
zoA!xkS>Azm%`)VIjI-S<cVI(6FUU9!kihX~fm{ZLRm`BclYp{3c4X}-nJfX(_5);2
zcQbgEN;hbg3TRH4zx5;dI6v4dS49r!(7`<_pe2r;y=}7>LJAtt1{6qF)*X~2K<nQ@
z^bQaYl>b3x6KE#vSPOV|3RJW_fs`l=o}l|AVZ)4lX`sH+9u@GK|KpG~>L7K;T2wIB
z{DV4kpfMA~R7OBLL^srNk1!ku>bZiP0_sV6>;UlyI1XYqXaMv}D#UEC%etGvfd%p=
zt_=y`4lrnbWjEAIP)9K=LJu)^P)LD{0?|m@4Iu*$SV9cpsCZOIfl~s=B!Z4oLv<88
zhND0W4-g>*;t?HEr&1sx1@<s(^BdAkQZwYJKu~`i+W*FWRG?4_q7x2IUs$#YfFrWA
z2Yh@a-jFf{JL><7+Eh?E0`7z-CI1H>6$olUfbzT(REbm)xG|vs&czy#Tx`R{4{EfB
zpDY1S1e+IuTc+I^;4IS(ZZ{xz0C#}IA^8`)k-hss4yfG^+WnKs%)ns71X}A4QUp54
zE~t=^q14!8e=9p=!`LT&!5$URKH*RNf>Xd#w=cqz!7XD5?-RcOOg;|0tE&KFxai74
z*a;}h3&CxjL;P*O@a0}EjA(ZPr9n^%0?|7_JOa^<l6x;CL1G@7M?mfZry(545i<k#
zCqoPXC!O9l9}M?dfZPRlABac5eTckbjO;i_qv=2scsv^S`fyOO1j@tTp?ab2YQb<9
zsCxwVD#(31Ks=(|H9HaFXK<iF+{G}HNO#4fCsk09?b8V<o=ep{d)pWnGc&wa@#x*{
zFol5uyh`B3t3+^(+WqtY|CgX-=GoiUgW;i4kVoJF4dM~-kVoeuNLar(l>qS&G_cAR
zqZfG2C}x9rM4O!lHv9jJZ6H5%g0u1L`2Q%`xEiV?I1aSH1608?K&pF2k6u>hAE4~t
z3~mZE9sxBE(3=q+-EH8U-v`S1;Kfe-9^Gvl#6ipIc8D`DyygY1Py};7h=XhRj<$@c
zphnKV1M#57$+3W`3=A(*6QFC5Ji6OFL_wMZM8Qo2X3%0|foTj3%%H`_AO@31@4gD~
zlDT6)Kw7n6T0zT~kk^wZh=I(>5QEqXTF=(q)&MrULlh<kT|XL@3~G$-0A118yITRW
zUTy)12V3KIG#=_c$1u?01)#dw1J+Qw0cz6pftoa+^|LN24j$cY4-!Cjzes@D<LJ@(
z=*5Sm|NlE$AX}unn=L>}x*ecOT2wkg(cBxN;sNSa9EB(am)G6RC#*m%qzhIM(^^z6
zf|X8D0j=l(sh$o}-JCET6s)^Bz+rRP1H|jR=h1is<k)D(xR;C|KLmr$YwvE;0Edl%
z7(9%dIc9*uSjVGxHz-~p4Y?P2F`!mli7x0M7Lbl^kPeV9!OB77fsnP4A0U=RznmNQ
z|9>>{4tlVCkO+gCDFrc;^H}o+u$8i)0XZ=S2B`G!Xt0%X5NXh2qYV%b%>dtJc`y2<
zC9)k*^FeDIp$6=N7yud;n+fs~n)$OK(sIX|BW6MtGfjZRw*%xt%jlOkW0Cv-K3~DJ
z^E-G!<2+E(fLP<vdH+QK#3+8xZZ^-(c7<F9hL@KaU>*S}u#W*Xi}_nYy+O}zunLdn
zAB_BcYZySSVm=SegPxuJ8ldLp|C1h#KX0=#Fz`2n7SDNhx6cq`U~ug2UjRwJHcTaI
zHq51Ep55&`M8OgVU>U@~v+>x@#mo%*LO{buPFPepcs3pjU&73=&ljXZ0Ye2-shnry
zF<y`gFIXqvr}I1bIE0r`G5`OAS>R|54TkR4*a52V`woCe9gh8=u>r$xyFh0IbiRC%
z9{vCS!KW-cKCm+|Xujy~&X8na@L+CE08!l{j2_)Cj2s@FlRIXCwg5Cw08zcWFMu`(
zG#)OP#lWx&w7}T|ocB1QV_#atKr<hDf29JnM!WMf_>P+9eFtVSFff#`A8S^a4cgAV
zdqXY*10#Q{JZRjkyZMC%C=Y$mfTVc-R`95McXNU|NH{|slC_#0W`pyP0%U|;V>ScB
zi&N3y_6<T|gAE7cCgz4)3>}ki6hrmJ6oZB_n>oOi2!Kcyj?U%;h-oJvrg_YU_2xj~
z<I}C9;@i6ve3ni(n#LBDAFK?ZM*kmH2GG772A|$7Dl1q)T?x>s|300cUYNvyN@noM
zI-r%`9=%&sK<mIkn|x33GcbS~X-J2ux2S-Fw|9>UNEUL~&cX;##kM=Hn1P{tiVE12
z&OIs`T%bsK_u_Cg<ZPPVAXVMX7kokCbOS`PaCD+L5Y!;|0bln9au_Iod4SG3-UBi6
zc#Fyoe#mjqp8G&bL1_duCUF21-@SXlvS0`K^sWK>!Kd@x3s#V=y}NJZqqq&U+6i>*
zu{zXk@*q{+%@W=qw<&-~Y;N=Dwo&ovd<N>RgTnB|&nVQOJKmxK+Svj*!C{Na27U$x
zpKcQs@Zvju1_p@RI^Vr03<rnTpF9*dfmdR6zI!n_3KCx3AXVMXKO8}BVsK($=tg%F
zsCNLV`{7PX!*tRNXe@f}1*wMw+8PzmrV)_CK(b(m!6N>}<uI`8N>F1{0velNBO$JP
z3sQydy5<H*I^6-8tH^+-)BTX}%>enLy8uMu^h*kOWhBf)p!5n#jxTy6F~Yh7>M_u`
z)dXl70EvOU<J<Ywr}NtjHjp!WcXyzAMgi&>4X9@nK&rZ%QJvSk0OA=9$h1la+%umb
z5hI`miWmtsXvRGNQGG!bl<zmM0A=`_=n9cC{7qPfkA-CT3Q$(>ZUB*>4F3RPngwJ)
z<^<gCGKi5VszIBYTR<mop=2gdWWz!clyE&j>qlR>fg%DmQ&m8N5%u8k255kSWWfOn
z%1k>#z)52ca%jGL@hBXUByNFZyPF$)K;hB>B3U?E4<PbtMHOat$8_NmkPlH^m;uf9
zpv+zXbr(ns?k)w8&Aqz~kTdza7hX_zIe}!mn@@Ox+;ss&g4{)%Ys4^J6M^KK1gL93
zVsO_?3I>N#2y*s*_hL^NB$T#*WV@Ry>_Dz*0FfZq@OKa!gDs%6iW)v1SYt2%>Nb!p
z+-={2z;4@s8iSzp@6!4Ig#;G2bv8510VV0(7LYlP7x1+B3KFg_Kz``{03u2A$*xe0
zEDhRghFRk{Kz#y|h5JMY;*%q&J_!qjgt8w<w!0a{ZJo^u5TC4o_=IB)tQrNSpN8F_
zoXEi6(#inZSQ3>7+F0T?2ec)tIU<jNA+597Wex*FcQYtnJDVLKM$LdsD`|j?0@X<`
zt_Fkl3Y+DFbf-ado8*Iar+{^X(r9OM0z`KJWDd&%u6sH-0(4YfJ_W53#hk}zQF#Gs
zWA;u_Ss}*2@WNvjXt?+es08Wl-Uw>_b@r%ufQEdJx2OcLLn=#9u89DN^>#miDoFrw
zkGH5~ASnS&Rdsa>fV|zK0-8VV>K24>D%lwrI$Km~K$@WaWXPmP14yKIib@A)7`0nR
z1vHowy7vG7t`@KX9nB!qx_VSV26TW;>z)j9W#?p281iqQyfqgTGLu2U+ckN6E(1fy
zWKg(wPX;-(b22Eb__t4f0#OAD#;(cFz^WkO%Td6<(9tbe0E#cL?q+CwLCl557uZIe
z@ueC>NqjvI1nCC5qqzYRrz>1Rxn*<490rCLH^9;$2X-_sfJj$3gQYt_(mMk|7dEX1
zIS^Eb;fgq)-o>Es@abHlf-~a4LEQlf_pWAe#I=CK{Wv7zz#V;z$OFv^fg=yp==$|y
z=4xW%E+-F^Ctz_`kjKCPi@PFFi3g24k9@EyXx#bagH%D{&JUb7IKgozgcNtjAh`t^
zcSpdv1r#)$%?BVs_XCpGR={&hW&kMkA?7`U=muGo*4g|7tQ+Kp&gKUY-5Vfz?F3x6
zHdr^<9nB1LL9w#?0VM8TfFef*EDdsCN3#M%dIKcxIOZ}iy!h)68f;mEGq+J5TcExk
z0|NsntF2K1XEkv1^Vf?{D~XAw7L;h}K#Ha=q-aV&iKY~!Xi5V|6Bjs|gps1jZ7wKc
zo1xL<G8a@NLZZn55=shQps?JmF_(d17r00~<Ohl-h<Rxc-5`t7I-66#x<PK}Y)*ja
zz5$6Q54i3Yux_wBnj0W$B;3F@WPswK6f6yLU`O);i1Z6cG<ATa!~AF+O`WKb6S{(!
z$eDu@ISY^?XAx55RG>so4N~OPfg^_-962ILk#h_ZywJ!w0uEkC<Q#y6jRz!hR=|T-
z)fW^w5c8fvbb~Ac<sq<ckQ+LiA3$_7K$bh5fa`wl1JVt4M>E4bP^|0@fJDv<Q0U(P
zOM@KP(X0TGR&W8S+RQPJf#JnYA38_Qj%CC|&K8u&*?|-}yO1Jh0!rjeL5iGd;K<<t
zM~)~`<had4$vN|oat<VH3LufAF%On=I=n%_3o$PZq8nrpDCdB6gWS;BoB+|S0f`(B
zxNa}7Zm>I=8z5>rAd!;+3Vmy^G{}J+%?lvX5s=8~07=Vu(>Zdaml6{>XHX*N0#f8$
zLW-OfD3P-UDRS0<BZn6pIbukWa|{x^u$%)9UTDsNgv|^{<g9=P?`uy`<Uq`O2GI?&
z2$XZcx<PK}Y<>XI9RP`(6L8&Y!MefjXl9rX$`iW}KqBV_DD>xnr9lqtXjXtoPk=-Y
z$9x8c7xkWWiyUyX4zy;ZdNDEa^8_V+ULeKKE2Q{2fD%7PkmBbUIDYuR@gt5DKW_6;
zGS7UZ%mWFY1CaR9m=DW5-X5U%ftZ&D(G9W)lzG6qL2l@5PJrmHfW(gnTsJRRH`pD`
z4G=XyAn}s{ihzIaAj3fp>}Xy9kv;*5pAL}peRt4;J<wPl1Aj|9Xpp_T2fQ_}%aN_4
z`54$Wjx1@N%|}2^VeD)^05RhQ#1kvv&S-N7jT!c+fCj9<dY>WmK7s0e0MWYu;)N4%
zy<Q-_@K(f2P|wK&+d^=ZF2&bgSeF8ClN4WDlu#!Eb`(5lP17Do%Ldko=zRY|WFfv}
z=GYa==Fz<c9F`qnpp(o)S<*VgSRA`U89K!ryFyghJi6C_gR3JHBpsr{lGYi@;@B;s
z(izIo(aaA{SrP>d431r{(715q-|h;F499NpVa<>r#fXmYuK)jowLzi*tP30sAWgX9
z;Sfj@#NuX#1>mf1;0~fTzW}9`&0uMei#nPWAkqwwb|}XJ28I_?TtQj=uSah)sNoHo
z>ofp$w|bjFty>V+0>TBg_dr|+2p80-0&zVcTu_4p#04Eu?a|u|s?|Z<2#74G#shId
zM`n2RHiN1a5H|xN3o3F!+y+oO?rjE@YoPOLIXrrsLAf0yRlo)sQl@rm*rT@@lu1Dr
zRIq`Eu|a)Ma90M_>VhU5A8^z7|BLJM@FgCP-pLaAph4*=;HfiETI!fAmk+*~5R{B2
z%YfLRlmt=NJNW}tIcV=RIB|7M{svORza5;eCVv5OKuHU%vZGl5oVq08smpBv*l=(<
zaRITxsmlQp%NmfTuEqjbi8a$1RH%R~=x9z`050!97Jy2u1)%Z{<eJXr1c+`1KX4NA
zfa{J1>jt}^xdEak1Cq=#K<UT_EDds6NAm)Rv;`!Yb%3OGok7Wr+A)aS`-DcBPv?Ko
zpdYB)`9fnZf#|X+f<#vX8z{eqvUN;$DguWnIJz7_Y;bge)cN%84gm>(%7Bi^Q6MHP
znj%0Pa5RBbbTsD_fd&q8L89Q?d<+ydutEUD21nBYXeb4O19}BKpg%c+q6uU{NAojC
zG=VGtRs7&+0@=~o`~adm0iyc^T=y17&<GrO6bY2D8Nfr)Eh?Z*WS}`0(3V2j1On)A
zZI9k&&@c!{sQ^?dcrWSk7VutDm{QR0;;v@!Ktv08i*Z*oc-WyuWg;Ue=@=A&$8bP9
zsJfd?Ae<1ej0J=P-ptkunF)TeV8Q?Y-7Vn#R-Mftt=&B;Al04SAg$d!;H`3<-C(WF
z3=2Wwv%3T0(H9_(f>)KFb^xt31Zn7OR)DB^5eibenPVYr;B7fr4al6<19cpo%?=A0
z7+!N72h*VCXP{%3BEWhy;ChN3KviOk3b+{T#1nGeEh^x$v9krdT^AI(pzASEgACmN
zhXon9w@+e_tpQJ-gBGh~%_kw$5+EUR01|2*aNkX}M}%4fM2$iiI0Q1_Y6`(>K%v&z
zya1vm01`?aa5cX6kWg{}mEi<J30z0u4JB~*8x~67&NgYG)cNX#&pZ-B=K#c4KOmvA
z0`9AFJ4EO_fT)Rpgw6@LnqaURNa!#u0tMaf4oK*{fUD8BgM<#aIwTM};5rg-=z#mb
zu+Rbbc1aE$J<yE=#O62!h_4KSK%U#ou?Uu%B5e_&;{Z`J0TMbIa5bi2HIUFrfT%eD
z2^|l(8bMn~=zyC81VRVgM!*|7;0`D(bimzBl0!#e4hd<e0phC+Na$q1ePwNf2%QBG
zH76jU(*ai_4psvRodXaxKOmvA0<Pw>H6(Pv%^|#@;{rOc1z+I<?*74o2He>rIcUDl
zA|YrVK)kd75;P~^UQ)0|1P#MtP^#L^5Dua?zksXxV+B$J2^s~68iPo%8ji)V1bD*=
z5;UOZAgIQ|S*dlmsDN5@AT_vaGjQh%7CPXr7RjM=9dy<{vDwN2;;S2w(9wYViV5s<
zNJW+aQDXrK9S^vgdzOgMX@IE7fP_v4T+J>^Na#Q&`tes>p#C2I&;fU3V4(x<J&+ta
zJ7<uPb{0T<B@hgb^A5PLo>+i<4hfwD5H$sm&{+Xja{#Ocl6D?I)GUC6&I!1hITn!6
z0o_#q@;Sb=18R<g)Zk7#;ASu^bij>Xl0#?qbP_^`VF@T$c6&fV=LOtX$IKC-qX1F!
z01`SJOJKQX5m*f*bQ~aRZa_ju1Fojd91=R9hA_zIxIzcC1{D-KAR2e*fZM;2&^ZPP
z7p87-JFgRxSMW3uJ72wMnnpsvBtSgW00|fmxQEu7fdU5N=>~`zk0?+WZq9(K=>)5R
zB&`JyH3ASd9dI?NW}rE=#X@<YLr+(0<bk$qfhKYpK@%_!I6!y4w5Yt`U|;~9;P1H)
z)cAuef!U(+fdkTM{Q*_Qz=>_S69*>)Ln-JEHP8fUH+U}}WOI0niU23XcnMBWTNSj}
z$414ow|&VH$iiC%kTiG`7%!;j+}Wa{0Tu!AAf4D26$6k+?-UgUuv`AWnAZ0H|LZJ|
z?q&%U(E0!c5D8u?gTt<k6xcNjd@@doN&x6u9MGwp450JX62KQ(fZDTKRiL4u1CW5%
zfOI!kzytn`38=%E1J#W_Y44(v05alvCCG>e5F;kog5&oD+=!JX|NlGkZ+8I=(KLI2
zI?dfIDxJ+PAQu02SJ0HZPa&w|=gQR4>{keittBexaSEE=CMG72g0c-LGLJ(d4jco=
zTU20?=h@r7kAlGT1s}qL5tyEx@8IDHI-jSrnPDj?6n0;Lgy#!*V9YT_4^K#JbiR7A
zumTi{3J{F}_8|S6IhMkTk7Q${P)q=Y9XJ$YKrCn|Ccr~62}dY;?1kkF&^AI`;Ry<B
z{6Tn{#2^HZ2DE^azenex7wq8kf{;VeqccY(0d$?30BB9%^m0&0I6y*z!yg<H8t{;a
zG(rzT<TXt$DhVJXLcm5OK#b^s1f~bv2r(n1z^niTAviEgKrCormcRqE3|C-wt|6gt
z!JQs&kQ10wz=8Q<FZhTY%#iH-^dhJX6o?Iw5O9D5Vg@_}L<}(k5x#H`5*eMJUhspB
zSO78N03;AQ;6_|DKnlbOpr8W>Vh@M~4a5$3Aa>yhL?X-T&NV9dQ{+<$0<oeT6c)&V
z2+5P6(|16{8%HT9Bo9D>p#l<;E8xL!N*|<~KnZ!Y1Z2bmh!H;^A$bCBM7usxNUi{d
zA2=kJfLPFwoC6QZc{oB6lxuP2XygpiLLekRQ4o@P;FE4JL$dSLi^IjB5MWpa%FMer
zKmzdvJOo<xhzP_6un`InBMclsCT-?e1}h+)^pFDa04V6dfw%|6f(GIScpz?~N+4pL
zXF;#RJ$u{#V+1K5N>c&E16Nd_lO8!i6(D%<6x3RHkqtgC5i>|(i8H$h6s``CK$#E#
z4ipV|py=un5w4)~Q97FwAVxGm7WI0-jd-Pl6s`)O5Cn&-42T5{R|d!sD^o`^QxVAZ
znAJCN6_4jW&~jE-!wr9i=Uqx#^9x)BK}*ec@OePUVG1tt;8nPIAt+26AOWHP3DXRC
zfZWtZ4^v2S(fR7dy#kQN1rUu3AStv1u5p1jQb^tarAu%~UIDSXK#iYcNMU*e!fuyZ
z3cA6!c}5ZF<bnM%OPLuwd$+4DWoFm~YL4y%5su*2=Zios&`ucN&VT$Z;2qE1%>~M!
z)@22VWZ?iEDPhmw?gSbs?B!LlWMuG2W@+?bJn{d5V|Sb0Qf7wE<~&eDcDETVWoCFO
z3>u8@ZZkucumVZ^Vg>CdX|qF?Z~{p@gh;p{OL&1KKxfrEcDMN<O9X)=)<blJAxlJo
zB&I<m;*cehKoYeOi8N%1ERaMBL?RDaq6j464Us5AmZ$<r7(pcJkR_Tx5|R*!He`t|
zkOZiA;n>~Qhb%D(B=MXD93<0_C1!yn&Os#RAxkU*No<8kEJK!91(KKpkywW;u?Zy6
z43XG|EU^nDkp+?1hb(ajBoPRaIEE~73M63xkvNAeaS0?L50SWrEO84Y!3L4Ihb-|3
zB=MFR?DJ>H60blKS0EDakR?8WBz8k2z9CEe0!b`_Nc=;VU|Pn^@Ujyk!L|%3iE@D?
zK(~N6cDL~%O9+7^!XY}ukR_x*5_S*?Ib;bXkc28kLJe6$3nak<k<deyFak+@W&-=%
z3|YboBykHOVTUZ?1d=!ik#Iwn@B&GIZifQp4`hiTkVHR3M;Nk16iA{BA`yoykpz;6
zg-E0!OJsp0Tp$v8$Pz^$32lf(8L~tbNJ0oAQHLzi1d{m42=;j!vP2h1;sHdW4_RUo
zNa8p|Vj8l<ERe)Hh{QZ(iA5lZsSt@}$P%kS5;YKsb;uH%KoZFiiEYRdyFe0N5Q%-r
z5{Ez%h7gHk$P%YO5}<=&9lP7kAxm5WN&II3`}`WR#4V7-Gl;}JWQj*0iL(%iXUGz-
zKoX$K-W<Ez-XTkT0!e^2qd9iBeM6S`1(E<=#^u=E_77QtX*n~)>m0}KHn!!+nFAym
z2Fe-8qCy~12gmL<F=SCGkf@epcbgots1it2#Id_g4OvtR%xMA5*7i1odY+)p_73pa
zMl-1M3F2;ma6w%u5cdR>TL_xrZBaP@;ez@IAlVxbE~uLT;$DDoLG4};_XUItYWjk>
z4<KAngAT-90ct4q?gq8#JbIf!%@L3&2Wa-Rw;5EYg1A3GdeACDkKS%j<qA6d`~xQg
z189R~3+OPd<{wP_ts6{0BN1&;%b6KEnvX!L!!WS74}dsbZSi24J0MPXTQpea28hGI
zy)9!oxT^jEs;ax&5|)D+D9s<Bl0^_ngJK5I`QKR(Neu|E1j6Gf22Xmo)huUbcnR7l
z;@H(@1hx+95IwMUP=}a<WuOi*2Ft)4;sCJ=>JSTv=`e?QK_sCLae_!f9pVGw!5k6-
zQ7Qs)CB`+&&2KnBd+-><;AfFTPMQEUWx<QkeLCM~rDic^CBImz2s>=zDE#zu#D&d1
zy-QSHh{8>QAGPe$`P{SfCBz8F&=&~=3|XUc1FIqL5r%}kkS1Wr5|tBJ4MB0qH3j_M
zS)&5lbPGLx1IarmE}2NckR>WB@VSI3E7>vhg%4gsz+3;Y7y=0pkO?6#xL_vqcH88E
za%m5E*^EawgGXmGNCZoSfhO5Cux>{JT_y!OcmgB~idN9pdCYKl?%Vk@D>aKbD>?K<
zmpmjaJv$HLut|a+I<5;Jy#g&-Qvhuj>RzJ)o}l}G)B~Ivr>KC0eR}t(fOhU<TKpe;
z&39I!W5^33h`k25?d5>l3$jfBY+H^BhX=wcuvqUNl>#gw46`Tv#VR?l{|r33IXt=@
zI6OML4}kgyoy{jeRPXKx&@SiB8Wj$Y-pwmOLY-T{e%dvGoq+*%wb=_1kZXHagLZUb
z3nlQmHlVRRaG}QsI-m$NRtxG%fkZ%;b$NCk1x4EnK5WC^A3$25AqZmo^v+QMX#$00
z0v7LqE@}jwa?ij3xi~#5(J}bN0a=JoafE1hGw5=N?(PGi9hEv9pwt06x&bqio@b?o
zW+gj@zsQFesDQ)3<1H#T_#oGqfTHLD$Pc}%oj{2g>J^X>$P1t=lQ8W;N(`(Jn{XtC
z?$w}KTTof2fi*1N!yLR%1{?x79NfJcG!qQ+w**#Wp#BbhQ4Tf6qZ3<c35vxNe9$bh
z9~9}JXa-FMT>ymu)azh&?;aHaELMTDzhlt<E#UeXoEummR$@=3yFmL=U`f%Z8@zY-
zxeqk&fp(0R$$+M3x<R}2u%%AWo^Gtk?f}Tay=zo<fayIdCj>An0a!VaB#kd@L4mRY
z8YrNk*Z?xIdyNWs;T0mwf`xncs2sp*kz-J3RwAhM`XIo-5c=YS6xc=_i2`Kf3?v&D
zKy3uC-$K|37Vh1n!hj_npoIj;#s^><>!3DbEh~0`rl#Pr06LxT-HX2<4ZW*DTXnGn
zyh}HvNI%}9(t$PnC-8yh)24uBLFw{^2t52jRXMa0e-3r$i~Ew`0Ku8OLA#wn*$s5U
z3D)ce%GMz-X5cl(qr3S7sD$YL0U{yg#0iAEkZMzCehGi!4mHrD^Qdp{G`)>T2UsH-
z8ITj;Ky4FHiC6)OEtGTO8$cr9%ri#?#0Gif09JedL-J3sV-Ps&oRk2E3XWvby&AMa
z4-~j7uo?#UL=(gqoPi5k^#^hj=wduPfolyl#-sD7Pw!;VBpa550ZO|W(6sBh2T_D9
zQ7J$Q1u(mJkIDor4o41x@E6C#AwhsW-Ga6=!qaVc4ru59d!Oz-;9Ab7^WO^#iU0p`
zI5GljvP}RvvUiONNEXz@S%D=t`+)8`;sjMskY<K5#ECe97Ze~K&;S8VDF=XT=w1U^
zeTc}+VBy|9Dhse!2+bs*%zOcynKz0-0tI*NWr1X)1Jp+FT1JG8U|~>m21^_u*$67n
zLSNWJZNwf8pq;|-Xy|<J+xZt1_`Ah$2EGO#1LzJx1`qIwTcAn?lyeL~*MF`Ct)cYk
zouUF#14`T(Sls8+`5Y7rprsy=3Nq-$Tv2eC;E09p)u45nphO;l)wur<V?thpL5;y(
zK}tY_)?)`Ob%Wfl019Mq9-pEDX7}z<3BY0%Bz1!-NKltFE739h#bXh$%W+hYphIop
zVGFAuJKy<szJC!ViZg&Yu%>PSkRyB7sDNZask;M9VgrRMs4oqx99<!<!x65a)cu1O
z(qcdj5C%R522jJWdyNWs2`M7sfn-3oH(;?HDdBAZC-q~(kify6)L%fY2Q}0_@G>xf
zwr_wJq#|qt3-|6(aljHGNH&7<Ug(PusEyc@x&czt*%x#G=5tU|zaWe=CT{RzOX?4J
z85p`(gBHSqk~&BYD5-<)_rxrKF_QWwA#j-Bh?4HrpvAVJq%MKQyFQ)pq@E2m26s|F
z0S#Kzg8Tv~kfBK(%m%duu~>yj>Y(uza8myv2zEJ+q^^JzwmzU@<UMjrFh>Yy81LZ4
z*7G<3awn(>2&VU_WMD~epwte|h|n%$IK+WCf)<q8S3tuARF-c5ncTfb1-uFvk=($-
zy?ay=uvmzc+$Mk%_)`H$kl;?>Gmvat0JRakrWj!(SQyk4#1aiiHiB|o=!;^gjo1^o
z07@kM_kk2O?*(uMeh1bBK7p5k!KHgPXfZP=g@Y7<QuqsDcry^Wxdn;_NMY_6oR#bt
z^x`}}I8<=NL-%UXifK@<=>}HwpuMJ$7adSza3}E!XyBqI@di*ZLz6g|-MdHS0v4+f
zNgOoZmzC%k`a%?9C5|Kxs`U`1Ikdsj`R_$PKhE&Yz?!%VKrZZEqXLoz<#o`d$(TjQ
ze^9`Jb00K)m-FEZS5W$nfCdOCeJ6k{>0YA(UdN3{ZD3(g{f0YzJBGjDfmns3@d~oa
z1IelYs8!(Q;0UY0!o7P`Hed;Sq=a1n&Pua*!Jfkr^dHy|gC3Zr3m+fO2(ZAKz#YIP
zIA}RKD1C#}fYSF2Ebhe8Soy#Mb}7!}4O;RJsv<!*zoW-0tfjIBY6kAKtpN>R)U<5?
z3TbHC2D3r!a4gP7q-{`77t(tLm1v<aj374S2<HbV;S4H3UToyS8MqQyQ?~-hjiB4|
zctO3$Jt`Gg+y@;f0%tsE>YmAsFIYiIjsqGbpwuk@vZQ;B3V5+OBFTY;d-tdq;7#3n
z5UcQ{?jJmmW)a9L2B=lwHR}kgz`~$mJ}lltO5FkA)V+rb>^U5v4!Z0cR<J;Chkf_L
zk{f%tqm1dj;6WeL`v4LF4T14st5zR?WWh&4uTcT9LCGJa15^qnVDShvo<JvrW~GMy
z-=bo`#lVo2=os|k6(__;II6zxW)Dz5y*mIzLVD{SSj~rbbZ0^gRDkr>4T$Njp8)v=
zrMG?oBm&MKb5uZVkiQ(T+Kbj(mxkDmBT00x2JJuql@S_P4TF2)3I{k;aFz|AT@4^N
zNnkYw-n#3B8iTud*a1zTsKvtpxc8^1fZ3qd9Tuw)#RF(q3f#Jrf>?<og&sgI7rMds
z7lR9jDIC}nDd;dntO;-f$c4RYR91lLJt`7dGAgLv10B)_>&4Ww;|o+!$uI*N8lddD
z0AzCa8t^7@q)~aWFeo^%ScsHe9l+U@7h)rh)Bv)v1Ifk-P#eM9HV_2_SQyl<!x8~V
zHiC+(&=<4Wz<$IL{2P#izY`qtQtVhm9%*c^0&4^`fE?SqMg=4bO3*Ka;Eg)eu{}_3
zw*cq%7ynqnj>DO%LFbo(QuPglSx5~;c&grx*BFoP<_1t<(cJ+eAw|XstOmk!TN>0r
zNReSgOp%cR@;*wDQ2-Kw78xKm$Rh`^+KX0XykG%`3XVk5y&AMF2Nc39uo?#U#6pNM
zI0F~7)d%FJ8CZ>h2W}M97~Dlh1T@K_cJ&kB-k+iZW`kN3Sgb-68Q`o58vA(83<&`2
zeUSyoX%;%X2Rgg~G%@!gh6QWt^+2iIJU~tZ#}#alDS!vm^9F6*231@jc~HnyU~w+A
z;)3)`K;z3nFDxL=#Tn3`eKDZ;%D`$IJib0Mfqjj`7*N?@fi<8VK)wM@j(}F$Axa65
zFvt@LSZzg`i>id!izB&#?A5?(uL0Cv(8eI7@(?Tx>IY!47g{<whQDBeT8cf2Cm=<!
zZ|57(>AfHiGJqC)zI#!@^#8waZ=cCVW(JSm)u43-*hX`~lL?^hIiP_!36NXCLvyeJ
zc?FQj@fH;h9tO}39R`mbTnr2hu=F4Rk_G48DJmc~Xnqo`12kTQ$78`Sv>Cy%h@-p(
zwJKo^GEfho^WBS8APv2%L2D_ndE<DC$`5V^2GHJjj~yWIz?=xWtp}7?L9(ED)<8H5
zRNgv*dkEk_0FUl~=bB#hGJstNY76=FP6n;Jz+vYLZfwEwft!H=GVwh}1<dZ<qoRPY
z7hX0)@-$?^BK(B}#8MnV0_sMh1<7=fhTheng(o<icLQsXJm3a(45p}nWI;i~fp8k`
zAgTKQ@4rX$5onM=7p7pWJvrW@asq3RTtEsEFdI}cBkaWyB&-liaRdoy<OnTDTK@k7
z%~@E1tD-(9P}1-02G8hsHiPE(cNMTAcVwS~W=R7;dV1G+f%UYZd&#A{8?^iuaupaT
zSAd2}cYu5YE*U{0pqz668m1r_P$Bk05J$BRNnkqvAff4rb#+De>U_|G?cO~qHxS0a
zg9w~XK}BlFi>H6_8&d!_W{JuPtj55L)Ok>2AoaKp_J9ESdj*ESH-JRICBPgN5F3=9
z4q&wnt@hS}*omV!?Ot67b@~ddhQXcw_zxrmAWrwg?sUi;Bj`9pP*lwTIT<{60*kx_
zAQ7l1z--W55*B-r^J~b942ab@5~lz&BB6o%l<>Ou!JmKsJ$u)MVRx%bcQ<GyA1Jgt
zxEUBc_ktFTfE)@EnE*{?AQ@09>p(aXlyjlQ7<^***l$SS;7Vn!;4t2!Qh_iATPmw3
zU`!j>m?bJ1SdD>4l?BuoNK{2&_dX=5K>h_qRRzeuprdP$BB%i*1{xs7Y8zTAJMs%0
z0ytAyJJ{)KR6MX61|13we^CoD21lEtdo^eq04P2zuo?pmfzTIbP-7q=5JgM~WPp5*
zQqmNFM4*Wk#0KR!1FZI<g~g7a_`_l*I4qW^NMJP#>WT0dg%D#v6@hQ>v>1Gz0Bsuq
zMPmfW$vZ%GGb~~gKq632fZ3p)Ar^a4bKJKd5FcP~4S}v$fL905$v)707O24sx^5uj
z=fD5Hz55byxfoPFz+CJBavw@NA^<D`+G-4H0D*jE0T$tAfGl+ai8z2nz`mNI0%C)j
zLtuTN$_|U~Kpkz!(2iq}V>o!RmM~r~ZeTzZDn6ahLB5*w<KO>d&7ey%7(BY0Ik-Vv
zO9Z$f*JG3x9BaO@j)4Jm{mKgo@E)WC>lheb?ECTW|MBJ@>p;E%iSAYaEq3eO{9qky
z*H#bMREhNvy+1&!?0PpdtY=_&(FB&USP$AM)w}xz=vI#2%?j%o7+$7<kI&ovK^S&-
z%8PGb|NU<{z~2%GlId<fAPjQc31Nui_*-2;r@=SR06C1gc>;*)bb;<@2F(t8?ghmN
zs2%46+J@@U8=@lc;;}90iXW3)@I}~u-MDol>A7zM+WupZ3%ZOtVm;Wy8$h=8ZgyA?
z^YHBN|NeuPxPUG~>HZ-Bb|O=$7bN^Zw=*J#UmZlTh9t;81|X7!<Ntw9aEN;JVuq&O
z_kaHzc7q(vSnAx}401`Y$EN0=YULsxyFf|K0Ti12i%;=4y<=ox==FHf{F9l#=@BDn
z8MFp}%S{mDz^~>X0{m@f89`g!O;mh)_cib^fm-$!;B&>-s5G#G_9C@qgBv|9Djlq#
zp-E7NGXk03*`hK5ECS+zL_rC51}g)@u@;pDpa%2t7L_F+8q|B}U7~V;1=Nf9?$i0t
zr}NQ^H(&q#2k%Yh0-t9E3d$ChA6#JFe?S@Hc#8@HC@X-h>YV}}rUYM(@$bdN&k(Ip
z=gq}%o)$<C+<71#A?JZ^83a2k6zVLn+1=2#8<tD=5MxfDYSMA19s>gdxU$Sj&Em>R
zelhn8xL{ELg$X#^K$q@;5`A}%3WES>^({2?I(t-bg&EvY2SA2(zJI~-?caaT&f}nS
z+24Nt2fnQf<T0Pl|1Zu$l`Q=PPIVpNj4=V8F?8I(_kym6+!K$K$6m02Jlx$3zV``y
zixcRsF8)?CHqgln-~`6sY5+c243WtA+rejNq9(NFCvKp0v>P<&?9#nB1LT6$AU$Yz
z040C^2f71j7x)gKSKi$$>fYTx>Y(MaNUdtnW%iH~1hi5XRtCTL4)S#~$Y{{5LBfz=
z1YPk2>MVn<_2LJ`{0`79L7-d?3VhHtU!Vi(I6w#Nr-K^FkjoYVzz6SdQSkuL;C*!<
zT_7DApfVS11}JbrA_gFl-aRTH8PKtt3@_?F{`>FIySpM6eB}$+tj>2YR($#QA9U}+
z0+1}|2*cjx6_QL0gv*rn<KQ&xqLKk=t$+$E(3y+{p8G*17RVhwoi!>I;1bKH^Vy4Y
zA0g$SDro4Tw|NFA!*+|Pbah?X$js2uJueq@+F;kEji7xB-E%;$>^irRnW3{Atg?4C
z=zI-O?h{~v9(ln6n;rS(iL%mEfrWtqevJU=x>)!%0-7wKvI-Ix-JnPZ?Xxg|ng`l=
z2967G)Is8+N5uiG1yVeBLyG4f6%VME02T%YkiS8#(g=`PZ#O7-L8c~vxZrLzB#wGi
zGQc7r9w=-;Y6?Iipo6PGG&nv%AqR@R29QYS6qODT-8%(*_wkFnpnC#ZR6st0-fPgJ
z0`gG@_^^G@E>YxTG-^~3$7nn@1C{-_j?q~9@!$V0$m#vqZV;FTmxhKT<cvS?r3W3|
zSnd=^1t+CuQ22Itg9E$Qg@X~4HUd0Ai)lfF5ey)11oGBz=y4quV5j1`d*;^%a8ZFU
z+NXCj=xoA<8V(j_&`wuK2teW_M<s#3)qx4Lp2tSTqqqGkjsy!C?}J3jQINY3X;$hz
zD9w6yzAs7i=+;r`YJCJstId#GHCi8l(`qyLR*lv>ptOqfRt?7w|NeKizTC*n;Mn2D
z#=pJwIY_T#ryEOm>tm2;C!0_2X3(7!SbPD#JEyn(6%O~KX0!BnASc7z`yK3F$ek3e
zUqN<4?+|JI2zD>7J47bF2f6nj$Yl`s{sHNQxc3K0w3F>vGw8k{M$pB1NEZ%eya%<!
zz{lUbv}R&pXg=__`G+lkd&C*gnUlPp{}_<A_I9;3fj0_4w-D8VHwuA9avZzb+QBl=
zEkw;=8PKqoBmefc36QNn&@DtAkbOa*p*@h~EQlm@3(+KqBy^-^4ul69$^t1}0@};8
z<22}4BO4Wu#^dZ;m>KrfGQe(@*M0Zz|I1~d^ANhh>E}O=@W+#WZoL6T22%Rr*#z3V
z1x-I(n?Pk9H2tt`0&SARbt?<wJ5YQGZ-S>EL6BZZeDH!qiAX;po0!4b4!yueO+V^j
zC&SW@BG|pq^dk?l6PA9Y!S2PCegfZu+^Yd{86^Fvf%HP$s{|4Sr5{i#VSpqf2DD_P
z`1ap_f^`riS~Q9BR={geOz^i{1(gS|+Q&wvtKSUdLul>OZvt{<C#3f2Hvst%TKmB7
zttkNMKi;BJ!GtLK8$e=++iyBR+~X}O6OfdEu2%Qx1s@Its>2pQm4G(af`&Fc_k$Y1
zpgIS<KY$5Tfq_D&qelhQ?S&Sj%<wwv095}8Cdj?P9w>Fz1tw56hgN6ZfNFVw<f<1S
zF+`p90mMbAvwna@kn1c^{}NO~aWKOg*04GYG}eQh6<SmzKx%rYsBB<lV0cjvzU2XY
z{|dDHY=+#-0!jbPp!;QT-%7;z8dOJ-d&AD*S0LRGyFvH%@Nb9N4Z6{%8)`SGBI$01
zoVX7viTSs~t{4H|4%7^>9dtVoqOJu+9w`4H>e_OBP+g1byeZ39pmYtc6q&&_B&fa#
z@BrN^2wKVk&Yuxr0};(A&@nHHU{i5j9`*Po$W%y#W9dUedV!GKVop?UQF;N%E$=~h
zK*4egsIBILlv}!-kaA0x9a3(=*;X5#4K?t5A*B7#g4$%$0^OlNN|OyK*1<h}O<hoG
z!gXNR>*t_!4Z7m01Ka&Uci_^nmK$gZdq+2DeSAkZmK%juKPNgJxe}F*Zasyhqef6U
z0!v4rQa1=G9rXtwrK5fyq;y30QukO3xV&W;4h1eB`1%M)p$EO13R>VouBL(%xVWyS
zn(!2qv>-(u>DOWffptUd23?MYC~!fyW5Ehs(DebZ0vB|%0HVMJU+D!ca6wmkVJUFY
zbIN~BP)@;hLfye9M5pXvqEa@)V@S%zC?OM&Qg&AyQp)a%LQ2`AB_y;{%i#h(f1mhH
zEqc7OYk=Y%*Li#=AAyoFq=dwBb=NMqG^~UK?R@Cy25qb8=*DuHSLY+5(@`Q(>1f9T
zNIJqOA@h*ZQGX6nI_l3rN=Kt5WRD8jP0}|`pj#9mr4;m5IA{q8xfKplLgKm=F7E*-
zX+cUz(r=_Q0PBX@4Zey9)+7a8Ob06=L0722N=VS{Du@yie48G$gaqBDM??vER|S+)
za2-22|31+vJD;eOeeW(LWn+|(HApGDs|qP)ca<Tf?9mbuT0%avqp*a0ssxI6T!(Eg
zzY9vnkP;Hh{dqIs(y$T|v~i}R8?-H_qZ`YOdg*tGPDiywrK4%LA?XOCgzQ2}NBtd0
z>8QU2DIJZLkQ9`Vr)&`=B=l-VXbJfQd^IDagv50<qt9(n(t?zbq+bik2i6TKAwicz
zBHECk+aX~kB<LC`SP2QbVG2<~g0HlMmXM$;Er}>0cgurv3a&F{Yi|*qvb%{&*?Vq4
zQZ_~jIRhzWcTGb|*<F*6Qub&G2`wRySW;L*9+m~gJFY`?TW^4pF{FgVa*1UbTpCtF
zg7#i@bb~f_b#!C7*3$I`(dlR=QR%4U8YCTIl#t7i(oz2sq;%B304W`fmXH*bkSi<@
zB_#BIRA>pA0=|M1QbOXoA64rbC}}}TNYd|SeRCD08&X1oZfHf6kf1ACVI?H!Dm_>U
z3A$wuQ9^?6m4%j&pnGMBC?RJ`fpQA2v!bJ~5}mS_6P2=OU52D=U*z#4&^Y84q?FyY
z2`OcFtwT!Lqa`G?gj{4oK?#|oA^;kKo+kl{dR&KOCtL=lMMxou<uchoxHPPg1RXck
z(G5Dgs-qjr^|A_=(Pnx*dR;h}prhrW>nlL(Y(QLWlgOXN!A^zTx^7_yTI{s>#cbFr
zr>&QWPNiFkN~K>eKvF42`FjW{mG&P%N~QgKkW%Sr`Ab3ht6_{Nf1y_(L(AVMNaZiC
zE09-R0Hs<;2@1MK8Bv0Q?oo!7prGqUVI}AR$TiDImo#@lOHk1D%^tnGF)nF#1KR;9
z<3SfhBg$XU)zL6>Avc^NpVW^&3k04IkQ4=_Y+T38-#$-t%05g~%9c6@N!b|X?**ik
z-E|HrWp|xIO4+03FSPts(x<%ql@kU<J+6b_ADjiHWJvjo<+A9DaA{ci3)%$S(GA*B
z+|iBY`sf8`DJXx7g}_do4Jvqd?|>ZIp8+p_P0tdYN-q+XN=r^dQYl9Hdk-m<_TNED
zrTsUMQt4><OF{X&LKji~La#W7mcJ>WyTPGT>$t8s*E$VKwUF}n7|JLp=$>>~`3t&%
z8CFg@fG;11P0YK@XJCMpzo6^cJ$iRzT*7|r6vz%p`3t%L9#Q^+u7-!13%N#`VEH?f
zAC$6jU7`?uis+PmpQw~Q>jWfagV&3|=1)QG-#17pyXzHF%I<oGl(KP_zdoHKWX<GC
zO%e-U_&pozc|kFa>+X`m6QD!|DQ>Y`sGbCuh84G<4b&aoST0vL2P+lKV_@iL25r{%
z=mxI~fzCjauyXPr56Hm@kV5x_I;ia3>;W%y4;)7;&%sM3OXZF=JIscxuvUO*(U{G^
z@M6*NfB!cyzLtT=f)-~X%eEZ<_uudUVj`}iIi?u2BA%!PC~t{M_zRCh621&O$RE(f
z!k~o%zmO7s{|}^u-~R=k@O^rBgSy?IrBt|<Yx#774vOtv3|j32I<JA8HCymIsX)tr
zH-Oh{fx>}?YqmUgf)-nWmWhFu57Tq?)<JbdG5-uw1VfAYCrHKogZZG?+P%ORL~TAX
zA9ky}^HET4ffV!iP>T6G`JjXYimlG(8(=mlMmn1hK<t1uvyqDVV-P!DfOgaLZe9Vm
z<Ngtl9gt$431SCSHv^Kne?SK?ccK>aIY=v|cXEO=5$LGv-rX424OJfjmG1;sZ{?^Y
zAhzv*y3Wa9Qx`z8riK$ZbUNT!Q}xKd|DeWx03t_$uS0~dVg?zZ05akzD5-jggZ3<>
zsBnNb5Cn)LmLW!ngAAUc!XeJU@Ztl<|Np%_8lBxD`3wxbE*k%uf7tQ2odvDP>u%Oi
z2Q3gZPzP`DVc>7w#tbr2Ma8#wiwf5LG%YH>!PyphLybOY-vWHc3Wx_=0)=C92xv<K
zWJAq!pU!VD<{g4;iTMEDO#^afClR}8z*?OTK(vB)y!5uHXl(|qY(;jQD2n4iJOYjb
zZ?OO7(c1#v^8>mF@ZUj*B@p+4c7@<}A3`_iXd;mA1^XeoVNSHiaH1KC6G1#8oj3*T
z#20Z$?gMT7=YkxybgV@MeJ>Ksb&wrNFIdr>R*K;?&?ZIDB2UO(DG-k|ryYjI4=CuM
zP6KW3BJ8xReW;;UiQzO*ra(9i#3RjV8c?T!;{|jFG%Eu`_Xf<}QXlwHwytCDmdXc(
zBKRl(Xu9ieQF#H~Ed|mHcO<^uQlQif%N8$sK_+&-e{uByXv@?Q(3YuP`;fOxJqI6e
z{$eUrUG82`X+%_|TMrIL%)L6GVh6F?L1!PN(god!<I`=Tg6%v7(AIwNo)?h+BtUz!
zQTN_}wjH6iU~)KEKu1r2)PfGAdx5?U4YY(7x(y9-EPyB|5i}oB=<;Ce=#DA^Z42^X
zN$c#6C}LpfX6S4Vf!tw&entVPI0Wtd1a02~RsEp-pJ<J#91bp!Q$VUfPPswQDP4OZ
zTjIb@aY8u70qPVR5Dj)vXY+#vAeT0ST$$F{{A2;BX99A4NAt4<pn`dKLLi9Rd}0Bt
zS_Fl6S2M`ij^<+!-5`V0I-8Fy09A`1(>t3FKy+I`bgzKxeg|?Ja!_}La4>gF1|1;N
z<-)<#(F{7FreQZ|894)gt0H72FKAseDCRIPZ(gHP08s*31`Sezd3W;~l@3ObuRy1L
zcR=oL?rH{|Al?DF!I{_#oO`FJfHwTT*ds&2EzS%JL7}(10}>1`K*0bim|i^J1Ih)E
z8=4g$YF>nbly2r&2pjP|3|3=N1ls%R08t|m0al{{S2KSPXnR~UXdNQR_jm#bv}_Ti
z1a|;|m)XJs2)x>s!~j|Y4j@pyKSP>?FiL><?EoZ<Jm7viyc-cl4G=X7VPOAfz}3tL
ztAT{k0*IObNEmg%)l}~WhY@Hc5-0%hgb`@r5=aT|Faj^MgM|@zy&Y*`)cNW~jT8xC
za{%I}ACRzF0r%7LU5K!G08tYG37ZpeHO*i(kg#D`1PZv_9gwhj0ap{h3mi6}H3%S&
z;|Uwkk_C_w++hP=Vgm~s@Jbt!!zMwJgs@S7_{ks$<h9Kli(vVtdnY1n93W~YK*B}?
zt|lF<1`;+25H$xNVdDW;<Gd3bHlW!@kjL?a4QR3wqy%@^fG5{sVFR9HCpm0fBuEIG
z28f?BAYqdM_f!53MA$5Vs5t=%n+~`dZ?GCj*c^bU`2h)=6>v4`JHTNB8UJrl!4o#1
zX$bsb1D;cZg$;OWjpVS=5GNsQ9zguG01`GQ;C>3;jtCou#h^sBn;{%TZGHh)qYqXC
z2^$578iPo%8ji)V^vAs&95#@#IlN&58Y09WHsJAYSlEDvy-5xm9x)Qa#sT7|8<4Qk
zfcwdO8zO8HAZjciVdDW;BMepp37ZCpnhZ$TWWd$D-wHZT0W{!%sNEsGTf6}U>SW^&
zDDX%XETBLmQe@Wd_e4kttpyNY3Iu~wKnL8HoLdp0bpWEK01{d&;A)<30jYt6)&q!|
z1(47>0atTy3pgc7<U{t~<H=<oph|F;Kmr8}4DjZUB!n{&a@+u@h0&rC0Oo+3BrPf-
zU=G-IEh-V<CKjT0-z7{!7%?mX1<q~{NEp3<`|aswkpIEfH7h{WJb;7|#}ZghItW$+
zc15!TM9mFI7-_)O%-swQBT%aoltS=?5vT<YQi3~-K&?<{7#)M;GbUnNhn=rpEEXam
zSP~%KX@CTa2i!a7HX(v#0Yr^J6et8Ycfi%G0;_=pO9MoW2SiN<TumET4Jde<e`N9Z
zwSb3EbX0tL_kxB>e0mpyjvfIG%z(O-9=)w?;Jy~LQwZMG4;mW*1s9|{4dM~%v-WNQ
z_whYC--C}H`ShZ2BWR3hi3+Gk-QA3Tump6fJ!BXHqWjeg;q?&RP#12+a3N@b1mQvu
zkAMpiF1x+~Vm8EO_=ibgx?a6#TnEtwb<rsd7a60Bj(~VXyT}#EMbOa)kX|gK4+!^s
zT#MqKzZmWT^)(P71mc0r1c~4p?SjYMR%qyhLI~=fEC%d{#z6JFdXb3g9>y)`16-hy
z50LGUGzH=j?H*OAd%$7e-3sYPV~&74AT$D!yAIMh1~~(EUd#>X2na|sNF3}u+#?{c
z2>A430ysfDe{o|yXz=5hPv`R&d)Fcletds17pkOm&A<Pz1zQi)^ET`Tl`~BIE!lrS
zoA{eQB!k8^e<Xv)H5p5FJ-VAg*L`(&KM(;6F_lUkYsPYF(~C_YuXHzmKtA}0xwO=y
zyBXzpqvjthwOp_>j$YeA&P75$S1N5C=mZ4NsZpR4laNo1@>xgd#3?C=J3y!Hba!(I
zfE~>Yax};|kVVMG{ap*PNC7f^!+J6B|9_9p<^!`B7(5z}RERS$L_5Yf#yZA1#>XCp
z9i}9(^Z)<m9~%5E>>vi@Fr{|ZUH|{T{I=u&f5)!2Rp3(>pyx3x1E0D8J&$2MSO$6?
z!)mY$>^z1Y%faUuK+j{?06Am<b{@kah$QqphFuUz=y?oBAUq!E-Lhvu=P_t>fgN%Q
zY#r1g=fKuM9daEk19iw{unf#04<L3y9dZL=I?N%jAd*mrJc39<9r6akgE{02$RYDP
z!4CNawhro$Z(!@74*3t3fjZ<jSO(@0jupru!mt9Ad*Qe33PB{H4&j1GLLDLk;lUgt
zvx1r7r5MB^N-ID|M?f7Sw*qup1k@qwU>T@Gl)*AEhZsQYf`*6&#B^AQSV1JA4l#mA
zLLFiQ;lUi@0&+-i2RKB$z}7(>;s&-3>JWdh4AddsU>TT0A|Q4_9TEUB9p;cEh$Pe@
zQ4mR}LsB3-m_u?v4q<^fqzG&s)FF9b>!1!P2g^VmQVf=XIivw%7t|pY5Yu4}>4Hc?
z9nu7mggT@L!h<<v3dkYV?cflZ1-1_AkZEA+pbnW2mVr8CHdqGckQETSpbl98F&*ZR
zO%O?_Lsmf~p$^#s;lUiT2jq}XZD5BS0$T@l$Ud-jP=_1`%Rn7+7%T&G$OVX9P=}m=
zm=1HuEr=x4A(tSMP>0-s@L&#k0&++u#38T1)<GTe3~U|LA@9L5P=~w*%fKA+17a7{
zAs-;7!yLl25}c)=4*3O<ggS&}B{+k@9Ky4bnc?MwR&a<2twhQ-d@GT1jW}2a>JVYD
z49p=45WAobk${*EbBGp166z2oh$Pe@IuIVrAtoS)ghL!+1-1?vB4%Lgpdn%pmVr9N
z8Y}~IhzG<js6!kero$W(1d)U~#0w$`bw~(=2Xjaa$RX!iz#)<Zwhro$IIwk4hopmL
zpbkj}%fK8`0I>_|kPL|FFo#q@B%ux|f=EIgQUl?^9MS@Eh%3Y)U0~~=4rv2h2X#n4
zSO)5lZm<l@Au}L$K^-yyVmizriy)Fvhs=UVLLIUM!h<<v4agyTn!zEm32YtIA?v`_
zK^?LkECY4OX0Qy*AqOCKK^?LKVmizrry!D0ha7@PLLG7j!h<>F3dkYG5Qp3XTL*Q>
zHL!J1hujCtKpk=$ECX}M3y57%hdh9o4s*ySh$Pe@uOO08hkSwXU=H~Ma>%MCaELIi
zLdrG&z}7(>!VZ>!I)r%@C_lj*BCraaL!l1gSOw0LFo#G%B%uxwf=EIgA_L*U9HO#{
znc<}(#35Q>>!2Z`2DT3B5Ph%=)FIkn8JI&XAa+3=VgNB6<`5@{B-9~R5J{**Tp&D{
zLwrCEnb8Oiksz>jP>1+|t%Euw94rHMNHACi=8y!4T~LQaKum`@Bnu)5bx0CK66%l~
z2oL6v5|BgqAr7emTL*PW8Q40gL+ZgYP={25Wnd2JfY=3fNCU)lm_sH(B%u!Jf=EIg
zG6lkeIb;sVA#Dxd5LpDa4(gD3VC$d`Sq_$gI%F|e2Ii0r5WAobSphK}=8#<wNvK0M
zK_sCL*#qIh9C8HYkiYd{hnxai2X)9Xuys&}oCnK59da5h19Qj?h+R;JT!5GkbI2oz
zB-A0dAd*mrJc00F4tWD|ND0ItpTO2Z9r6xr9n>M;!7@;Xd<M(F9Kx^~IoJGvm=1FY
z7eo^35T@1OECqE44}=GEh{$SYhL^AFz#$^F8Y%mVtp;UMs6*tzGEj#|gJob2(SX<m
z4G{&1=`e>FK_sCL(Sk@q9by9E!5m@(a!3-yAx>cHpdn%hwhrnLcd!i9A<keKm_q^}
zc0nED0WlrskSK^G)FD9-NvK0&AUv2uQa}#5Sql!4EU<M@hoph6gE}N1ECY2&HdqGc
zkP3)hP=^#iOout72_gw~NEJj9>W~%)59W{_kV66>4w(eD4(gCTuys&}Ob5$A9Wog#
z19Qj%h+R;J%z&5<bI2-)B-9~`Ad*mrtby=g4%q^7$nhF*i0lGe2X)9cuys&}><7z0
z9kLrN19Qj;h+R;J9DtY(bI2u#B-A0NAd*mrT!HXl4!Hwzh#kZskHFSJ9dZwB9n>Mu
z!7@;XJO<0a9P$BT7t|pyAg03{@(Usfb;u`(B-9~)AUv2uSk^Ezyxdw14iT<3NV$e>
z4N|V*2g^Vm!VQ*zIYeR&a`qKi1J0o^hbTcLp$?IPNJ1T=0^z|Nq62b>4#XiwVC$eE
zq6fAP8Y1Rk8K^^y!7?z1I6&-zhKL2kbeKcDAd*mrI6)+#4)KBTU=9fZIb?AaI7Fhr
z)<GQ-2DT3Bka(~R)FIJe8JI&dAa+3=k^nIs=8z(YB-9~U5J{**N+3L#Lux<{k%Bm+
z32YtIA$4HupblvV%Rn8{43>d8WCFx4s6#p+ro$XE3nB@1$Rvm))FE>qJeWh4fE+Th
z5*#9{z}7(>vJ7k;)FJD^GEj%C2Ft)4vIAll)FB%nro$X^2qFn}$S#N^)FDS8JeWhy
zfE>aBamXdGbx?<#16v1m$aSy`)FGF_GBAfcfY=3f$PI|;Fo(Q?NJ1U*2qFn}$QuX`
z=8!KShtyYqL*y6OI;cawfvtl&<Ud#j>X6@H8JI&j)*|N`hP5cUMhGGabqE(k66z2U
z2oL5EnYGLeFTa(89ip@rDf`N;MasVFU>T@Gl)*AEhZsQYf`*6&#B^AQSV1JA4l#mA
zLLFiQ;lUi@0&++$#35c_>!2ax2DT0wBK}|*s6)KLGBAfkK<t7#BmiPM%ppk-NvK1j
zAd*mrq(FEuhva}9@}vwLB1K^9pbp6cTL*PWIamhjkYcb5%pnaByPyuKfS3+*NEbvB
z>X0UgB-9~25FX4SQ$P-hhB#yv*gB{~rh%=4I%Gar2I`R6U>TT0RzU26I%EOFbeKan
zK_sCLSp|`VI%Erk2Xn|CkV7t&f<xpG*gB{~_JOT~I^;N52I`Q*U>TT0E<o&pI^+bz
zbeKbKK_sCLxdf4fI^+(72Xn|1kV8Bn4tWK(4(gC+VC$d`c@LI>I^;E22Ii0-5WAob
z`2aB;<`AZJ;4B4o$S;T_)FCYEz!?nY5T13+3@;CqfI~!R9a660TZfcu#KAI9hX{jZ
zU=C4$*adZn1jKZhL$n~0P=_c%B%uz`f$(4sF#$Qm9O4iwuyxQ7F#}r%4H0{=4Addk
zU>TT0JRo*K9pV5n9p;cAh$Pe@UJyyBLqZ@tm_uSf4p~<W4v{3Vbx?=Ifvtl&BpoaR
zbx1N;2Ii0gh+R;JWI#-ZIiw0A33W&jL=x(d8VC>OkQR_bR3Q%O0$T@lNE_HXs6+a}
zGEj$fgJob2nE|m2>W~Q#(_s!-1d)U~WEMmc>X0Q69?T(YKn|Hx1P+l+VC$d`SqHWb
z>X7YV8K^@xgJob2IRLQ>>X01}(_s!d1(Ae0<Pby>>X0)K9?T(EKn@XxIOG=CI;cag
zfvtl&<UUvi>X6%D8JI&}K<t7#<N?HVm_t56B%uy@1(Ae0<O_rcbI2c%L%IsVA;PpC
zDcAf1TL*OrJ6Hzl5a#uu`~-7|z<O{Fg*t>|JvdLo93lmgggQhBA_;Yf41@=Bh{}3q
zhL?;GhiHMVgNBG2*gB{~^uaPvhiHRkU=Fc>*adZn0mO8eL!2OzP={DSB%uy*f$(4s
z@c}ucq5vEsL162k4)Ft92X#m|SO)5lV6Y6#Aqfz>pbm+Em=1GD7DN*2kR*sC)FC+#
z9?T&nAcwrq2Roz+Y#r1gWnk-|4ygyrKpj#ImVr5>17a7{Aq^1IVGfxDk%T&=3nB@1
z$P@?<=8!ochonIqvIuM))FJc0)<GSz94rHM$YQVz%pn^fc0nDo0%AJMA-f=wP={=S
zNJ1U52f~9n<Os+ick{p@atdr6)FH>f)<GR|9xMZO$Z4<)%po@*c0nC-0b)ALA&(%E
zP>0-tNJ1U*1j2(k<PFFnArOar0$T@l$UCrgP=|a6%Rn9S87u>H2*U>CT=N5BI?N$l
z5J{**m^Og36x1O+5FX4SA{&?)UY^bchltb$r0grU0hCFh4v`1TKpi3tmVr4$17a66
zL=+&V!yIA+k%T%#3nB@1hzW!TbBGPdAx;p7IDxH$hKL>5I;cb3!7@;XID=(i4hewR
z1$BrA#B`WLq9Bq`hXg?+p$>_G@L&!}0Xbx64md=zz}7(>k_NU8>X3Y}4AddnU>TT0
zDj;@29Z~=>9p;cGh$Pe@RS-$2Ls}p_m_vF%4l#f@WD?jqs6+a|)<GRI9V`QN$Yih#
z%pnUPc0nC717bSNA*&#gP=_pnNJ1U52Ev0mWDCe4%d^2DvI}e-)FIoz)<GSzA1niP
z$ZoI<%poTrc0nC-0Af1KA(tSMP=}m?NJ1TQ1;T?l<POLoauA0+0$T@l$UU%iP=`DR
z%Rn9S7%T&G$OnjBP=~yLm=1HuFNh@6A)g?UP>1}1@L&#M*~rZBa%vVhM7Y3rNkARK
z2EIN5>JWag4AddqU>TT0BtZ8@bT&gBA^^TZ0X`G11d)U~L<%Aab%+Xt2XlxH$RRvg
z|Nl=w0LO60uwakIHy6Nbg+6<9w}Cgd^+7kbG4i*%g4Wx0H(vnnce?>1SvWeIAAonO
zZUF64WNuyoqB>ht7Jv)^-5AaQ*-;1Cj0f6l3EE5ox^v8@w?rkur}NW`DHs3!?`(bn
zHsuFsA0l(}2M~qD6u92bPcLG@+Ma;4b%QiBp6EPu@RdB{q0Va;|8;KuP|U#4{OF(N
zh0f+T#h^<|nh!WKFmz8o0U}uhJh~?vI59AEZ?*uDB1XLh9F`aOeLrYk>)h;60#<Lq
z4pQ#`+A`bCBH+>4EC3O|kpvQdki@{yY$L!}A`ZIZ3$#*ZGGwKU03(0vSq27%=G`E3
zSZWhIjyL}(hFpu*4Gyf==^ow972%-8H4Pw=h2!7@=Fa8=8$iqHb{E8fsLd-jz!n>Z
zbc6RAqiE=5ISLBPm(8G)oWR?C7#Kd-dvt<rg4oi0fTOedOECk(Cw?ukwV(JSSp#N(
z_=3$GB@7IPmzsZY@y|KLc(Jpap#&tZb@CH`1PFJ79Q=tt;>0I@!EUIx!Bl561H_dp
zAWI@&tY=`@#lgV9@M2vT*p(1fE-DV5ozFm#TMmvTi4q0|{_q2z__Ypv;umaI0NW-2
zHu)2O4A>R}u$W-C0>u0V6>unUfI<OmzF*hB|DX5;njz{US?i{QTrB9K;sCNn0Bns$
z322`W$g<9Z2VcrF9_&1I@mJ?$ixLKg=7;|@PjoihlrS)KPIiFU;o%LoLjz<7XbIYj
zSDm0Wj!611{_N~dfGBQ&DE5FW-rM=_fAemTfehWvAe-x4dObJ}zLf8E;o!LVqqDgI
zqHzXfgK7p`V++_8kPfJN9}bR-Kfm7u>3eMfbvejpTn0G94S*{K`E3Ejz6%ihI^gz+
zbV5Q76g8kU;4%#sa?m)sd>)hmaV5X29boOOykKpS;9T&D9~SV_&;R@X|3qi=1Bm4-
zK#8~U@ClgZ9-a3*8jpZVlxWb>sh1rc|KLRqW_jbF!NAbn=K&&FI6B)pK+)Nj;KRV+
z!Q2)BqB>nv96+0SJwPk;kc*TWl>n$`+|GhLGXbQvd4(rPYx4pSg`yR-Q7-_LZY02}
zdqAqYL3+>%kr|+r11UtNKr+9B9VqjAfJks5vH`@}yaPm{79uYo>UV%kh6BlX3X3Li
zVF6OlQY-9nym>(htgrwDz-uYUg<#P94U+6`21$VP_Z)Dp(E<yA;<jK4IBuIapylrs
z5Otuu4Z__ZV_^AvM+pPNYYC6;<^oWG*IfZA@Hjj=n?W)j-4Lp?xnm<JyX>xjT+djr
z5tj3}w1IOzSaD~I3ao4|0EKS_ID8L)a$WNZh<*V`&Yl3$56*QZZU6p53WsKpLQny5
z0_<FYZjhO<0^$Z(ERwf%GT4hf5Q{oLy$}K0aRC&EU<06;0+RP1fMO7m_n&}VG5G|<
z6+0l=c>~-P?^;25ALIb2eozj40a5$`qWA(_@xfM5-Uk`T(A^BO89ncFYyyYX30n}g
z`2$>I2iO*n4gz@}mjUi@1K`dC<$Vo^eGH((*%}WEY=YJu=-H366_NcW1bcLXD)|6!
zP^OCjd9i!i3_}Kn?rjSUK|w6QV)Th$i-+SAf8+^|?&bxcin4o!6G%!+1SERl6Mv-W
zzlk7$h>M>*_;n6>bT(grxa2}K*ylSyE&-R{eJ$Wr(gCuqdji<D$za<y!)@aaKZ$JN
z4X}j|oIq8_rBD1wc6OoJ`2k|*43M3Thi|~_^ys`7{Zgz2=4GgV4}e_IcLMAJeEz*)
z3#y=R*n+%=WH%q0-7_|WJi0ppWOwi8hRv{CF|Qfy-ya~`x*0%)S2qFwa)1hvZUH+?
z|IV5Kas(obcR=ja0NL4icmd2#uz%H>5&rFNbI=Dxu7^H!>1z%o%2C>N3?&gh-Q5*&
zAm28`F)+Axvv7KJZ(ac^40dk-ks@}Ey&(cDos$hpK?fT&FEC?Z=x$zN#=zj%&BD-a
zV&}-r!rAM>#@5+vQVObH6Sgogyta!z3`#X1wcQ}K9^I3{W^M+XX=B7}!_m1LWMVf9
zM>mgAuZtrSRR3;}e$bt#3ZS6f?6C!!nqPP|f$}KWj^>6fAj#bk5H%TaHEM7*%?AWJ
zn-@ToO#mrtJlp|O1`ev<jj*5sH-a6*f&)A{KYMom^XT38Km&f`Y)d_8A9qQBM|blE
zP}S7E14M#az8+h_Zb;ApQJW36!rZy25wtQnV=JT_2c2REQ4s)F(a;D^9VpAGnfY6%
zfm+Q(TVqYo8p%e`n&ytJkSlj@@Pgf0u$6(~1wUBt3WO6qv_UJK4^MzO5ma<EAK{30
zjC*;x0ko54_Y4tukQCN|f`q>%2-N)SZkEsnB_0KBP$kE~$lnUOsqT0)$Z+r~`rh4O
z!+STw4S&%9GP1ilLj<I;0J5B%xwOQiyZHexNazJGG{uT}_U>x|T_o2Ix=0RW+>0mm
zFi(M2gd=;(3Sue;I4ujrL5;BRY&^!jm6>55=$=;a<()pA-(SqD|M&l8G$ZIzR4n21
z(gxDiF#tQzLWF^#i-p66sYKm|nZH#PBDX*X<kA%&l7*xBhk30ZXe~P^)?fSibT=cU
z4KEpfbJRBB=-g~k3hG(x&H(9YF3@3M&=z6uoNQCdz|j29yw=~Tn}re7m;#&OeY_b&
zgVGST6l7NqPC;O!x<N*DLmc4`as()0zV^p&z~4Gh9R{-7yjIGiyBWnKkSoB3a2;<3
z(NI@lr1Twiptv}(m4N{i7Yv}*U+?A(paizA4(vV)0Z<@0z}DWY9BT$C2CcmZD{edt
zQjC<=%0b#7>FzK%S0V^#`TYZw=~@qzaQ=UAtT}cYD97~f1{r4f&G3@p$ro3vL20uj
z!UH{Bmb!ZMvNAF;FzgK6#=!8}85F9BBt5VC-+vp%(!yiSF(5OKHCJqd<QfCeUA?`V
z6Sgrhytr8l$_cD3|NsBr39|Ya3pXRE)3ud>A^I??d43S{e2z6oBg_K@60&)n5c7&a
z=7Fqzy$;n7PKY5!$C{%+hIsU{P6sIknfSUHRq4Gdu=`DqHAg~~ZUiX>>3bRaA9PzJ
zat7W2R~-RWy$+-rr1hmbhUzAWYRhBI;mE2%T3`PBhi-NVST%p^Q_!xQ<}j#^RUq?0
z+Fu^W(4hp@QL1^YIRvEo<sL|+A{96c5EZ7!nu9?qJbFR9EdK8VDSugxVcw-ma9CI#
zYYu{{2Kx@A^`$3<>Lp;+{H^!?{{Mfh8I(2{JbGEd?geRoDUP9|2&|)2;#hMi)cjxn
z{{P<z^6JZ%e?j5FdK%<iknGDFf1#r+kp2$1%>^#^`=Hxsn88B`8Y!TRVvqtUwD?;=
zr-pcRH!Fbm&}c}2y6X)#985a|K<A3_yMUTn0ie>Pvsqy~1A|ZR<{t(iYB$Gr28LY<
zpgY|`%`R8N1JEn8_f>)OBa)t75}2xHV5$OLSGW_^tRhTRAO&cud@xiQUP4o%2~u*r
z*<m}Vd;wKXyMI`LsLdK6Ux3Vf5mp7NOA`<(UdV%0cz{%Z`wu2npfadoJ0!a@Sc0W9
zwu3?peEXuS;ei(iDnV)%K-E}4)O2iTV0gg>)_njfvjQTs0wnVWtmgq#W`-Qt+!G)f
zQ2IL747%+bRFFwPocsbLv!n9ge~;tM4y6zefjhm2!7Ym22jbz?r%^e$h<fPR&F0bB
z>;a0#=G|aD{4H9L$^~?NVRttNq#9)6Zxx0Jqofih{?<RBoYLLQ0BW{(a~OhZ7Z(mt
z*9|jKU<Ce}3UG=*)e9Qu0_g=0>Y&9|H8u?r9*`8c3rjlj!lpq1rU6UxlZ0phhln;f
z6k&?7r06&0VD~3jfHF>o1vuj{mAZLAgR;cNqq`Y37)v!hx*@?0HN3kU?EA^!kkfV%
z1i1~A7+-UPA`y}1FjD0Kh}~d^c0wH5-8=*Ak_8s9#)7a%cejESXuLqf3gkLL=F%*W
z?(PrrAfX>1l1I>^d-4ng&^Y7*1qKFd9-~?hkM3@8t9>%0)h@_fY6==*1S#(ZYhvL5
zRq>!4;nBMrBR8m(|NH-P1p@;EsHFlPh3#&3umlB>hb71n9L)T!osd)mwzwN)F{tfu
z;8$leE<-PtfwB)M!Gmfr<OF{lEQ3GuIfK<;%Y2<>;3x!#2+VUH-QD1R!emfC!J0*|
z1{93oNS+LdWI?79EzFRO^yuyeduTG)Lp*}b2me`~sB=2j400T^Pw!?>$>7tw8>wV)
z1n(z?7LapG|NRHI4#9;6R4}3hs{<c^x<!*;urn}Nvj~DV6oSeRP|zTkA8Sgn>eT>6
z_hbW5bh8N7fHqZffTKsi5)wTi<3NQD$T;LeCl<SL31H(gkc|5w3yN(9IfQYbpa&U;
zT<-Bfj0307Zb<qBB@M6NkgcD{$qglBtyu*5dsKdds!C9LfER#`hd~7(s9yyh=v!Zc
z(zgP&;!yfmAg4eZY$`>d(vZJpE+kbVYCKSVS8D9h+Z+KZA&)zN>H`Lk<KUvzqr3Ts
zDcGN83=AI4HXIBk37(C|^0qQFyteZ=4%YHR3v{)8b3!QtgN8DwXhha>{Lqa%|Nnb1
zi*R@}{<^@<z)+^(*?8<MsGkrI>uUIPe)oX1MPKF@gLmhG`a`gGDaHgzDZfW|+XhhQ
zqi;tX1H)@xkM1@Bb5I9d0z`s_G!1rwYLR^opgOGan8Z$KkMU(e@xTAj@bTzwTObG0
zv_cMCkaV=&*a@oHw_O0$K#hkF?1a~B@bv4^dGE#DBDD02)T*9X`0xJ&6obKGt8%QZ
zVHddC+?N10{8+{=xZ$pb2VQm-fs!!VJP^oNpybgf0U}vAJi7ZlK&)v2Ad*M0)ZX$0
ze_t~=HrsB1z48F!6&vQ#{0^{{9=#A7d)q)ZdUW?Om@qJOPvbCQV0dk5d7{q4qZ>o3
z2}~=d9;k6X-7JEJm%#bgqx0Cy(}n;3!y;?K1d#tTz^*BPxTd2`V>c*Ov?&;Xf?LA?
z95ouCfau*Oup2bg!NLJG?qxkF1VO17fkELQ3eqVd3e9Sq$J#FJW?*1?E#}ePW&pO!
z0%jLT!lQTJ2U$=z``C`%3=A*3QO$(*Zv@cIY_8Y?G85ECLlorT?r5oc2UyahcXt7(
z;nH|GVGnZrfpX)^qXnQo%Wk9$w>b}#;Yyr5kZXo677mzUn?a^{bT>DEQf+sKIVfDf
z7Wj0-10?!oZo$9*(MZ7y3SV$Z)(0=ioIJYQDnNx>UjwXg)A#6Z0~h9fkiwjUsZ_?J
zyA50n^+Agvrc%yhZ3=rC7?@wPLl{h;2nOwiZ#>4amx1ABV8Ort@bSyN3=AHy{@|*;
z;ADroPo23`*`s&2#$E=7eJ_|97+x5ILxdG{Qr>HaW6dY_f`V5J)CJ}Tl_&-LkbDQ0
z0CloJ7WZ!6u$O`1#f^MWMF_Hm(W95O2h>yQY!289>i$je>23qHCS1DvK+Os7?hp<I
zkIrcYr3?(--8CE%9-aFtK;>BHPY=zD9-S9FG=F&T`yKS?Y-<1scejDdvpxn;dDd;i
z;bHl!MCFqQzt(ZkxV}a$0|RJ;^?--vp&CAq#(f|?x{M4AHH?S7yImM1yqR4X6+nal
zh~V&MW?}T$nVtp#9^YSrEIjza-s9pg55_~C(=tF-CLi+XY%ACYir#%6Ou^|gVjpz;
z^5wle^a31FKB0AA^3fYw%%z^knkDu#Fo3Gv50HT+hW+rAiBfE-<>4;2ZsmZApJoHl
za7nj?4rF+#R1ab{sOG^m+tu&@Qn`0B7pc^Sy5FaFo5Fr@zV`r8u#~f8Kem)p67SKw
z`v(ua<zA5u&N|7c)lWAIsLA~RWL)oV0X|TGZa%Re8j>%j<o^4=4>WQG8g|+aa#1g9
z1p@=a%h})#@`3%}5_tCxh`ts385mv^=7N$L$Vs4N7XSbM|JQ{cy}JXH;12np4eH{S
zh<WtxzJM6SxS0hKEj2&Zya?nSxUG&5Tl+x<X&h??SqW+nAY1t_2V^Bk8^}tKN>JAX
z<RwTAco>%7P$R$8(c^e?#{mWgP|ot`-R%MKMZp1>@27#yU2y>7$p(;g<KYPhKnDli
zi+<Swis4?c-=VDmu`F;1n}CLI_(0iF0i*>I!XSHKb~GM_+W{`-^dKf71<@UdNot^(
zE%2aX1*A8{Tq^9*jhd(!N|?Z-)d}E!R0gOY)o8<UnvH>>j^|i2$nnfC?Le8myEy>t
zs0f&&{vUXm{2$W62d6L8Y|O&pVf%%Vfq}p81}Jrb`u=?zKn3Eo9pC~{keR=A9|JgR
zgJ#AfSsg0D9GwH6`_6w4e!O({5e3ih?;x3%&Ew!J`(77;|DDYN2SI6UcR~_~+H7$U
z+KhOinGMb`5>eoQ10B7S462{I!9~ktaM8lQo<$He+vWkDi;rYIR{^sTi#-JpduD*_
zX*?VOvj-`MZO=l=VTigB#KLSJNrBo&pcM~&J3z|^z>(S}afpH8wGybN0Ly?@M111c
zV&VA2FWA;m3Yz#31gr7r-FE}jifcT^a0r^XURq`$6;h#)0hZ=B86MqjFF<4TeIGO+
zH8X$fN6<M#pzueV65(&X&dk8zxsQ{dfq@?sN8Qbc(1W?7`2wi8>~4Ob3<}p5AXk9h
z?xN!1(_5p$;nVr+Mc)R{yz>oog`fBZKwgOi8}W%hf|aiv>?M%a&R;Jgz`9(@Kocq;
zy`9Y-Weg0U(N~``P#J|}<tKgt){A8z&5<Az1)(O0flUYin*cJWv-!XwP^#EH15}ap
zZe9TjEbur9PbO&g1Y~|^^8<*Q3lKFY;A&oEfYgBO?rdf_3^s=YT>o!=0atSbtOjIb
zXR`uCjRQmt$6;7PT#x}?GywKBfB4BXe!YXGTvZ&P67Xs|IE^C05*kEtV8x)>Uj?u)
zK_2wz4N>8EVZ0s`v<79Mi81&Z5)H5-fo_Om$QlxhG6se;em!2HG6se+o#V|8hZz_^
z1+Pc%?g^k_v);`ba2Nhd2aPK^ltEP>N4p2sOykknJfRd+{(7_?;P*S$*?gyzfuWm4
z5WIFL0TK=;KqW`-W)HY6Gt%L6+aTp2-#x&ZMABfP#9hVd*;^;@VqF>}1hFWO1IK?e
z12_Z(n;Rf@Pk`8+0kRv^Q+go-322Zq(9K>RkidMl78ICoN>Kun18kH)H%M(7zsAK+
z{4p0k@e58CC}UtS1jU|cIA{gW^n;8CJ(3T4bT%&l&GR*{Ks99pIJZKgVgbZa4WMR4
z@8%A;quSHJvHt@+W5l2X$)2T&C<Ssi3rFjLlCb6<jQp)LAbApGpNFl29|Hq_Zz}@>
zgHPrU5N9ul@X$P33JNp%7gedCW2b(2%=GyF*JH-(2#@9?8Q>wfSnyP2DFef67Z21T
zd@?w<Z&rw6VDL${G4kPGZ^O~N8<Z6pz=kTmP)+^!-y^vjB;?ZxHv6YXXY&k5EP^P*
zli(C_u(SCEC`IfBMRfOOkop&#$)LbK0S>GKkPu-o0_CdBD?lLv&X%RApezSc)BJ<6
zF4Vdil(XupyH|s9s^-zU!crd3=41MxtTQVGq!c7R^ZQ>=A@KlWrUk^z6L2#XQ$dNF
z;Rxgm^Vg}zn?Wi;9cPc;-59Drr@&N$RJ=Ct1{XpzzwZV)3ZFH*;2NR1Yz4$2FW?TD
zkphlxP%wFP9`rc)(%ysdpvT3Z9-Z9^M?e9wJHrG-ZRR)vEz@75B57$oP^aY4{NSI*
z!3XjlJ3+1kTf}(K<KRyPkIrs~BMc0XvAQE5Nsvjq7eEZufEy@+WFU$gG*5VRHcNoY
z+0Nz!h-+>@G<(1`Urz>m0Icf&0guk+28codNW#v5D_n=95EPL-9{)kcFMzoa!~nSw
z)P8~J?SShog6Ksm5<U2}z@^P6eo$fW!LI|d`T)f03P@qQ0<PT{q8(hxcY`Z=Q0>&+
z4NlsVK}p*qnMcrrfBgyMivMl_wBq+*1Ud8p#PAIe!%x5szm^0k!H`_Ux(cqfvzg&2
zIMiQ2^u2)Vo0kL*I8c!Z8pDOC+5z!7$5B{hS3p!j(nqrcL{$W&B-4Pa3PM%|ihFyH
zgD-7CDe2%#W=MgQ0MYsYk^nv6TE($xwS#D7f@p1kXq7Mqd1P}2T<e`gun)j)>t%5O
zr{ABLHZOo^4}fUzfNP(Nq#Y^Qy@XhL0HUh{qH6_QS2~ieUX})2mOg-JKLF8w0<K*H
zMLUw4QN7G?4CL3{KOov)z_q_jK=LxrQE+<IJm}GR!sFl%dyj)JY(Z(|;0tDt8K5|Y
z7-9g)G91TXak>Oy2&n&h;1hqu0Z`xdgh%HgkAuJLJr2II^I$yWaqtzBNAe*LkonyX
z5VI7ZF%LH@A_3GZNjL_XUzX4Wby5#|fE0J$LzM0>9TULg0o`rjl?Z)^l?dPgaB#El
zLkwuB=0^;uRR!v<wQ(E=g~2|BSP-@C1Ki4|@&Eq&bT@;#0xsR%puT`}H)!rY1XNIT
zBhB4+i?H*rzvhvA$s_aBWEIaz9tU44FrMnXc<`nC!C$P5r#dG`fLdiN?5zhXWJ_g1
zRUCXZ0eJY0%e$L}@ilw&Va89Ofaq-206S^-3y>ol4+|WJhA6my;}?(A)CD!KLE|ka
z;z6Fe5Dyu!XuAN?kJ1C_-S+@IC%<jSan$jw^>OINfy{dW9=!Yz2Q{za1SqtS2PS&=
zb;N_iE8zsHdGVO$LC3RJfDD24vRO_->T_@>5_XOtGk?o<kT6P5n=b~`4}{1ZYgRZ3
zNdY@ReVX3Q948qVUiifQ`~SMkqjxvRNzmTojc9NOIOte26Ue5Q%Q4J776Vd&-f><4
z(Wh~&nGvK9{iH+Y5=)O>)`_4wU68r2<2`y=mx6d8)vp6l-4FsXOaE9iGsrB6<3Xbp
z$d2!i1&zwFz5>k~g97C>k4G=-7Lbu3P0)1(prAtxv3Yd2fyY_<z~ii-eqEmcXvB3I
zcpR2T(DGodK=XmWmIrG%kF}iu1sG`f48#BppDjoL<(*?2PBJjO6p95!KBT(@&Ky5Z
zLdMVpe0n!uILW{OT3Qiy3KVu|M?Nx_MjmS}I0fm<8-R@L-5hZWKC%OvY7LD6W!VW(
zH5m{!6{i>&Ud#r|fXoFAG0Xz>r#qWLR)gDUp!E|-BQ0{#p!hF24;lwZ1a-R<62Xl{
zrqVs2oP;_gwDRQ~@Jb$#t)PJoWLs5Zz-8JCBak5<K=nhn3AiJo1Cr=v4fy~6|I6b4
zpa~899;=DQVbEicL@#SC$RI6{uX=ZbLK~VSL!-b6P6~CTMn4iH%HMhsJO&g54eJxe
zAV*#R70XaZwnNNL0GWLbvJe5u?3WQBQ%caxz6=)SZ|wk^9SAiWCCf4Kx0?Kec^NcH
z2Z~Fd-rb<M^yqG$0CvX=W0X7&of0dJ0tW*^iAT2xC_H^S|9Ny?e4!QzihhuXK#~0M
z-~azF#lSX!+yN4@0(ph`-~a#6^5MWKNZJFh=aD*%Ezy-!ppL?Q3J0f+nq$otry=En
z0c2^L!f6JE7bXw~qKz8*zfSY$-3>|@(0JJk4kh%0V0t(xL`$uXHA{k=0<i&<d68|n
z6ba6}zM$+1axpZ!f_ws62E;lUBmvU@`YE`ih7jQKA#ipBM+JQO2WUX^!D&dA$^iMJ
z@$iY$$XN<$xaCI#IPekE+FhVwdysCQ-p!br9e02RxqUjngC>afMEv`|3&h_EA|QgW
z>8*nBf3WxvID-@)S!b}uhrdVfZcvJW24xW>K7x-mcbtL5hd?5zme^cyhJoS5l8Ar*
zU%Ptr?oQwbb)UhDv<$+)!K{C*ITK_9L?bAeku_$6Jlo4!1`17(Ll9*oNE0;BLC%Gg
zk-;9#Z$Jw;J(~CJ02x}seymvnv=axTG%w{m)@*SWH0tjUDoenl{ucb;;sr7|xB@(0
zxB)U=$W-F&(cOFiJf?Oc3apB$)WD;=xdSXTAqrAkf=%@3-F*VI)U<cA!dc`fd>scG
zg#^uUbytAeOP~qMI*{cTK-0I~HxwXdxTrvT=?c;R{&!$85ycdw>6jPy!$8>%<Xuo6
zV+NH%oz0+N@Mt~~00|$+_!uaJe0sMzoCR0y86c_^x|r?;IIvLa(~b~OPUCO+0bPCq
z+Vj;7+YnaDVtC-Sh(~wx46yJ5b;tlc|I`B>-QD1+pvj=Ape`Q4mIM4Pw-^{08h$eK
zx2^#f)j!TcQc44)Mt^XYfx*@A|6Wl44m#%ss!TY-KwZ<AGEhsDf#G<w#5oAdqjxt4
zXb!b^Gs8J>ID@*<APv_;!5L%&xU}B^B0<X{8Tnfy*g^UWpyNHqn|sP2onw#g?i-*r
z%99^}NEX4)<_TpWl{26!yLkkA1OK<4bm8~^<<hx(3RtoOsu`S<Uiz>=eRc_yS&lbn
zK%IN6nK(}>hk`sw&})A}K*}nhR(n9#Y)=NQ+3p6py9VsE7BqJ|^7~!yoZJAGD}m~T
zI9rhwwD=X|L{P><iU*|d2gU!fW>CTaC99i%|NnpafgPp|RMTSD78vsHe|Ps0Q1iF>
zOc?`%<-rn}?#Wj`PP^C%7Uy4oihunf%Y*!V|H1oBn!!z(Zg5iuvcLrt9yp`<Lohg+
z3lKR9T3?2TfQx1oP$lCAcPVZUE{7QO0Bq0;P}YPR#0N1*2xQP-Hkg-j8x#jIXacB3
z-aR88Tud<Yx9$hk*~m$(*+!6&zi-9A|NonJgHj^{f9vdj|NkFvJ^_uKZWgXiaG)J;
z1_u}e14B0tS8w2d!*8u8`Tak2ZoUAHOi<{83i4f`ECY$r<=~1I6r;GKW?K*_YBqqQ
zW(PQG_*+hZZf%=<02)0YxtFVnNF3Qg;7Gs>yGtO6Ue?0@|Np-{2sa3~o0LFqY6j(U
z(Bdahrk(6z#K6#7z-ag%wBE$^U*~R+Y<Ke#kh{C*K;10>cJ~^nyFsEauQC&ks?C9*
zmCqmp8Tnh8SU?3~Go~kaA)asmdEyTX%v9W-hz$e{&VfuN<Oxs#)7=d!Lo5&Sw|KKK
zFm$g57dJ0E!J_=@&+@N7YI%^qUmqmb3{nXihQpg9?gfA&12ads27+@0=pMJ1CUAe?
zPRLUOkV1zOG;Pw|ECF6>qW~Jcfo6~QAnSTrpM%<W_uyfUJ9O*=Aj8?9vhj5m){+sa
z*bzih{fR$<H8Ylh;k5}NUAO%ac7SwQgSz(J%^hIJPcQ{dokT!}&J)1n-Gbnfy1N-v
zaCUc>fD=`TME7cN5&E(dECP#*x+srs63Zwbe;hHg86?rm+VJcD|CfuHKvO>;y9vhd
zA3q%CB}2>u$1rF|1|*zt$M9x9L^!4K>#=gjFfi~pJ2Qd;NPw3$20V#!g1@;7vbzH_
zYkUH^q?eTg6!{Ms85o*>Fdc6O6=tBKh`&{i5mbRLfR<;N6)Y$dA8!U{TX5k9s$k)T
z+X`^u2FlB*g&VxW=$8Ut0RvJ&Fvw2&;s`PWhz~CP{{R1_Jfv-dnZ~&xitIp&eu1j?
zZY;&bGmsU%toJ~Qu0j-HnsgAN$olX9|1UxNBab(4!5KQBLiu<zxG=^Hoju^t0hQ3$
zL#Gb3wVbr7bg2)HFyetYn)xUACIoOx5waA(F+TQicQeQl1S7x$m*ML{61}W5fBygf
zdLc@y)&p9?^g>iv|M>s^Weuo3+ua-h&dCu-#ZU%B(Hc<bhePM#A-$~$6F~ie1kj8#
zXu`b@GT{zd;s{=04ep15#`wDXKtp^Upb_)d1N<$#jG$W$KY+^z(3HGj^AGU2U-OIr
zP}h7x04S4yH$@6|OO%7F4)AU|c%v9)Wk@$@m)MOm28L3u<IPW?%|g%`WM`0J0nQ-9
zA|N{~K|AeVfUOnuQE~9-eDuO*5@_-Wq|2kb`2}brU-t(P2{Q9e83O||*u>6emU2*b
z6DWtxWOhH$0xd9pp#^G=3o`Pz&H*ROZtw=5$>6O*Jc6w!E42C7cY{3Yk$lo4^CDyf
z^OXYQ#m-X)U&$Z*$;x<<@!;!JkLDu@(TBg^?Cj<!XJCM9xrlSnlc$`4q4T2V!8)Gf
z%^*jC&M9~;SIP(Bftv4zCtpK(pz=cVLg&HGZU#_Tf~OV_oC9?ocOOs!QJYtsgARhe
z@bv=KOCS>&Dl9=)iGVvD@V*FV^KOtC3?=T}n?WJo4d!-!(EL#+4IZw3aE^iDwW&vP
zGf0_7XET_u`XbF8GQ9_i<r$E{Xs`@u1vrQSa<E75ZVAxpz{bNTV9tT`$rGYqZu10<
zrGe6%M|WEX=x~X?2_TY%!=w2JTe*WrFYj#7)lkVSjUJ3A{y%{2=00HmIs(SNVE@_&
z#=c?y+5yIXVE@{r`3HL`4@}^V{cB~9<82-1L9Sw8cr6Q)cw+yW3&wt7@6icX;?cX$
z!5KX2S8yI0iZ9vW&IOJ4f_tBRu-<2z!39u)MqLkSp$LkHZ4wty#)4kvd%(O6@;VrM
zbhm*!eX#X^OeMmgAOWqH>6^d@X%Lrk9&5XC0o3!h^yqFop#&bj2E{a}LtFw{KkJ|f
z67x`muAfD7AxN)B?>^8fACJak2QDx$ymW=R1U8-t+HTR^hPI57$FsXlXB#uaOJPW*
zhPp_azx6Aq5`e9++679NFJxRHecxLksqW?okYl?OlpsCz=7x))MY)?l*np_r85g0q
z(1I7AB6i()fKnG$y`Ve|YUy@^h9p5f`CXth4Zzx=18qF`^eTWy=ro`sbh|)xKeFBn
zZs0;4i<>>Vn<Kz_6JUBlU1wyyQ}F3U4EurI%naH>1PU#vn<Mb)MT~BO^@3_JWP4@s
z=>@M3?gp(72I~b`iLCd5D<1z^fID{%CMYQw;^5bsp51LG+rS51fnwC7cQ+_TJsJ;#
zVid7u1~df&Ue{jk3QzaY@k_LX=5wrB;}T>r&p{WIbv6rJ0*_FDoD3SFFm(lu)ObME
zRDjep9yUNx1G4QUFT_je33V2@Fvr+(+YAv#i*S%jJbHH{IRvzo1T+)Y4Vno91tqlA
z{bHUAwh#n4)1!AY$a$b5Hx^WF8GxGAy}Lm!M=~J#WsD2zf?%Zl=+nE8Ll-vq=hONB
zg@ZFFZ<Q!Pc0hf&1PZ9#6Tk*<zHtd!SiOjH`S%|(#0b*!#}TYY3!+EhGFZ<Eke<fF
zKVW)5K?jZ~Igo2WEcg;-#P}<CgK!^cgD`0Lv~9;_P#)f90h%dmJiOpCJi1U8s@6K=
zUZ`s5^zZ)!sQHlM=LN`luz@wVE1=lv-3_t<;-44#j-Xx{?}PvU|APj{#35x2c#?85
zC~7=FM-FvQ)&Pb0W^jmWvlw}FPX_nzHiO3ytyzrt`|g2E?rs*)1;vbnF1VCs0<GN!
z3v}p$ODq0XP~#r7At;xTfdLeL-Q6=lCQn`f3O;QXLC|zAs0Qxt1{V&KL4|{dHH#pB
zzcnKR!^=33^C0O6>i&91&;Txf>mBeMgDc2`uT4B4sRF7b2CSqs>sYf3NE+1a0Xr%H
z>Pr6B`C!cnS0LFX0_2w7%^p`67+w@OfrIDZzyJStfI{!(H;_M3jDKqnalsa_A<kec
zdU-4V|Np-OWZz5BiV#!>?S|@@2iD;P(gB*u1E*xDn^!o13<d21b_7d<s&CL>>JE?(
zUzgZ0mFhu)?*cgZZh)MOC-~~jjx~e42kI9idv78n_O(Hd1-bmSlt(WwXczDfkhP$3
zZeB-_9LSBwSeO|-I=jIM3ex_Drf84uHgMt42jA+WjlLy;sZ;_a1Pb;(P~re5caEzJ
z44|1o5Y6P#yH5giNJ-<d4_A<@u$Os`@PZCpyHA(^%g-PaQTHgQcC|ZgV`k`R-gXsq
zX?wfFHt->XTdp!Nbhq1pYTd`61k~LOc5gS#y^x_`P`?`Fz;2iWn>Snq<;Tq+clq@0
zo^ch_C}~E_-+>ApjLP!9J-GNn<O7gb!6tz=(Sj>HoR#Hbe0st8p&OJR!1kh7mg)HP
z5~wV-@#!T{S-!W!<6i=m<z{?(@l}?NUG1L8@$8Nq&(7PJ89E^$-n$zVcOH$0L2+kz
zX(u}aXmB62FdsbA`_jk`l(sz3mur-UdUUr1fK~?fML^GzC<SdH0e4*bKphuwE71b9
zg#?uS`Z8j{<sI|R23-b*9Uh>nl;7pxE>Nkr6I9B0@VlIPEqtsk;u@&Msjw5&c-sN0
zB0aiU*t&W6Kxw2+qnv@E1FXaYQcHO>9&@<H!0_^oEy$J7#6JPNe<(r|)HqGh1of;q
z7{U9!6hOzs^l8{a+p9lpKq?qOSqjvp>}+GW4yvd2Ie<hOkG;4Ct=nGKp_vXgAKY{6
zgY?{pG=Bxe{0xZs6RyL|m%}h0T0ePox1o6h)SH?C@<#Up5Xr;gxDOO#j+*E8gJ{EV
zyFh)o7i+9R1DqhA`Y>+>dHc0FC~w(=Y90*`iA!TKSR*J*e3&<b0?4V0#h{}(;07pU
zc2AH5bx{smfOz0VJ)k{yFY|3s1AoGV38A3l3BmpL3E*g$p~=AD(rv;4@({@Sz621-
z!?73SXw9=IZvSBQ@4sX7KgLqQ?q-k=I$wG)cZ2=F-`xyy8CU@1Hc*;q{sD4K@9q;2
zr#%4iI`2h0#>Kw8ZVh)A!aXOz?zw<)&jgT5`euMg?Cwb*%{>clf`f`d3LI1&ARg2`
z?%3SJ02%=3<IsYK&k2xA`YwP-?C!Z>NmBTD+ycAD0^%M65D)5}?N;#cafF<w<I&w_
z0d|iActC=Ku@scv7#u*!h66<6N;Wo@=;<v6G?NQn`SAd@8Au3pWQ+{RtQRuiw$5v7
z&~7A<x!rh8zh?n59TfE_iSh%;FTJ}9AU?YR;vo{{ElarPP|{-o*nbs>@U{Ti-{$}#
zv4?kp1xevO<2E?FS3q3V0OCQz+a2K~M0#8ScFzWcdscv4(zgLbVt3C4bCTTSa0l$3
z2N3sYfOt^%Y`1_15hDK4iZxLDgGWlb!6PL&<KG+<L!jJ>T;2$Pht4EGBrc82V2v12
z`vT<5-rW+?;7oJ@#6v{we{;CIVI@9j@B%cF0~^ojY@2Ww<n4VNkU^D-yU^VZFE@cT
zdvv#fOVK`XDGJ){YjF>(Ucw$^<1vMMF!fC^^$*-ZwZ#h%30}`-;0M|TYvBjkR}N~s
zfm=-A79OZzYI|@G(sl#UpthTVHQ4AA_ZS#n+JH@kxgK1fNO*wER{)V<^KXF7e}LQk
zj{6YvK{Uwx42by!_Zb*o-Z4WBB2Z-wGPy4TM1sv1@CUhH!XKym4IV(u2hkw&7eLIH
zc)-B$vIf)q4v@)x6F?-`d=Ies0l3Y-0W}{)gUr7HG5-L_d>Ksh4}eVWI{_lW<~M-N
z@4#(-!$XMsK{UvG0UL1mXFOzJc)7<EBYb{<Ozvaw1Z@-n?UUXCHva%_^EDnp%m>jR
z^F1Ku3p`?Aco~Cfz5&SOJ_`^DcE1K_kb0Uy0M78g05u;(gUoM$n7;#L{vQ(z_h*1i
z?kfP1VDrI);L|{Z;GlC4u%yq5#}M~}Xps3kAm%4LW?*<Z2hDu!<0opzn-!iwhBrNW
zci(Uah3{dGC(x_|>fXO}HUV`G!3_s!x9y`5cxb>LH298s`hgCpegNgKZg?*12IsQL
z&|C(&ff{7x>wd^O8CVX&>An*P_X&7_-M0b7eT$93?gO<RpzaGqxDT8qyWv@~8=NI4
zL$hS9tVee@ILl9lbdm&_OTjl}gRCUleFdPCmmvLR4~Y9Bo+5`IGsJzM)&$gj8x7&%
z7XV7K-S8~k4bIY&p;;QI`#@F_?LLWT@c3<jxR2o(vip*az~KjKT|nI@h;SdcNa%(a
z3Eki#VKTHx!0A4al|;Mm0K$DcAnsd%;=aR%VE2LA2vGMG8o<L3T%>fvi<E9~kun)t
zq~LTP$V#H!m+>4Pejgz23wVwke##K{fm#bt_g&P7yAPbY;9c-iQ2qdyQr+-UsvBHN
zO@@|Iko*C%l4$n{ynwq;19bFm<KZ7D?rSyxhaaf*0Ck@sUiX1Zxo&tV*9|V^CPPa(
zi2FcR679Yn2=^sG+_&Hba`-*g2fGi{P=LB`q8`5R1DBHB@KUlHTuM%cmXZ+nfviM#
zAGCes)4T1!3(ycp+Y1l{8#DBH3Ch9U&EVnXZuk)spi==sG9by`-8+Io4UEkJFQLwV
zp`i~NT<&-Y8Byy1HFbJ77rcazXo3cyx9I)*|FRM?4RS*j)O3EJ3T`@s1|nM+85nFB
z`CB6)@)p)0c?WAqKbybRi3zk224p^HNDI69A$tG*d+Y#p!a&%gv)KeZL1_b<pxgl(
z2?t@1&Ssr*28Nfd;01voLqTg6up9bc7d+l!5DjvV1?b>YaL*5ESja;gvaCB4WPLB|
z4A8jcLb%Deox4;Q)XW4qq`MpBQp*!1BFxPo*Fu~JI?UuTD7d?uGr+bNKy3%@$a?{D
zRxc}PZ}>|SxMjG#VF6O#-HhrDkU{f761}Yc|NsAg`5Lsrv3E15V+=`uAO-)lKqHeS
zVhE8x+Mq<q-?9$kIMk>Djiodn2nL1L2@naYzPlA7Koi3n5#Z(lfA1s)1_sbkQW-B9
z7+&^6Ou_UbE5v0=ptA!)jy2<trU)I-8by$Spd!EnOA2k%0i{q->ISVS6ak%<*VznC
z`Ov}5<IVWAyX%0{0lLp71cH1vBM|DdpMU=Uhd7n_<(EH@?fJ0rdCcKUx8uzQuR!hv
zWv1O9AbCpS6)aC((FVCV;1wiK9RTI2#={n`7#JXPz~JGE1KR)o`}A%`RQaGN^VUR<
zGEXf?l!3Oef)+i1b5{j4cR|WxP-Jy8gg{%2-4Wm@OMpaKZ3HN~KyG~<ajY4|MZKFr
zE_z|14GMpd3Xp$5vsobDG#-Zg2FcBTv=CeO@rS@Akjn1nA82kn0S@#Fut2{7UVR91
z74yr>|NbMLX9O<8`ru`l+wtZfi15}30R{W!2k`Jt(E^3H#A`@+|A2%y!)uiA4$uOJ
zH=+px3h&$M=;3`+10}pyfWvzOa(EknLb}@mM1r%(1aNrIKn`z^TS4KC;-cQoAQ!zj
zp$Q6akP2vcgMHI@815US@b1w>4sUcly+|RD1X9`EjP5puAW$Ob2!bYZNO*%>ML4_<
zfXWn54)5Ka0159EuVLx?iv}pXA3(#~KnC2RJ%JkD4>Z8xjcAgA!aG|H9Nt2RA}LcH
z6y7DEwQ>)@Vf_LY*4m)sbwHuqT>v7%VQm5Sj|0R%wYtZeL2h7vtqoy-3Q@3QdN+d{
z^CDK`-~ZQs&<zWRv8%Dv0GAFKAS;k8IsuN<3(!afH>g1Y@|x>-Gl+&p9XMbb55ofn
zDe5k&Bj)$8mLDB&K!$)4^6nXs@GW=)3*RZ~pzvMs29lmLAmKaV4N7`$PzQ%EqDct~
zUv5=!_#zegoNA!(<!^zO9}=L!=57TWNbL+RKNf(!z5+yo!<ZowoMs}yX$De$fZPg7
z&nPbH-3)TkiyvyB^bAq~@(<|nBam+z55s+f6exStkkd1|p5D!HJufDKRCYI`yR85m
z{}qt<=kJB2XOOE1r{{pTAoqd8`vS;?y_+rG!opic4HVu5Zz18m021C2Z&AWqKn)z;
zh$b>9yk{$;hxbesl<*D!hj#>Wc;5hpboT=g2@Y=yaCkc)hd0Qrpzua<QSWAui(Yi7
zg2Ef50^}di7BP@-8V|#LgB0FAs>tDut_L{;lt3!Go6+620vz5OP{SMKD#GC{@ebrZ
zaCmcsf~d_5?_lA*MFkYz7VjY8eFGBS3hz+Ddw~i#yb(=)P<U%Ap@+AoGD>)NfWvzN
za(D}bf|@iEAQBwj1>o?mKn`z^TS4KC;-cQoAQ!!mPyvNENCn70pzQ@9-!vYE`vxhz
z?<gaOH@co)q!8EyQrX>%?zRWu@P2_B-XK>&!W%kX3)+kW3asw73=jot|DOPbxCci2
zU;TLV3y=(?EUy5$zIXElcwA^G|NH+k4$^i<tV`@-;Q+PmLFa{m+V*x3`2*n4Jpm0}
z{#JEx+n(b+bYG4vIGR7aV_-nvlvAvP*1-1wH}C_nHSitE85qEQftPG><3S~R1HyRd
z3KUS+L=t3jck=>pJ9`DRosF_m3f9Wc2dV63oepZ{F90{Z!47{3I`ZmRGl-4bmd%Rb
zS_0J;P=b_#7`g$}2=``$OhQW|maTk$Q7Mm}V#^gEDV7g1!HpWG;De?R(a-=orP7;_
z>qHenNfcisJ1hSC{}OsyW&<cEba#MAaDG?;&JP=)`2k$jfs6uGbs!p=>M_#I2L*7D
zpqnNEYVl202!_-ioDipjPURyI!hs5)A_0_yL5+NUNFyJVyg_qlhb@oRhhmJ>mDE8@
z#~p2d<p2HeUJXi6-OZpBXL+=Qk9jpH)pdeJUoXcnl)p6yvU2zaI7K~xrYKNc>w$dS
z%bNA~|Nobu1J*!OOBjkcA&ORm6oK}w!iEOHG_?PYwLP%mJt(9>IcWC=NFJT>9#&qq
z$b$ms!h1-0xdW6D8V~Pyk5XP1$b-wv0&(Qj_ge-%_5GBEq&{eS0L$3U4p7SIJ^&)Y
z>Ei)7eY}9C4{(11<W^95iQ=N(%^(-Ocp(Q$j35;t|9JF5eA9Rs?i-}?a*Z5vd5Ny4
zcQahiizblD?q+ni1%Ojq1SGY=+5;e0LCQ;f;a%|o<UVkCJ3zua;R7tZMdU!?J>vr;
zygeY{-S7b=ycy)c;f*+>8<a%4rP0H?Q-)A@dw@c^I{-w2!#e=9M|5&T6eI_M!yDvQ
zP<W%bsCP5SMK3C3LE#Nj0rC%M=^n^8jfdgBK?-jhS>*6W*VDThuIGgiNM(03y4yOy
z;XMI0yg{ylgtrIA^)aCJxf7&8Q%W<Wq3azsfL5gU?a&7gAa%4kd<4b8J_~M8C*qjK
zM+SzMOJ$(b3fRp%0XFXf4)b0>%qxJHcL8KxD1P%8K$F3J95UG5H{lc5eJdd5ReWM#
zc*%<2JPWXS4mixS_zX7h0mM9o&kPJNw@TynZvoi63LNG=fS4!20}j6vAoEi3o3{dN
z-Ub}zb$kK4F92d*!50RGm!kO1djK{MG?@-QzZflk4ZecS>wuUi@s)w$<xwfT{_OzG
z$Mj8*#U39wAm#~xGv%=ZAoEIL<{|Ps$2Z89bf*6ge0n!O_zD_>Z1@Igr@RmaxoC67
zHwK0mR#N}|?_1Eu$N<W{zEYr3T*xV}ipQEk>Opl2vU)Cvk`<sjqO%!n3v>_)RKSCl
zn>4@ifLxgbK9ao;awIzkGiU?3#&^)7B0JD>&tBxi{X@k;Wd!(iU2x!a!!ifx?z+xL
zAg4LWf_8U<4DjgPy+R)p*M|kZ!wMu1(49N^lHkk@Vndhv!N#w^7VZP-g7mvzJP`w1
zr~_J<*x7smWKQqy1Cad+JHA8L10j_gED)nX<5n=ELEZ(g?{f@w3<2E%`T*3Nf!&3K
zbiil@#I6*N<INR6Kt&WN@OM7|d7*c6!VjorFBVFGi`*ZOBDVk{-SC5f;l*?b@C6A8
z<xtJXn<HS%#v>qGqa9-$W5MfoL8ltS>%SNOM8Uqi3A#EHROWOyKrXdnDm~`W-FyOc
zgmd==kf*_G#0)*V#XLGEgUt5O7Gd=0oD8z@So4e@kW_>0Bo>K(|G|fdfs}xp<<Z>?
zVtRCf{pit)>A!>G|NeV4?gps>-N(V-XaE2If8X9^4LnQ?KE1m$${83ySCxVe{q*c@
z^8&9gZ&7&wYP9bFjeJ{zSe-2@pos_%(9R^tF@>EiDxiAoSc?icY>&67fbMqyImxFt
zM@0g>Wc`^>=c^YR#Xtw$?NK=ZiYAzATU1Vf8p16q7eH1VZ&A4dqCqwtZ&A6y2s@&6
zjta;!h*=(;w_ccvLd=3Xwi&~*@*pGNjs@{R=6c|9Z0C0$(CweEUT`A2^EkwTpa46D
z-;oH%%@9FyTz6XqXv6OY5zw7N6BI!A@-)BU@aU~k5diN6a0Fep$l$nBkAVSnG(7`D
zR%#YkR`LsBQE*;T067^P2i-0z93I_1Dgq!8a2#~@;0$@VXKcU$_5VeS*uVcCorgh7
z(lbT={qHW|*aZoY`!779N)(0v{eP(qyZ8m1yFmNVIzM~#?nBB=TEgHo&3UZZ;U}mt
z(Sa5R(2OlD0+t3{5l{h7@}OlPVCA5b{6HD2@vz2EaM2O{(n=J01wKmog?Z%23y_td
z5qi*39gT-C{6wB4f)p2^(&uHX2)Ju-0DK6~i9|?64=KxDR7!vfGLT6=y_<Jn805GE
zv~3b{(#Q*2k$?Ypf%rQ?1VqrI`3TsKk3uNpYZAX8<vsXFm?E(9-p#0Ov-g7Fwi(jc
z<69wcXa)N8ZvOBSR6|Vo1<C6lK<?_@T=9#6;e~|AzyJGEK=Uh|-(P5heAWx8AvBIP
zgVcj+2xRpygh2%v$O)j1Og^Nd06Pz<qJYLPqP{wS?0%`=AnSTJqqgPsG2E|<aQ}>7
zAopARhPYn?boy@ZW`*Aj3@;*tk=&mxjHux>jx~eSgWQj-UIXlYkQ0#H4|X0F_k-_$
zh7|hUZ5h9j;@}X-51<|r_y9B5y+!;jYe7W=%CTMH0-%Pp?Mdjq29Sbd%@1Hcft;j%
z0_2lEA#k!nJ*9`g1vDoGT2%_a_Nc)Iv}Y4^V~J<uu|?aM8TPe+&dvrAs7K1&<p-w-
zv=gz9gGEd2jx`?y`2pe<a3~?Ug-HnPXc5qodyrQ_OYVI-cY}feykPmTPp>WLMC=_P
zGhRRRY&@p6ota@DsMiHPPzO|}`w9O0|N0;_DS#>1`jJ0K0ht9V&@lqCB-NvL_W{Hy
z<3jx4q?~!Ix#JHc4SWEdH{H9r;12`Cix7}a(Wst(z=zHAr@*46pi_20_CkyUc^=s~
zRzYwo0-Z3m6XZP5?gQ3&pfh(e|1dBdgWPZ?@CSUvJ=k9`0+HT#AbU~bFDOx<pBq-<
z3F@4K%MgYn$apn>E9d}p&}vQaX*<xnj+jg3U#EkD194pvGsGK#$C?E}W*=*|_zP)R
zfQ}3E=-sUFmx1AhodBrk6ai8IvcGq?2PE4&{Dt)cdB922Gzt{UAV<FD@#!_41mb~=
zg~a)0uvegY16nvjOXFHzP$Dsv2B++jy`bA5K^u#^LDe@Xk(RCk9W4v?VFZW-cmFcL
zcZC-uLArmMpy@l16G4Z7qB}2_A5_$Uz4n?N;z!V=HL@S0`2YQX2|ADh90kzyg<7(g
zfQ~yi0NL7Y0S#x+Hq2)5oeSO2I~SNs9ga0K{DTA{DBXGVZhip@#GibiKveh#YI1;E
zb`2m`HXi2q2Mt8<`m4iypcJzkRNh0wCXol6U_pl*fv>&j23>mr4is;X?&c0qaCA=q
zk>EgC0CL{s70^J@1_cVpN{`;%VC#A}!>xM}!3PQyuv1@iMjwW_8Wb#GS2rF8xf<*y
zdp>9{1ROMA0(6B4sJwz7v{jM@I%OObX^8tMf<fC*c|cv-3q0Ut$yDm#(cK0<V5<+B
zB$-NeK|-Jjtv(LWf<o}h{(~OhZ+h_iobYJ;2|D|}4s__=KL*I16(=BJyYB$#YOuy*
z8~!mcyqwF6=0a%v{rHDeJ_P><C0_K*!{0I)B;33EgavFo=*2rOP>@4pjx`tjhm;c&
zEI`q=IpRM9!wVhWfB#<_flj1C=sLj-Dkn;1jx`5DZ2})5*$p~E5)@x0y03#hdRdQy
zvOh@0YtY$-h?@6B1s7Pq{;}pDkba28pb`by;>|pu^uekJG6duyaEY=7!~<!9mM9=^
zqpr6DEffcp1bq&WbGMj5X}RqLa^x_B(sJ7g(4AU+7p%bX#at?NtWAP}5p)6NK2Z30
zG#&%(gny~a^Y1@IhUxVaP=Wxh&jsDk)d#wv3+!3Y{$!M!M?f9BwgVu;KpUh$4A3IR
z2vDFl9$Ue{$nf$yH#`=*+CVEWy86~LFfdpgERpf(4$J7SE9erjYWT%e!rSnRxm2K|
zErF4N;Wd9pADCu82Iez*^ww#3G#>K+jb69HOoW!Fp8Fgb7#Kj8qk*cGb`DTA>73E+
zT>w5awBEC~j`2ky2PmIjU<P><6ydu&KtuYyn|Cln^XUtD?tlM1d+V59xPg^^Kqx%`
zQF;Te^b6O&|DMgqm_gP_fK>~Cb~!;(+z*iI#=}3D85qEO@H~6#SYCW$2SsqT1~m64
zbAXa!NtkDE9qWs0Ai3`537~ULx@RykFf`h5C@?cH)Y^MCA7caAu?1a4H{`%4kas{Q
zX+mrSdF3UzmWP(cp1pM(FDlpxd0Y+To8CIE7rqc{PJkV90pbu|CI*IDH_zr{+#rW&
zqpN^A1Qb}H8VKSLctAng#Gr2T%Y&TY(B*ycf(_&zkOpuUpm#S$=uU$u<$tl44HT2j
z49uVjEDmOH!2IWDV5rsfY(6Fca?fmZ6;StpqT^-3zyF|E5_%c>54N5K-d_9fxi1ze
z%>+ZtK}5l>{J;PIGmCIE|4Jwl^6af+eqq1{Dpz-~fXXWYaFUXPi-5AT1*l`$yLkZ%
z1H+3a9AM9~z4*-v_5mV9cZvRkn#%+>m-EF9R*<<j;O4TwI0hF1nOguc_W;P;9*DWT
zFBU`0MYy-ohNF=QbkzG&P$KMZM%dVB!vQ*QhQGI)fq}uZw~p^c8bmYbpx^Fp(7kuy
zGdF&KPIc<teE_7tck=@lShnDR*vbDwA48i92TNzO1S>c@2|%35zzWlMfgKzM0x!O^
zfWrXEIu{O(*EycObwV$0v;6z-*xkN*J2OLPGcFgjK}-^Uu@<6wJy<m^dm|vKMPAfH
zRBr~Wwt%=2+;i>StN?eV7DTngi$I7g5g`h?;O_@xiMnkA69Yqu0%*hpo-d$s+_s?M
z7yi~RNXSaQ;DK1V9c(2o?`&rS2aME<d(2?Z?*^;J<(*E5YUvjnAgcF+RpatbG(@%B
ziza4ppd-A~Xu|>WNImFIER@6n_Cg=j3-T{KA=VrQTZ79BUs%CjP<SB?QGFb&8kZM9
z>DjZlPVvQCCa{N3gH_}5!VHMnYA^OPVR`{nES7>6#-MlsR7RDGdNv<Z2bBr!OrQ)E
z!3xT#!k*2?G(mzza6wR>3V@VT4xmH<-i6~B|I&aJdY<QgP(}e&CNFFuE<o4?&L|9Q
z-~<l}H;=}{FJMUt+@=DhXHdln#o+eJ{vK#S^WrTdnvcNdt$>)f0+fLo4^Lo&W+1S6
z-7N6B6J#XByk3}jb0Ov-%15wy0ie?Xdv{Af{Aj_BY@Ri$d9eM-o}K?a_ZcxTFi3(r
z-kslHID<`gj_CGIXt3d6ES2*7e+(3i+F<eK6AYjd`2qvzco=Y%DGU*x02T*bKmpce
z0IMUvFn~P{8ma5<1`X7K4#4&3oV)>aE|m)hM`tr=jPJF&XY(;ukTpjbzzO9#IH7=~
zJiFV^ZwDVa0n*~pTde`wD$B^gu!{#&Ucurph8ZQeAonlr4`W~et$Jg4k;?$8dO$@j
z$OE7r1tg3>W$P|b1r82#afBg|@D7LR*M)ck)CTG94glHCAi%)DEW*(_xdD`vdUtDp
z4u5MroPm-kjxoVpg&1D~4N32hgc*G0|KI<h)n4F=u7DXaVAS2b04%lwF6QU~84y3n
z3K~b!fTW!Sh_wQ2I0mG?FhX2_i0^-%`$6@e6ga;BzX*mn0F-9ByA?p?91BNpfDPy%
zGEkIm28{uB^B7uQtSzxTSW^J%p@0;1gUc6?(iD&GZUIniZk7O%V5Odx2WwnCd+Qlr
zeEIkH|FPx^Yzz#H9=*Fk1FIgrn|H7=Fud5s2x>_kX95ikp8NOrzhigXV{okhU;`by
z&~|$}GsA0V&)#~L7wh4MFrXPy3NeHgWJo8(koU-jJclHm50JnDr=Z5eH$WK;CH2Tb
zLkX1AAQ%!}&2I!eKw~`rJ@;ioV+@o?<^TQt4@!y9Cf$U8f5GQctOT7G0cvsn|N9qo
zDn6(w(%mh=0`ksu@M!EN9$^NC?nx5Dpe5|p8QsnWuH8Nyj4s_G>>kk3Sq`Mp*&U#+
zWmc+VP*(DbYEV2iA5q}n&XCsGya1BZIlwjd<_=I!2b~b}B9sAKJTtwh`3p){u%<9G
ze=E3C)ZL75Q$r00BU5R;2XaCKx0*rqGxH1Uzo3Xazz*pufs<M9X3$-;NGnjL|NjeW
zX0d?6gd1#OGa`q8OC-?Uub_psklc0x<W6XAd$H>O-~X>GJ$vieUY!2}a%?lG`P|(N
zF4I8Ab|LHS-3-_Jq7Y&$JIL0l5S<y|tOCnE%^+8UhI}A-1J%)>!=3Mg;;;FL0A#!h
z9O3Z(1n9CkNZ$DV!W?9JFTCl#;twRAw?Zm?#uwrs`R?WhP`dB#V1#tB_*+4%O~7U2
z_upWD8Gv190U~WUKqEWg3<UD0whhNVP(kv7_s`$|%|C4TdqFqRp_B)pz88NhsI3NW
zi!Ol}32HfacY~`Z77ozCavdDtstI(2wMXyf0uE@=|H9=TB#K@tf+`tA?|}D36c&>@
zn{k=*=P%eCK2V@5Ky;#(Pt7Yh7#Lo1L9zhri$A}J^}5h+kk_sG`#@C)=!9udICbL<
zt^2<~S}JNmHz6US9u!ige&7UE2eBPBln&tbogBn>;3Vbr3zYCdzF~fC=h<7w`NH7W
z-~Y$KAp<?y1tXO2{Q-v#7btX?;QB%BjTw+MH~|zrhz+#+{`~z9PIhntp8i0g084+{
zf1;E#8oxm4uOtuL1(^+!Lr;69QQ&k}50OLherIz4Cpd|K+JYXvn=LqDNkkFicyO22
z6`~W=O6u;8U}9hZ-wOrm#vKNCSXCkNpdNHLtO~$w^6}r`U;%ezU;h9n2$XuJv$+7`
zCILwK5CL-&Qtz?(H#n#u^|3w!1A{aJ1H+5CKR}UG!33#RKx^7O8V@IcOzFHA{W2b+
z2OhW+CLqrT?>B&%68-~R?feGU7@!K=v%Bpxq{aX>H$eyDfO1XaVNeeg*4}_Fs($(E
z7uaD?OVH|fHwMUo*)P6)2YVY_L3V>GNYIoNWI_m(SW^G}{om0Ha=1_LW)6sD9!&7M
z+;K;TFlcu4JE+vs{Pp+$E)ahwh(Mhay88p!F(CiK`n&smpic4W-If6gpzbzM)eX7?
z7PLa3^Z$#$@1U>*d65}Zsd@Bn{=me*@Iva>-~Zq$+z>1e3La2`1~vUWdN&Jz?uPyT
z6BNIYDxCZKU&L~Pf1qU2%L=+o;Uz1$NT}z0@$wtU9&F~UhnT|!s>Kg~1EqOZ&^?ha
zLHa#=>)BtdgKGs1XCgbH1frD#q_yMQ-~am@ocO^j8mb{qX!`&E|H~$DfnCq~A`NT~
zhFA3=hOmJQaYi=83}Q$HXgiOCXKy|83l+EtOvr)t_6Im5SU@H)f=qxdtoQ;-_Pwli
zkjXGm$U`cf&-`+~f;~Ea?*wg6^yn4clM2e5rdK%_7+y4h_3U23!^H672q^vZ?tTDb
z@A&@rfAnFHPDo?HbU8@%A&`pZBOG7}Faa{xqt~<(B-;Y=LFfG!_d!GVpytF2rJpcU
zLP3Xqa&-Ro===)0F05e}NZzB@^ed>a>a_(?FP?k_MTc!F$Se(T)YvwH*gQY}{s%Wt
zUNn9E`#&@|iy^=fWC(*JSkwb@LX$_YDCph?k6u$APyqDWmV)#)fXr+@qOcz{xA@}I
zSC~l_Ab*3ZsMy03K)!Sg_vy9ePGDeg4D;-~<{0YP`PDJRvGY%;N3ZUmcm{@GAI-m@
zka!XQ<?nxwgMXPz<PC50%Qt|$;nVrar}Ldl=X1yZBKJL-4>NjL-YtCv&bzUWutgJT
zX?pzfpkXrx29SM+86Y&sOpr<tA7s`j9u0xf5E$7Zz{mjVUqQnl>xToF)o~a?GkmZI
z^BF#Xn(qt@4*d}EhB64vumLKs0OcQm@Eghw{8{<&l5bLgJ(S)*YbFTnv_E?W1m4(R
zUtD0zb^Se59&~6E$fgGn{SHnL^Bj~QGy@})PJq&&gM&co1E72rC_NKGA83Nm3_l>|
zF?@m2Oi*!<UK9*2TS0e|JU#aB|G#7Z{)->~_ut_7zyF@c|NT!o{_lVF@qhoP9RK%!
z?eTyAj~)N_|NilR|9>3+_h0bDzyDe%{{448@$Y}kiGTk~PyGAecjDjw<tP6AKXBsT
z|C=ZN{r`00-+%6t|Ng6-{P*AX<iG!6C;$D=Kl$%}$H{;H7oPn0f7i)>|1Y2X_y5hw
zfB#ue{rfL>>fe9!Q~&-4oci}a^VGlpO{f0-pMC1z|1GEf{Xcu^-~VT){{8=d>fe8f
z)BpY(p8ogW>-4|>$*2GQuQ~ni|J2j}{;xaz@Bi`B|NcKX{qO(J)BpYpo%#1)`^>-p
zE@%Gzk3IA6f7zLT|NGDU`@i(ezyG_>{QH0L%)kH7&;0xU_sqZl2N?hV4{-kf|EBZ*
z|4A<Y|G#$m|G&ib|Np<P|NpnS{r}JJ{{R0B_y7MDJ^ue+<?;W&vFHE)dp!UDclG-J
z|D4zV|KZ;M|3C2l|3A~`|Nl=u|NmF}{{PS7_y2#d-~az&{{R2a_y7N2BjEr4jRF7v
zTLu3Ae>m{}f1jZL|E~u9{~s6p|Nryg|Njd@{{R0O^8bHR=>PxRVgLV63H$$FHvIqp
zW#Rw->qq?mza!%Rf5*uG|4&8!{~sLn|Not+|Nm2?|Nnm%{r`V?%>VxkvH$;f#{T~=
z6!-uC?708`RpS5uUmO4bzgfcn|N9gE|My7z|Nmm*|Nl`*|NlQu`u{&C`Tzg*%l`k*
zTlW8d)w2Kp+m`+RKWW+j|9h7G|9@iH|No#_9hB6<0GV<FiB%M5=IW(n7U_rN7x?C<
zl;)(ymn6j}rDi0S>4W8pQgiZ?85qE9eCmqJN-7Id39CykDl1MY%`E^~&On5@xv9Af
znZ=p8xw#Cv#S9FfW*MV2vo!+;0|RLCR_D#%|0Wy^3^^bE{*U2cV5s@<_rDB?|MBnt
z5)KB2H=q9g-@w7Z@aN0l|9?0b7<#_`{SWG5*?jx^zl4*4Va<=f{||67Fy#FF`~L?g
z1H+%+fB(C1F)---`TIYDi-Do$&)@$gTnr3nKs`f{_}{<(*Kjc~^!)w%{{%?<-{1ct
z+zbqR{{Q`-z|FwG!}#z28g2%L9L9hDPk_W(|NZ~M&A>2+?caYJ9tH*-_J99D3y=4(
z|NDQ1hk?O|<KKS;UIvC9j(`6_Q^q!Y|NiIjGBC{H`}cneF9Smk|G)otco`V}2>ko6
z!N<U`NATbO0zL+Y9N~Ze_wX?=*ogf5&%)2Zutx0P{{Vgl1|F$@|L5>CFr1P4_x}k$
z14EDWzyCS{3=BRp|NfT<Ffj1Q{`<d2fPvwS?7#mkf(#6MKzjxR85nxx|NWmL$iU#E
z@bCW}K?VjM#ee@*gcumkDE|AOBgDYaqxA3p79j=(ALW1l{|GTK@TmOz?;^~=a7X3e
z{~lomhBvDJ{$CMhU^t`p@4t))1H&BkfB#cN7#Mmq{{3Gg!ocuG^WXm;A`A>N+W-E$
zh%zvM_Wk#WGBE7X`S<^dC<8-}?!W&sVhjvEdjI~Xh%qqO=>Pk_MvQ@BjlsYFKg1Xq
zY7GDVcMxY_h%x&2zeAjX!N>UD{|n*_3_2$N{!2(OFo4eeagbnO0G+>-A;G}F<M8kQ
z0|^F(9?yUOBP1CZ=J@^lzd(|K;f?>l|92!A7<>Z%{pXNkV3-r~?|+RH1A|WdzyEin
z7#L#W|NZ|U#lX-L|L;GGGy}t)_<#RFXK1{M|My=<nt?$k;opA;X$A(Lgn$1-q!}1$
z68`<qkY-?5lko3<jWh$ppM-z^=YZrB|NY-1&A>1x@!$VD(hLl5694`GBhA2Ill1Su
zj0^)qPtw2tppC(IlK%a7kzru4N&fdgM}~o6PV&G1Q)Czz{v`kVzek3FK_}(k{|hn<
z3^6JH{yzcfOZoTzhYSP5o|J$8d1M(FbW;EQ*O6slh)MnT-$9mvVNL44{~@vr3~y5Z
z{m+nPV30}s_rFG#fx##3-+$2h^_sMQ|CfN&rTzO4+O@-z{_p<{Sq6rj^nd?BJ8#aU
z|NAc?$H1VI@$Wxq{Yy>8zyA?(3=B4)OX@-5ZU6q8$TKkH^!@ukMV^6SPv5`)E94m%
z{`CF(zek>dA*TP||2y&w3^Eh`{pV0%VCb3f@4tou1H+pM|NeU@FfjN`{P#aYfq@}r
z(!c);6c`xpO#1g9blI3p(*OTHS_}+5Y5)H>XfZJGWc~lYMT>#qPuBnc7ql1{-emv(
z|3-^}K_>VAe*tX<hCK!U|A%NZFw_+P|6c;4tN#Drpv}PGQ~m${6Kw{DIo1FFgYM`4
zQ~m$Hf(`@2pPK*wO>`I-d}{yy_t0Trm{a@ze~b<TLrmTO|1CNU3}@>8|DOTk*Z=>&
zMTddGrs4np6CiyJ|NnzdeYR=(|KCKHfgz^(|NjJC28NuL|Np1xGBDg}`Tu_fi0=FU
z|A#IE!<qj7|0VQ5{{R19M~{IaXTtyg4tfj>dnW$>AEU>>z%%Lp{{lS*hMp<^|1Z#E
zV5ph;|NjAy`f30Ff6-%LxHIkle*t|4hCkE)|2NTRV0g3W|9{Xr@0g|k|Ig89VBlHy
z|37F&e$2A}|BvW1Fx*-8|Njkr1_qy%|NpZXFfin-`u|_YfPvx9s{j8}3>X+<R{#Is
zW5B?0X6^s~I}8{YY}Wn%f5w1;p=ZPY|35(djsO4i7&0)-+4%oI=;GQx8~^_|F=Sxa
zv+4i;7()gIpUwaOcNj7-+}Zs9{}w|AhMX<`|33hUZ~gzD!-#?5&es3`O^g^Aa<={d
zpJ2qmptJq|{|+MthM4XD|IaaEVCdQY|3By+vp3uS|36~Hz~Hmv|Nk3C3=C^_{Qv*P
zh=GA;=l}l<#taNOJOBR|F=k*mv-AId&>6QnyZ-;TF=k-s+4cWF=vHE#-T(g=faG`o
z{|`EmHfPWO{~L@M7<l&n{|~xqa?RfV|382ZgWvc6zkmq?!<&8o|AQ{|?b-kTe}D-C
z!=FR{|JRr>FytKh|Nnpq1H+x8|Npa?GBDU2|Nq~^l!0N*iU0q5Oc@wtPW}IX!IXia
z=k)*oB4!K>KIi}ccQIpN*mL3k{|++-2Axa)|DQ2qV5qtD|3B!mwKJFg|Nmmfz#wz^
z|9=j128Nu=|NqOFGcfGA{Qtj!IRgXFmH+=;%o!MBuKfQWVa~v?=F0#7Ipz!uI#>Vy
zp8`^M_5c49<_run*Z%+iW6r=(bMyay&{CZ@H~;^yuwY=AbL;>AH5LpEF}MH!zhc3_
zAam#c|34NC3^{lI|JSi(VDP#3|G$SN1H+m7|Noa*GBCV(@c;iDO9qCXhyVX?uw-DE
z^XUKoGnNbte;)n+{{W=^@&Er{K;n=8|L3q`U^w&i|9=-N28K5;|Nk$rVqma&_5Xj5
z6$3-htN;I3STQi%dG-JQ5i16UJ+J@&|6s+y@aOga|2)<V3_frE|JSf)U^w&U|Nj7M
z28KUx|NpPCW?*>p{{R02)(i|epZ@><Va>qM^ZEaO0~-d0GoSze_pxDM(E0NJe~AqP
z!=5ky|Ie{uV2Jtl|Nj{q28L<h{{Mer!@#iq+yDQdLl3un`~P3WmVx2UxBveQY#A78
zzW@K9W6Qws=KKHuTWlE^M4;^l#;PC&#tH#OX&!ct35*bN83qQ1h!=nVgGMD8T-XIb
zN>vybKnDsle0co#zXNEhgHOPXPr{3zyPTte!CuN*%UDGTqz+_m3<CoL$BV!JIY0tX
zY{9_5P{P2#@ZrVZ|DZ`z1}8oNCq4-$J_RQ}4M#qMX0{&IUY0)QekP`Sd>UY339u5-
zm<<C11L(pWlUINLgJ#+o9Fg=o@fkSsSvc}JG_&`x^|JP{^fR|HF&|<Ci5WN{8Rf_a
zau3KIpk=T>UjO|MI_(BwmLs2rJKq7uW~dQN)gX5%fZZs7&<k1<<MW1ey&fR{Gcqt7
zc=PvvDyYka=?53S02Dv?FyZin2?GNIXw%1&cYpuy2Zb-(EJu)=4d7nb2;w5V0CEH9
z4#%ALfB&~)cLRDj=Hqe$C{egDF)(cS`1e0J;-NG6pt1EcAOHUMgSxAkiOB;L@SxQP
zB_KOLVV47qAewyo`#%t&&l{$14HE-H1r|Awe?U`3dp`aBZwqRqgA)uWO~JyU2a=+C
zk;1`=kHeMEfN27%5Ch085oQL44WIx1e*|&^F0&F*HG<4S69Su+z|6o9^5yS;UwrOL
z!8Ge6st|Yy;2LHIhKR42VWYvoz;J?@fnm<qzyAY3c@pAgM?QsSu)mp|SU@>Uz=@B8
z!G(c=;R`bZ!-1cF|AVH!5$PVBN3i4}reoNWA1It`SQr>0e*OIqS_Oh)4m2M_@*q<_
zYUXnVWmH7YcI4v#nbpC<z%YkOW?f-nV0b_Uvq0q^Xg!t6@4x@S0|t(K0xo<B%}k|y
z;F16o?x2M>F2Dc&Uk|!;#R()X0Sb5KJ|^bntb7vS90@9KK;>o*D+9v`hJXJ-<szuu
zbL5i%8OPkm#N-Q83W}d8AhQ_%{RiE^iW06^%Q&XZxZ>vyD+5CZ<G=r%ptJ{e2`Ep%
z;~Bl2k-=J4y7C2p+yq*Vafa#N|4pDgfoT?6dBHRTmzxUM7#Lib|NUP~oSS6vxoHm@
z1H%dy%EO6;oq++gMk*OpE@Ao&J)A0Wxe1hxK}(cE*#G^H16_tg*evYjDm2XJurn}Z
zaQ*wgkGL>jf-THJarcCsf#Cra%+cXsV2I$R+&!T2gbP$KXAh`N!1M3_UE;#$Fs|@n
z;bdTVz(ZL&0)?{=Cj&zUFXiS;;bdU=z)N{J-vPOYk8*QVxEL5fdx|JchdEpf3=#a4
zyJrg*1H%O>nDd8=fx$z7a`(7!GcX(wpgi7tKnDj1{`(JFWI{x_{{dHezQWDGARt6}
zzL4QzU|1pa?>}fhnh5u7!{we79tH*j;eY?ZC+T9AiRg958Eoc&%GNbJ3=B7f|NW1_
zUU#6I^9h?du6z#Qb{S|V)f<t2|3UXZ680Oj0M;^}fdSl}a^YoQxFGuP|25*up*`5#
z1u8#!co`Tn#3)aXS9lp19*9w%9%c9#7&65F{ohTTzZT;1R|+2k!vpbu|G~%L5RU(=
z*vtXPKOX}_hs3}CIoRVLy`J{R7iT~C7#P+_QXWPQ{0s~xQk2Ji2Xw5Nk}v|Lp$q&B
z3?<T(<$X|FRRT1|E%WdHAyC|-v}>7m!P=@Ibq)dy3|nOW{Rf|dj;ihsOdY7dp8+yY
z?%)4TP6h@n`OTeg0~<=GSCW};2U`N)0X8ST6Kqa=7uY=cHn1_5vSJZsYQ>gdK>ch6
z28IU$3=Asj|Nhq#X)iw&>;=U`gdhWhj={hGtf00$a+-JKGjQiSzyuoSfb@@;pRi!`
zh#dJqYui9$6bl3y7`~YN`wzOa4H0hOF^UOHuns=6J|j|h5j;o%>YIS(A2`hZ{SOA^
z7xX@%CnLO12%1CU5Mp2e-5KGBz1`~0_kj^5epWH^{a|$BYhZHW>tJ%?o51AB_koe=
zFI3PGSuh7%^nm(6H9`yw5?25Iw{zi06CYSn?EK5Z_k%TouYt{puY=8rZvvYq-v?G^
zM^<d+gT^K92r)2txcvLC2};8Z44{Rm3?GCT7*f#0ScDlE8qmZ*OPJ@NiRlP4Fzi4R
za}Z`=xPm4YBFw<>0ZlAJn1O-E_1}Lrs9QjN%Nk(@1`XGL|3T>m6t|$xAX6o%56J;K
zxQJnnFatw`>%af*AU&|YXAMGrk1zv62Ojx5Aot>t|0B%6@B)WCDEvV4PZDnb{(FP$
zhlZbl2m?ci+rR&yZHXXZP#NGN!oV=W?ce`+kN|2K5DY5=K<aWt7#L0vp>7Ij@X#H<
zc_8=g5n*7ka7T?_(198uXkt%97#IrB#6WXsJxF4p_}~#`U|8Y)?>}fMJUl*_;=ln8
zvQI~pf#C;|9*~%WC<B9t$G`ue1(6`{gTz8a85jaQ{{6QD2|&XwLzID`#sk%@HKGg*
zGtk5)h%zv2K@(dd%D`{|NetxH9ij{jZ#@3}j|SNROB+l9V7G$gZ-_E5=y>9m{~^l2
zkb_5F0yJlcN8Un=f#HoO_OuBamy8f&V36_p_kTOI>~RDo1cheMxJW-Ehz%Nza^zEp
zfUy}E7(n~zCWtXGEb;#L{|RUrEONOC8T)YOd%%HGCe*O<z2I=-`@rGE_k+WcuYuE(
z?*RvMBr`VAV^~G8tDVD*tyE!P0F_%N;tUKQ(*FIo1`X_BbK?RQ6gN&`=3Bv%z_)?L
ziEjss6W;+APrd~#%yBH(YyhR7DdG$a7xGZ^-3oCA2A=$X|II-0&A<RIANGhdFj(Yc
zk7IE8FV4VFk^c`eHVny^;Bp)^;ItMg+(GGu12orL@b7;r$b3gWfo3MASkMS5XrK!u
zuOY#}5L58)KWJkQC~q_~F$E&adq^-a%)ucKN)H(l3=DS){{82HrUxgG{m}7ArXR4X
z$dymQ5yoa<0F@aFBp4XB6#n}U+O`0)7nY9Nn3(cFMu6Pcz{$XH0_6UpfB#KEw_#(8
zlMVVPapGsmw?jXf?|{A&-wAyuz6<)Ed>i!3_;%<!(O9e)iycfwNP><~$1pkb?a)t!
zx&|cZ#CJpAiSGg2rAavCn3nSG&@aU$2{P3YDhcy<DIS|D`F7}|tH&l*fn<g|-vxbS
z*D_7P;y;if=w9%IdJmr*(?qCYjz}>F(tz$tZ~$Tmy7KMN&p`4&vOBSvf=#R*$*-U=
zL^gwID%5$PgawK=P{INkLY7}a0f+7dkYVUzctd{z78fzO@$JwbI44cU;v|s2$Z`>K
zh=Za77UC2K5;hmXav(N2Sn_3>gC)~|3KwEr1UICc$S@+xMIF#G0hDPlvM07Ofr%O!
z1#hzKCDMPe0KpsLUC<DBAFx6JuU{8Jod+ru@Fh`jnT4y+2TP*o5LoOp&F9;pp9L-0
zK;;ffp#&=eK+zAgA8#o}vWC;p*hIDg6l3T~9-CM-iDfc4ZNfqc<Q){hg33%#Elv-Y
zVDk;wb)-}=U=29Evw&}hei1ZY(f!Ko$;`JyKLJS=RQ545nqm|6VZ;(eOtn}9nLqGh
zGhhbR0X0WH&^jv6o--dM28I*n|Nm#8%t3>joRE3wAQqJN9Y{}u5(5K^&Hw-9pm7KI
z7zL7^L}nB{p!EY=lo%KS?En7<UmF8yt3H9P5xAhlz@XxQDW|}|!0<+if#HY4|Np9>
zHYh}210RPYp8(T5kah;p9It>f0|SfG|Nj%A?t!&8`<R#o;r$j@J_Y6!CUEl;yo^A>
z1;z%q%R`hI7!q9n|KAOrPi$raS>VVAYTJXxbV`&#JNy6t|H#I`0GbCzZVNNNhmXrR
z@+mOCN6g$aFo42qgE9ld5x@WcPlDR%kTyMZzL{w&%n`192nT`ed;)Th|Ns91AUzC_
zcJ&Ws28KEQ|MB+OL3$Nb7#Obj|Ns9Dsuv_?qQby%AmBfI3;-nNp~ArMC*c2oSExEr
z+dW2wfx#m1|9{YaVo=+?nTaVB+-G1422-GcDv;h56$XY2f&c&KL-m5<c!mlCgHF(Y
z_}ZLiCZ=9cB!Sd#QDI<M5cL25C8&BE(E0}z28NvA|HyqESh!>%g-az88y;z(z7mHj
z1H+r(|NrelX#*u(na$zx&cMI`Qfs2hz`zjt|Gx}KEhHTUfjz|J3Z`noi3$>5391YX
zUqb)?X9TH5F_-BrHn)KMH$|0!;X>H||LIWwfp$2qP-S4y3IG59Kgu|!BcFx~-vszt
zC1xHL)Nw#Zz6p%X*I7{o!D;k|Dg(ovg#VB=E)YNL06ROGj|1ce2{i_WHHoNktfR)j
z@B&E;6i*In3=Aqs|Nn#b#Y5tl*^QBp13cCiqsG7xk^CRB28e-yL5G2Xp+Jp+VM_A<
z|DavE=xLv+4c7N_<OAhbP``758Uw?V)c^m%w^%~lc@^wV21xiGP-9@&lJ@^UXzT!F
z9wNWiAO$Z|0E`22^A|M+28Hzh|M!5x7h>ivP}&072|AGWNID{J!DDjFqKx2y70}sU
zp!IL+3=9V{{{Mf1GHwZ5YXlwDwdX<&bw@q}P}(U_XJ9BP{{KG<S~j@w6*Mz3yF$!&
z<SSs7fG|OEFh`w%VMpoz|0_Z6gpMO^P-kEWDMO8yBkBwc6UzSouZF4v*>gjkf#FZt
z|NogFd*J0NbUjcs6D**?eQXvD1_p=9|Nq56X%rG3kiI|D8gP0B<x@}}UPpt0!J_K_
z{|=BnXl62%z>LJ~!>4F4FifbzU)F%!+@rz3aH0wtHYj<8ISF3cLc?K)1_J|6?f?Ht
zAh$sC<rxhIhK$<(|NXG}*#Y5aP}u&^U|{H}|NnmpR6j_JN0Wg;qv8MmAS5vbO$LS%
zBr#CAW}?Z!aG>G;|BWDZDDGrh3QK1o|HNoAFhn%|{|`E^6qGj*<$N0xQ!O~WKtT&G
zQ#v#m81@jUc8ewhgF+Kwb3tx>pvl0{()1rPH;L-jQ^;-w-4AcmOxR42zf80k7#0wr
z7G!^d76SuI3;wVIg?)z>1H+t_|NlY9HG_f`BsNEjfnf)l80a4JD=q*3hkz78)4~xg
z1_p&zRIwXc3=BDFVxV>JJJ7@!v>6y!+EDe1XfrSbpowXK*21HS*=RE`yg(8Il~)1U
z3=Agi|Nl<`xeuI|%E5VvDU1(v4FN-eHUq;JJn|Dj`a5vz2d&NDf=B)WNPj18{U1Q~
z<B=E8VPG)n!mS^4MDG?H@}RU40Mg(6|9>`S`-z_!mf#%uz#9c?bQl;a`u_h1odbtj
zb~A;*l)CaMFs(u2z$<O283%M27?$)?W(JEc1H*+0|NqB>%0JXRg5r)&m^&Q#6qw+x
z3I=ey(L<Mk;mt(K+|i@Uz#uW1GBYmdGBCJIA>Ry89WA2Az)&#t|NqUPIE0L)g4$9n
zeV`T9A0g$aBd8KqfcV`7lxb&@ZzrhT-J!?8pfihnGeG6V89fGuh}r*9=Bpm)F)&O)
z69erJxqv3d0ooHX2UV{O=tOliF#~-Dh88q27kvhX188CqpuHzZVxY1xN1uVgV($O{
zHK4KqHJz2fk`}0qKSiH`VZvN!SqxDJYKDM@?TcXQT=^2<SplTy1Za=TTxdB4(F2Mz
zkRAtw9z?$&f`NhIk3Iu~#JvCiLqPEisn5X+?m_GD-T5Z4faa*7Yw^oar>LDku|I)@
zS)GY*21_j80v0E}6)aAC8(2K~Ca{3pmk|aG3^EJ<|IYyV6<iK7C4dV;M?Maadn-VD
zY!?3i4>~Uun)ji8L~6GsFvY;!4hp|D1`G@@7DD?IsD6Y`XMogQF<@Y5ScG34D4qW?
zU|^6~{QtiTG~XlY=YB|U4;&^O;KnGZ-Kb;8z+kZi+V6n)=>n+T2rBnH3>g^yEcySx
z8fq4z9m;eQ<_C~LAorCRGB5-z`~P1Mq!wZhw2~+Vg{wd$wEaBCkb%Kt`Tzf*qpd)}
z2y(*)Lk5N`%m4ppf$L>vg0;8L7&0)NSn(gSz8G3Jg7z4Rto;Aq6J!8X><h^Lm58(s
z>XU-@AoZ;L|6d#0c0#zHSsdQ~0hN0lFyFX>+vp&_yBIMrG_3yrUje1x4{d7-A$qVN
z_ZJv3FqEu^_7lN=uLlJoczt`15d*^t9C9l_d!(?)f$RtEhZ5NE|Nj+`AHi`3UV{xD
zb6`3KZfAj(zJu-fV8p<1WW)demLRoY`-|cB^B6NQyx8#nzZYDN$ps_{iZjq&Dw&Na
zc^8^qnQ~zv0&)vzk5$RW|NoUh@q^|TW)XPb9$aVC7&9<bZ2JHIHHumnJ_AV5G3D@C
zg!4H#@p(A$1$goqF#9l~426LA;T$k#U{Kle|9=riyfHn6xeGLqPz#Sl$o`!lpuJ+-
z{{NSS+Kq^Brdde(n2KQ>=)MlnUa*ku|Nk4p!zddRMBsjej|l@q#rFUIL8lTz^E9js
z@`4!y3d<4`28IpW|Nn0WnE}biu&{)egP{C5$Ap1FV#ojgpyQ7~=Aq=zX^5}{83RgN
zXG|CvI(Gj5Zv_%?1<hfWfZYaKPVmBnfnnLs|NlY9Kr(pn2?Rj%7=tMT!}6W~|AUt4
zfV6?k5iw<8m;u^92ND3AlLR&gluryy85oxA{QrMGRIZK5kx!zT*@aJm$raS$GjIaU
z>N*s{X12i%eCRsC98(4cj$QvDbF6NB0{u*$d=h=ku6zo;EUtVSJ*+N#25oE}d=|~@
z?tBh;AcG7Xkv30&5;3Tr+hWSVV6Y3}W{^`x@n{H)hQMeDjE2By2#kinXb6mkz|ahV
z08@y&1)wyjc@7dmr~TMLqKNZ{8lim9vCm)`P$!##0d#c@nES#S)Ioq8gANktm<{2B
zmRW-Mprwo;8gw)(h@JqI2aRch_%Qje{~*(Jpfe^x;;?q}1khXv0|V#;d5|!J187Wv
zfq?-k%<uu~K5noq<Vq6|QxrrXVW>8S6>}l(gOCgi9-z5W2FSStAmvcw7{oyw&=r5s
zMcy#+0AYv(%-tDc5I$)748#Jp^Fg#6hya~a0HuFJry&^`Kqn(HF#Ln^6`<z*hw@?Z
z@d3(j5P}%+6Uql&#{dzbE;$1lFX*P4LF3yEN{2z|G$>sLrQ4wNG$_3cN^gVG$Ds5z
zDE$mde}mF&;Eo>ygBX-ngVJVD+6_vFLFqIoT?VDwp!75-y$nikgVM*K^ff5`3`&24
z(rlo!{23S+#Gteqls1FXZcsW5N~b~TGAP{!rKdsZWl(w>ls*QfuR-Z&Q2HB`W;2F_
zycm>LgVJVD+6_vFLFqIoT?VDwp!75-y$nikgVM*K^ff5`3`&24(rloKIFSFLv>KE)
zgVJtLIt)rfTuVI3u>h9iQ1vuG7yKV^f{1T$hR_Mn34hoLf#89C&^#|_P#1JQ1(a5R
z>SuteSFnKCvjIvwfF`*?2k${?1L!22!eWR$0Z_UDN^gMD51_OFbRy3IN*6%s1yK3}
zly(5!BE!JIFab(GfYJubAoess=?hTWU^zs50+jv$r4>LYmNPIg1VHHqD7^tnD?lf0
z1EBN*DE$CR3#@{e>j0$-p!5PL&9E9Gp8%x~Kxu_F5b**iZ2-D<ih+Sa0d$ry0|P?>
zbWy|xDE$FS2V92e695mAF)%bh=?75S;VMKv0KAEjf#Cv_7FY%;=^9o+XohtV`U8}H
zupYt}*a)FFY=O`P;D!GT3<dije24uIdIFUG0HZ+%m@qIfI2?q^L+OA+5dHxu9dH=J
zH#h>JKR{`NV-SA8aR{9Ny7rcVf#Cv-z623Ja2Y}iK<~j#fYKYTLc|%aLFfkX!7>aC
z1veplfm;yz0+jx68^V9^3_=IIgwPDHAanqfz5t~i-a^C^-a+UOP&(i}gn!^8gf{pD
zp&PzHXoIg1dIFUG0Hrs4gNQqPhtL<GbOPu=7f?9<go;DygkKQ8!fyy20HqtC^aCjE
z@CPEl07^6bh42%g^Z_WX@DC#10Hqb6ry?GJ(h0D0L>VFZdjXVoV1n=;K<Nf%2>$~c
zgihdq&=)u%v;jASUcdvP1^6Iz13!dz5QfkjL?E<+7=$i>(g&clfH*`v0ZMOx(hQ)3
z+CljjN-u!Y3X%}@8=$m+6og+O3!xuC=?QWWet<lL764r>0?L1i5Wa&Fgg&4Qp&zI~
z=mu2?JwXjZe}K{s>Ja_}D1AT^!hfI(p$+sPbOV&W0HrtRL&QHoX$J!c{{WQ!U<lzq
zFow_xW)Ql;96}#}(vaMbAU8lK3?_gF!x<P9pqs!pK<Nq4@;M<GqUQs2LViOigr5Mq
zS_ZU62Q<+H+S?Na5od^l&<CJ&19;OI1A{{XM4TZJLO+1g8=wn38j>O64xlr&K}_&Q
z6$XX_P`V)vB*?(v09!N#o_JzlxB#UefH!q9FdWE&sGE=tp&N1_^n+XoEdX7F_5n(7
z0Bw#0)k}pCd50nhZBPuM7l1amg6g|c2tS|<LOVb&GIw`&wo=dtO)AYRDOIr4Gto29
zH7o`54C{;x^bAb&3^gI*5PkSaW(G!v6_98{QO3-`#Bc<wI5Wcwtl}&Tu=YE$LCg%S
z@OC?@I2!}3eUB>6&H!uoqKb1cz}m~G;+zbyb~&mz7sC!{`yExB8?wj?S&)H&fti7a
zK>^wxMV4Y_;AKd_((Ykq;A1GjD$dUU>ldM#Bf!AmfEjSi41x?_oUw@uG5qkxCN9hX
z>t~^wFTw!pU!jVNGGJ@pGc$<6`$MSe#Tgi|wAYv!Bp4!=V{;F9_5;}n(CzOGEDRq(
zN5G<pgZhz7415d=(87%meA@ya!v!>PMW{He-wHF#oB`BFMYso2doVD7_DeD{V1@%I
z8-nbG^@CyNRD#XH3{TLFT-e+XS`Ua#9ArN>aZt02kwJ)I59qEzn59q}oBHiwIn49`
zYKAdli7)V3TMWV&@%|BP4yM0A^Sg`;nCW3ZFX-Y8%zWX=$-tlh>J6i%2VO=7258q5
zY!i$C&HD*4@G-#JZ{Ri23=9mKVD)?quyzNum}f9$WMB|v5NA+;nh&~s4P+MRyfu(H
zu=Xgl*~j1sHRl7geFtm)heE|+^(T03FarZaB3K+`A}R*04FZ`Dt53o6>kJGGHDL98
z46t@Ov>IV(hl)2q`w`%CxfmE2CPBqv?RHpkGZ!ijtG~eKTQM*&tc8lh+Vjxrg8_79
z8@BK~2Ud^jb`b9oSe%am)=mSj1!Q1g05t<a{(1l%mw}C=fSOexaag+$TKzF_F@fTd
zj{(+xhgN$Gpk@wOJ+!?5o`Yv#U;s5gK;p1=6(}4*?g2F$K;p1=DR|8%0|SFEC&YYM
zISD@Vk%5813TiK`-49-K&%nUo1{MdIh>C-8h?j%K`50jBb@1A01_p*^usEt2ARcJ#
zJjk7}b`xw3#Bs2CK86LLMc)hz4B&GQ85kJuLB(PHD_H!#go?xZRp9;7pfm8G;;?ol
zEPOz>R)Oq=^>;z(8{{4?W=QzJ`nj;P4nb!HVoMJ?VD%uKsMrxK&d2ZpyrGqW0eo%-
z0|P@cR2<fy0F_4|o%zh5d<|lu;yS2$SbH4W{9@>Wio^OZpmGqTa~f0})}8^MEyuvX
zunZ;+?I*(a7jJ@!!}@Koy#xE8;;?oov^&pm3Mvk3kAl}%FfcG&gNno2gD`uaLB(PH
zc36CUgo?xZ5#V){3=9mQ?u`%=W_|q^s(t~qeF;8y4K(h_0*NnJI}Lnh3<Cp$EL6M!
z>QUJEpD|P%*4~7<(-|s$05ti}z`y_<24skYio^Pm;Pvke3=FAIafhj(P-kEOpQpjV
zz|amAhxKQ{XO1#3FieJu!^T6vYa$pJ80JI8Vf{7G5<8HItD)ks_Bi<LMFs|j-B58@
zdl-CH7Xt&sX|Om*Cn|o9L;NQWaWPg<c%qsC;+f(Q_XLac!Q0#5Go%<87$TwKu<-@(
zer-^=LB(O?MBwv3LG5a=ILIbcyZ|iD#{lc+LAxakYoOw=@gDHm84L^z+o9sHejiNz
zVW>E)9|Jxsk%58XGE5xWUjo&aAQ#++io?c@VCKJqio^QPu>AN9Dh}%pfX}J}jgzxs
z4^MuuI3EM79}254q@m)leiAHxwZY;bm!V=$us9zBtRDkY9|;wAfQ}Eq%uj`i8-N$j
zFff46rUlirP;uD!4tQS_0|P?`R2()g0bUEwz`!sCERO0H5N{O@@snV2KKS?#tUS32
z6^D&)fzN|rU|@I%6^Hf1!RrSZ7#Q9{#bM)hu=W7xvQ1EV4jWGdo!<a*4>LO`{Q2PH
zcd+t@4=N7p$AZtPWME*BgNi3W`}fe|l0ge94jb=-nPUnShmFfXhtn7w!QvnnpyF__
zI3EM7{|G(@ih+S49V!kRM*y!GWME(@X2)JH^n=x-nhoMD1B>%9z{Z)tdn6bb7&d{$
zK`K!3GaTZ~9FTB>jUR%~gJ57_;D?IC#&=-lwlq{6Ha-Sx2dZ;`+LMTW7HH`p$OUF#
z^&l21js%PIF~G+8!278{<pxw7HXaFUpA>?{QOyAHx^ReZ1&i}Bz{X>s!ygO>q2jRd
zT3Gy^0gHo7M8(%|i2nwQ^D)52bz$ugHfXyGHtq#pgU-OfAOsbMjT?gZ+<?*{R2()w
z1L;>VFzABY|EO*O@!UB<=N@796GNcpz{Z=v>pnr_S5WZ-&~bZMIh+L*hmAwQ@@W}V
z95yZoUNg?Xz|aI0e*o&ZfyUcGj$vS6SOOJa0cu!6_w9hB)<VTEK*wvrXD>4_Fzkei
z!^Xj3;dT@%4jaD(pEJ$Cz;F>N4jX5Nl>>L7;;`{>m^m*w85m$~IgmSGn3W5f&Y|-Q
zuy_{$i^EhQXf?1n9|LUu0jAy%Dh@j19;6yNyv*PZ6>oqxlwj?FSg<%qB`Tf>7UyGt
zjl06~*IcMLY@8arr;UMuVI^1`)eI2t6b|wGU~xVM*tj8h{VD?k!)vH`0JOaXJHLvN
z8xlUS@m5%U$_o{Tjn~2I9a*S219UtX=6+46xB+yW5PSwN0|SF4R2(+m1}hIeq2d!j
z9e+@}3Od1@4Ha(yT_MiEzyLmXje&uo7b*^$KY*3nGr{7Z5JAN&!Qy-j7trd*tzdCf
zwIJRlus9zBY#s$x9^QkB!{(b{?Sz+Laga__%*F!=PuTnzEZ+%2#bM*Euy&~;R2(**
z4GVu=s5oq14tyRs0|SFOR2()=3X2zas5op~7uKE(hl<0-jlt`SLH!h{IBfhBe5NO;
zK7xwF#!<oNYJ&QAP;uD&8qA%2P;uBi1gyO@3n~s9mj<sT2K7^*;;?ae=&&xsCLRU`
zK_+pG@vePP^{{yinE9um;t95p@CToN&%nU&7%Hv+ZU2DAzd$N}fyF^AR4l~{i7(iE
z7pT7qQlSPG2eDAG8(5r=0XDw_osMJ(0*j-n1@WqQA^t+2k8KC5=VO4)H^R!9Nl<av
zJRz)nn+Fw#&0oUG!<A5R*t`XJ4+H}P!&azx0d!mfHqLMqDh`{^1dZQ;+<5^i4jb2p
zrK<-}@dD_$IIR8i0V)m~_lK!x-~)vx$V61kheKQiEY8OOn-7DvV-3LKsAhn8Ryf36
z!Qy-juz5S!9@;>tIBcE@yq}GMfgu(uz8G46!NzTJz~UgAP;m`doR47wbY2rS-qisW
zhs}4u`UNwf;;?y6*!ad0s5orC7#8pAq2jQ4TJYHmp#C6O9Mvr#-cztR9|LUu3DzF|
z2o;CTyTQgo{zAoJ^Omsm!^sbdFFpp?Isowf2B7{iRJ;J%et^}>vQTl@ydBJZO{h3*
zo(tBlFolX2RDoR0zyLlsl!1XE94Zc*mxSf(WT-fN9v3?Noevd<&D(<aY%?%0R71rX
zpalu6T<wO6!{*Cj;XfTL4si=Ic@Qkl#{gTW0E?G1P;uBiIQXmw1_p*3P;uCNJ@^bc
z1_lPu9b*Dm#_c~q)x*|1z|8**6^G6Df%lX#Fff2_X#w?jVDp2}>3s%a0Z@D)yBxx{
z0E_c6z~*sb^|A|895(L_8?Ot1ihqEPhrrq`X;5+4x(ir4p%5w#n+JxOUkeq7&D+B2
zg-)pW0cd*zHr_HHEDmuqGPw~f&c^_o=Z5t|_d>-FK<E8o{f?7R@dW7lI`ADd3=9nS
zq2jRlJXm^o4;7y<31X`=Xaa$Ofk99Zdw9x$#rfd#kg)Pi4=fHb1DQ+&i}NwS*1tfr
z4MPr89JWpc)_y35io@nXVdl3(#bN6oVAG(J!Q#j^LfB`(;(QFSbsyk!`9SFtDh`_$
z1)sGIx{(|#4$+HDatdJ&2XU}C9|LTj8M=InK^ZCzn{S7uTYacFY`z-2CyIfA!5S(K
zo4<#p6L+XMY`qRFeuJRmuyqZv@*xf^j_h&>I~|938CaZ;0XF{+-XjU>*FeQ#>oZ{U
zBckB&;bRDZ)(g;P1VbNGy#Q1I)~;9z6Nk<-!|KPaU~!1skjax^aXtpv`Xg95a0Mm~
zT~7l$r{w`u9JXEvmVVxV_W(*TB%sX?z5%O8wh_W+5(dRD9|LTi1FZhygNnn}HNnn0
zm4u4J)~CSgWmTv+Y~CN1ZcV}B5WA2`9~|OkIK;ca;(QFS^(3(RWg1i*wjKw39tHyg
z!y>3SY+V!h{2>MghIL?ZWS2nLJHX<646yYZp!qqF%n_(KZ2cB2Ud}_sVe6E@=gKfJ
zFx-ZU!`3&z%9+=~knxZY(D`N9c*swvdf569So&ubfy4`JJszyT%nuc3fL!~{06zDc
zfq}sgDy{&XcL1O3&A`AA3>Am1M*^Qq!oa`~4;6>4zk!8kHdGw8&JR|<mqNv1>!M)h
zG=jxJA%%)rpzVq-XnujsOwYriej8Yvj{&w036`%9LB(O~w!r7aFfcHj6M>9-pwEL}
zg{p_GlY@olL#Q}xy&Cv@CD6DcR2;Ux2D%)E;U`oawk{DC?<}H_cxiyH7XhE04_dbj
z7Do*O5YH4W&c^^-#{>&!N2oY#eIv|#ADB3_{)Lq%Nl<avIxAQ`n+Fw#t<QpqS3$*L
z>)~MKXB$);w*C%UJTXjyio@2C!R908LB(O~gkb&0bx`pI(0Uv;&vFDT4)QrFz6BQN
zV}Pv(1@HM}U|@I#7DrVJ;{61R^D#VtP7DS^>t$&%Nch9n|AEg-VqjoUhl<12H$t-k
zgE3SbwoV9q_XYz4gELf|0onnAl~=)FagYm8aS2$Qj{&yc43@4Mz~ZQCLA)6_#5aJ&
z`4|GA>(OB5?175I*0X}o3};|qI1UxR0L@3>eW?r#3}>L?uyt&({Bi>-4qFce>vy~W
zi-TN-ih0B#;R#zG2s1|lERL!c%u6mRDK05ZOVdkcNJ%Y9P0uVYNiB*m$&F9W$<IqI
zW{8hZ$&XLZ$xlkmiBBoXFDi~tEUjQj&d)8#Ni9iD(X%ixGsLbaJ}omZGd{7XD6uj=
zHLs+ok|C`qF*h|nr8GCUk|91Gi5H)lmsx_Mv?RYEC#NhIe6Mo6p<XgWe7swbqpxec
ztDj4JJVSiEyI*L$s|Qrj!zG9z-rdLF$<Zg?-_0%9H6%X7(aFaZq!7jE6oz<YyNXK^
zi%J;cT_XJ)eLbBSGP5(|i%W7#;`8%zGV@XyQY%uEOG{GY4UG&CY%>#vw9>rfki;Z}
zn1L~ZZDavw8yT8{%`D4E$%#)bOU)}mlCp%eEfBg*5EfaOGn5o1<`pL<mt^MW#T%L$
z!8KSKfQ>CKNr^8>ttiRNk1sFEEJ-zG$W6^HPAze8aQE?aa*j9BGtx7KYce#mV2F>;
z$xKR)PcAM6M-Gx4!d^o|1l!06;YveGgfk6I%@BGGEx@h;donja1;I&7PEIW@jxS3r
z%1lhkNsUiQP0Y#3PfjdB3Rz<_i29uT^!VcBj8w=?+(`Z|&P>ls%!yA+EG~)9%a1Qg
zO$Skl>FIC>7#SHdWTvL3#uvn=7+9K`Sf(V!7ndf*8zS6mX#!VmiHIIUOLMp|B5=*X
z*KRu%Wu~O3#;2#2gr_8hgF?3m;!sdj7G)M^=B3A{rlhAbl;(kM>5fl~w@gVjHZx34
ziZ?PeNit5cFo!q-DWFV^7~<p8bMy1!!RanO9&Wp#1;Tzq6V!+|HA48q&;a3LLnB1o
z8W|(>8yTCSCLD8w9&;q4EYOr$7@}x1HHL?(Wukd%8pvm$P&GnPWn^Fs3wsn*NWL~P
zCKN`<9z^zt36d%1CMfnA8knN+jSS2Y(E}-RKt)tyQEGf~W>qR!F1aW*u>_O~K}m|C
z43y%)84Q%0A-OX-F()S}F*zHSPax5ho0yr0nx#PHL`G_20k|m1Ow0k<05%GmSB)$Y
z(P3zah$cfwz6IwLf{7jEZbNtq0R=RyI6{h0kiU^_HZ(z`bR!dlD=ZLs+R)qrCFo2|
zAg)R&$S*)xXJ~+;$PilOfSnF0QBgBDifUsMq)cXR081gLxf9_yLnDMg4b31$CVJUs
z0*=Ds)ROq(viOq9g4Fo5%$(Htg2a-H_`Jkiq!I<W$TC0*4MPOm0>LyvSZ#qCE{3Lv
zh%+)oc*qi=!_dqU;w-d+7}X$CV<dx&3>e})Lww^Ab!~{RGpMEw0Tq!D(^5;~i<09@
ziZT;(;*;}Bk!lS?GefWvaN@<9f|7Fble0mEQ(0nBd~s?CC^;K2fTInZPOv0)Sh6xS
zMDm#>Qi3rxW{CInk1sAs$;^u{El!0N@t_O@ijb0wqSV9`&y@JI{G#~MyrRUsl>A(H
zN-{J?6hDTBknjRKCo?a#s08H2qSUO^<PxyIK(U^apPrc<pIDSxk`WKesi`S2Dzi8~
zwXifX2X3~J5h5ZjA?YSDCo??{Y!=uO^eQMhKd-o?C^0jyq!@0BC2}2yFwoExwH!7v
zg2WuGjf4_!@$o5%C5e!79d57@Qd0n7hmkRIFk28R91YDGiZgRdb5Lqcq-KJl0a8pM
z<$gmWq|(y>RP`rUB!X&vaB+oNp21Q8D63{?#)CsHEioqtl#ala99)43EH9&!_NG`x
zk>VO_QUyhBVorQ<PJVfOVtRT}YC4J^ky=HDCP+<;<c!qh?D(R@y!6!g{IvMYyp+_6
z`1}HJoWt!YD9Q)5JrGf0Y>Cy4h^R0!K(E=5k^*{L%mTG^G&MFL6pcnE1XUo#qp>mA
zJK%^&&d*CuL^K=?&7nmAs3C${)1hV#LqkLfYYqv&l*+us+)Pl>f@oKQ?0^T8A!-}I
z&;-$5Ffu@-C-k}(wY)PlKopinCa5MFSsJ6(6i6ks1tKyG&5?>s6C}2wF++TOh_5rW
z8vsj}hUS*A;4O$xHZZg>F-tLkw7=jf7Pa<43Pf<j99+gE=EN5yg8C>WsYQq|F)~6#
z2Ds9JH)vr+0Ya@AL~VL%UTP7jv5{I-lwSlc7*jIKGK)bC`J~GDs??%<P@kzdKRzQd
zFC_<2ds!gL2}1)!cvv6@fjO*s0&2#C>SDO{mZ(YC&;qs5WNM7cH?)8xi{jM0;>;3I
zBL>v40hPhXy$Yn_+YHiJFD@u8&H#rAm{kS0-_R5(&sZ8Vloury6r>i#gDV|KD+83=
z;)_xX@{5p?v@vRSLg{H3BaE~}q(DPMh*QAE!E-&hhJ&`jkV+T0`;3rVnwE$#H8e$}
zScG*5F+-#(!iaEQM`|n>A~(E{60)Hws+WzBI$eea(2^5e!4l{b!Tn~2<XmKL8kjP~
z$0rpRqt#93h{R=NjL>Ri3~6YA!!-xg=PFCgDFrp6!M!jnxdW*!WoUxEkVP1el&wLD
z50-t646ye#pl$($LvCtra&AF9QW<HEDBcWBu<J(+V^d?oJrPK;P?QSorXnXOBUrS6
z3SN9k4%}6RH@#qfL<(ecOO!Iu$N(uN8bh)qIQ)sW1l-3#M5v)5Y9VW62?<D0V=cL;
ztQa%`Q-I!n24#J)t>uZCh^%RZ)S>_d0L(W=NG%X#_apaX%^_K|7}T$Tn_iS(nwJ7k
zv_?pEl%W~CD~VcEqsF4Ki5X~^BRRJKdmF|AsgN*2D!U9IB{O>IhEQ!}h+rcRb(o<x
z0}U-8J<asg5=cV{(FK8fl2F7!%1&sjE<Pm{R93;m#1Ogjh|~u$G=x~30xo^w5n+VL
z21vshhQ_FMw4tRLgI{TG5Hw+XB1HjGJCR`iFoajLILAAX(y}R1WrkFCBSnfSB)@^v
z9ePteF((HcPGCt$9S!fF!+dXu+-5K_V~9@$HNz1>iPUB>MCvOTA@xbjP&1>c5hSmG
zdsv{X5TBTr0_sS`=cQJZfC^Eh(JLdQwzs(v)&?e$XOZi6qzc^-she(y+&3^s&BcbG
z6bu>WLTP+Mnu@Rxfi`?o5#1Xj6LWBeDX2tb7(>FXQADybG)9U?L)0dOkqN3JL9Ikk
zHUN*u<(1~97G)-bI*#!r`SGQBpmd&!l=KXc!WuMKT$~XPbw4ELK?OgKm^VUdWSW~X
zfW~Ox(Qbs+j5RWcgb_SjLM=%xj!!I!FUibJg|x_EVQ6R$$!Xw}S5TB+kO=CT#3NM(
z3=De3mANH}Nep_$B}EWA1IEhCD@iRXV9?9UFG<x)&nwkSD$UGE(alVO3OPD?>Xsy?
zGl1nX5{ok!^inGGiYs#=bV(6JrYyAx)Lum4#1}E>6{Y4Rf;2!`1vw=Qdf--|UO`TY
zUV45BgC3~)#-IlZQ3k!Dd~lY~OU-}|xM!pkF~E5ct?}S~1Vjgn4ecv1=s~n66&Ew;
zCFkenf(Eg{69<$LpmU5sQ$WyVKk$9hE1&|fbBtiNfYid+AR2Tf3+U`1sB-vzYS_MN
z(7B}`bubK@pM~*3(_F~<Vf(IOH0W*#m|75xt{*g?^X>ote3<>P{n;=Yw%r!C-VAoG
z5y&hM2F=5Q&Q^lyhn>F!qXR&W1MNEj@1untZUjBk6{H`u&JuL?5==jAzc-AAo!<mf
z3&QB(2indLIvWY5AGR+XM$Z9h0<Fu1(lB)}8nhiBbk-7#58FQuqhZ^<LB~LW>_+!L
zXqgx&Okw(A`^;f9?EEM6@PnNL1)44g>4zR~1K*Dh+m{YIe+r}@bd(g#ewh9~Xt;vh
z2lgV&!B85MKEdKp0%RAI38p~nBtZUx>4)uahtUpb8bIg3f;7Tt(7Z0Pe%L;E7!7kT
zNDm0Z_%M1dn)_k<Kw)$#J1k^Dx<Pa65E@2;mdPXA58GD{qj_Npp){Iz7(n|SLHgmg
z!1mum=`Wzf3DpatVEzWNK^U~|3t2yGpFWIcfq4|9n1O)--TwVh|HJHu?dONlC!p)a
zpxPmagTXiq3=E+40-(L|FlWN{{daUjOhmIDt{)~2I(~;Ae6|6^P}unZ6NuFR5Sq7P
z_RoNx6E*{?UjX6^`1x!wdtu=ST22oNKbU^l`D-hBAsQnf6vS~z=cU2S0qwg%)(=0I
zU;;#A1ho8t8Gv3Mg4W@I%!1huTelH09iks32h$6pL3V*?82$>4KWKJ?2{XX-Yr{n#
z448go8e$Vf9!7RR?LPpm06_Uz7s>yy{LhILcQCym+G7vIRCCxtbs#~gTcJ!aC5S_R
R{bh*$e_&Mz0_JX*2mrY-R?z?e

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimkernel.log b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimkernel.log
new file mode 100644
index 0000000..c784f4b
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/TopModule_tb_behav/xsimkernel.log
@@ -0,0 +1,7 @@
+Running: xsim.dir/TopModule_tb_behav/xsimk -simmode gui -wdb TopModule_tb_behav.wdb -simrunnum 0 -socket 54249
+Design successfully loaded
+Design Loading Memory Usage: 29852 KB (Peak: 29852 KB)
+Design Loading CPU Usage: 10 ms
+Simulation completed
+Simulation Memory Usage: 115688 KB (Peak: 169120 KB)
+Simulation CPU Usage: 90 ms
diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/background.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/background.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..afd62b07aafe6af1cd80d83cd0b0b4aa172e485b
GIT binary patch
literal 2768
zcmWg2k!R*&U|7Jwz`)?}q)MKd<KDi%$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4}y&^3P02cJc9WbiT6fMS1ALtw|113=9nap}@k+ExR9~W<iRl|AK`M8J-@=
znF$#SGCYzq`aOLeT^4`|57!LG<fH|jz6ov%lo%Ko*g+0paA0I$*uccZ$Y9OH+`M=p
zhgY(rm%n3H#tIwN_I$61QxIXc1lO!I&#c97)g!$39lQr}@>)4-CKgXmPglNGs~0YG
z@NjiW@N@9W%6Po&J;UL}iVq-a9NzC+E62dV<KWN0z#s;-6=V?zGcceCv-l(@q<{%@
z36M1`GiT16%D~{Tkb!{#=6s~^L1Hp6I505?Ffed9<S{TXC_^pfZ0}gz(r??^-iTm1
zv@#1YFgP?Z3ow9!z<>co2XB+@;*NzKi&peE_APFL@{CsW3-&E;!md*VY8RKYL$YU<
ze`bP*8=N^~nnN0+00RTEO{y5`vk~fdW2#rfQ16XUKO0lMI#fMplWj*+@1n&EyI?Fs
zDeOMbfa>4^r8$S>1iu6iB&Nemr0`;9FoA@ZCR7i1Yhy!`?ZU+iTUK`<vmH1<vBAK^
z1lGaGAi&_TlvRMiVHt>64kA{7h?O8>6^K|3BG#}9FfcGV1TYIQ{C8kw5McNZ;`%WQ
zFgP%Sj93DacL0$r4)sWhi-E~uAxQrsRsjY^R2fEx#UM>q;!p=OFzUgX;LO0lfFa<}
zz`($Ot_qy)!35YutZLA*1sazD>@iVvga7|$U|_I<C2s~sJvbBQK6C-F-ROd#%mu=b
zkO27rT?IU!!x;?VKo&(;2yzi4thi$M&%mgt0}DM!*}(|PIpAy#CXll|SO7slvL&Md
z%m6Mw$K(XpY)3awX9ROH1B1gJQ2c>n)?qg&z8Dx77{NK(VK0aaCLu8kGl_u-T#&%H
zAg_Zkv>1U2gNqh0!N7pzB?oYd1O*aIAvCNRkjfM!CMX#$Vqjp<hlc|LI1-TfU;~h|
z05aPFoU$1hO<@`sv1(`W%uLP#6AoP9{Nn(!)Bw#=BtF<uq|A!MbO7Zj1_nk`m~uvk
zJ_ZH`7!RzIfq{XqdC|g!3qg3{qD2dv(YWBu&(=J1=F;YEAXiAiN>)&SATqQaBLgHn
z5YiCyIU8F#d)sZB7cWGxAcYV_o`Hcu3RZxEVjNsDfK5whU|>MVKvjbS4PBIdQAcOT
z;=UFb4K5NLKvgZeN{3o3hBCs;&I5S@n+gSHh!U{D5GII}f|cYThl5KqxWf@LAcde7
z04S-Ui^3cXqrv8b9geON>~M5JOoyYZ;AMhX4>lOW1d&p(Dh1?la0v`|I6?-b5aw`n
zQJ8~aG}wHw!_ifO9gZ#tHJZTz9^&XK{_{iBfDMK)L8KI{ZUZ?STx)<G4hma@3`ijZ
z10uO0Sm+54R_}pK17#M65Jm<DPJ}4LBygHT7ZgZN$nx;aMznx11i(3m5gaJ!n!$mB
zF31hBjln@5mJHEVKou~6O$HMjFd+s8DOiOJ@(if30ec4Qc7zN>IeTA2M|*E?6O4vd
N)euQ+qywle3jhuq*rWge

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..d737d6b7aa96356e3b4b23f613604aebc02662c7
GIT binary patch
literal 3007
zcmWg2k!R*&U|7Jwz`)?}q)MKd<LUH2$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4}yqw_Vn<(zdm|QEaoG?-IvI6Ne}U28RDo;NZi+z~JZQmffF~;p&&=>F?m{
zn&Gg>A>B2_!N<>I0fLp}x?rJ0hNnkzW<tgSe@~ZwPhUru1z^I%HN!DEX@RG2g4=>k
z3=9lRAa^l1FfuS~U}0ipux4UzUc8XQE7{S@-!Us=g^g-^zE{L4h%j4%YgU?P*5bG7
z5#IX_-UIn$t(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR`2h2>25M>VU_pOyf
zVlpF(<5C1s54C~2dGSKq#+F4LJuCW_EmOI`ld5+brhv`YHN(|ElY8OfzK*`${+`~(
zRmL}BclLZ0d=629+a0*<Cc%N|euG$P&4d=cg3!pdg-7$;Yd7a7=!zvm3}E1N@MmCP
zSO<+2P(*+*0|SaMi%)Vw3Yb8b07V1K%$YN%LM1jp4T1&^+uW%;rq18Vz~Hcufq?-Q
z_lPuyU@<UC?11VO@pbe}&dSJgbxuz3_Hy-e%+5;ihY0S-a-E!<FdHPeJ1b$n0|$cu
z1A{{-0|UcOsAdL-24o&Mr7<u-bYnFVP0%5;Q;@+S7|9GqtR^B{j$ko37&9_3Fgj#_
zjKJm$7SGJ&EHL4~#UQ}ozyxwWvqK&O1H%@m`#Bb7WhQ{K7M$VG$_(;k6SDvV6B9Fo
z2?JRDR;YS5|18f8&txctqLz`t0-|;sR4uzgUkfOw!)Q#^j38I#gPdOgA__qSC_osP
z9RipI82&q~<^qwd3<3=Q85o!y{Fnt899D7(FgP%Sbb>t20QNqcLp@TmVqkJe0U4Fr
zDagQxD#PfI)+xxqV6`6VMg~SbI1?Iq7y{r3L01JX5x@l4M67Di3Jf$Z1K5wE=m!7)
z4=PhZ-hm}<21bW;u%kC391Ul}9E~mjb`ZKCESo@z60oUQ)gc!$$ZQ61govUW3wE*<
zEW0r<>cN>X*P#o5ZABLZl>{IRi9Aq(MppqZwBZZ}2T<Y=MOO%N5hJWhV))O%sJI6f
zc94pIX#h$~a1l6A_JS>k6ah@;`=Eirl9l1_3MLpB7<6Ew4xOOX#^BJ&z`y_#gGMBz
z$kD?P1w|IRAh-Yr6Aqw^2##`eHJJ4UrU-*W49GpqG6$fphPwr1E4m=8uz}GI$)J*e
zD3ze%he75bHe1jI;iV;<;gATkhX}QxB9B4l5H_381)(J^lyXRd*+M`as62%RoB=H9
zb9O9RvAA)?qQwh2C5$YL1ucw>4H+05IzUE(ic*JmP&k8X6i@<S0#_FfQ6SkFAPEN$
z2`NrtCNeOA>uneplzBlIS~<gn!D#?YFfbrxN(XT14~iL>LRiEisyGA-<g6}`Gnl1d
zbr(no6p{`hj0})^7$E~OinFn$v$x&0dGSI73sMU~<QW(kq+m50$TU!>IoQEWL&!i>
zBg{as&`pEYeIV07=?P&PLWXG5m>i-R7#Lvf6b49J0Tf_xA&5~7?0pR#?Y+HCFdE!Y
QamWG%7gPnDasV~m0d>>aD*ylh

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..287fdd7345f95a2d75abbd244534f929495ff7d3
GIT binary patch
literal 19731
zcmWg2k!R*&U|7Jwz`)?}q)MKd<LUH2$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4?Rm*0R#JwY|}n-xkW6d+p}@1YNO2hbRUHhW}9D;KRVc;OFI*-Jg}=>X+r|
z@8Ij2;jqXd-8IF*$IoK{f|caDV4*{Xr$=&TLdF7rPnUjAUq_b(V8X*S!!bE&fv0bR
z+X6ub1_maO`xqP;85lM&Gcht)Gch+WUdZ8<?C9n1n3b`@MzuZPE8-MHm@UCIE6p=&
z@muu>?|ldFfqb-9&YFqE)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLGkeLqe_pOx!
z6A&F>CNm=vhY6X3Bo1LhZQyQRywJ9>Wl=}ZioRvbR4(wO>YauuVDoj&aP`mRUbwig
zqp!EWr?+vH@r~G>JzoW%LzIB+WMJTM@MmCP;D!1d<W&%6U_cRO@kvfd0TbvFAdj-l
zoH=tURDvIB5Y&3Mxl?ycoxhWT!C@f-0|P8{F=H1~gn_|<i9vvYf!QICfq_97YAnaX
ztjq*Z)WR7Kt;_-p3=U1q0t`${%nT+BVD%zU^=$rGo*ACWPzpsYBZCD*tteD2yFy<J
zD9yoWOx27G0t^oEoq`Mw2_Pb|QxN370A>M({|>9UKqM=J0K<QfpdYgUgTqQL0R{(V
zkfu10QU?&p=1`9m-V96*Q6QtDI|UgSQDqn%VnCX#_@K^XVAO*%!6}r10Yku{fq{Vm
zT@^Snf(fvRSk<7VRWvSx1IUk}=m!7)56adc@4#Z6fzcrr>}Uamqv1@LqtOMx4nh}%
zr5{Kt2Ahgi9di0cW;1{zL=@dvu#>G|>5zd@56*<S4qX6jE4m;ki-0gB@<4%(t^!_O
zz!?k<pu{1Ht`OuRMp&uH@SlNEQ4$t*kTQq~cY%SckRYKXfs_JZ5=<bK4Y=(^E}L+<
z0m&dFCe#j4&Q{Qnf_hXCUdY=*vmmAjQf73x&A`B501G9~jzudLH?CN;cp;~Rk%h6K
zg^{r#1A{{aC|7~<n?rf0AcKZ9)G8r(jo<()Nw5f^Tc#lc)h>q6?w#rEkd>L>?Cs;{
zfkPO>7+I(>NLIp(z#@d9T@I?9%gx2r%>i7nAu%zO%R`l`H+L*<?C9(3ZR}fN+q8H^
zZ&MejW?j6nuf=v%bAxS9V^5Fm%Jx2WVF_bF2{}1gK{*RsA!7?!At_ODL0eRHmf~{A
z7M)~ZV9-#2TBPOWndRZ>@1Nk|pXuP`nUs`}l>rVPhpY^LSBGpbM+aXIUtfn5KYy)R
zGk49HIe-3!8S{5K%$m7r(}rC;CeNNTZw8vuE%WAMSf_}`I)7ImKMyoBa9B5gDsk2+
zL9J6m_MP*htjq+gR;eNTZ1SRAJ2wz#jWX04Rpi)GSiGVaYh0-!$CZMyg)DI~r2@4C
z)i0o&K!jfw673gNs5K~lQ6kDON<{lb4QdIhUltP=FN=xxi#pUA6u&4E<rhVw{h|T2
z1l2Dqi1W(|qWz)?wFbp6%0&4^nP|UgVY5VoC`&YmwnQ77C0ayTqD8bNI#5fLkaK>j
zSF#so0i%SR>$lF@JPS<;wsK4tYP<@v@m`)j9tnPEx>Nl8Rgf*1HFM644fD_xVY5OH
zn-!k^30@gVXu8p@m^pvLtR0)s6k)SMA8LgHvM&;_1d#%=4>n*6pqcQNyaT9SkuZQ7
z&Ec7pn&ps|;LI^|)7D)M+cr#gc*H2cz#w4^RlwcR*w?VQrLm#Ep^;lcP)@*DL{LCp
zKoF|T6sk<XGt<v8+acA_&(q7nJJZ=!VCK$w6L&jooj7miEQi@UCr^cHFo$a3?^w~^
zu*!BxLwiTFZF5%(zl4RjfR(L@fVhOPt+12`M7g;QR5=HzO#o^Sz!?mT4ii8Pkx&K(
z21BSS25^fK&V#l)5q^Yu7NRp4Nhc$AotU;dI59FXFgi>DSv?2I0H|FI+)NTyBE}*z
zB1ZB|$ZUvzbYT7g8^FMz2jj!EV+erVg{}%$3m#n^a;qJg4Qat+HJZi6JJT6VFgOJB
z3otM+$=Ja{7wSl`K6F9G7RDBbP<{bO6rjsuX@X%9a;OD$aAfSU*@rI3*vr`K5CXFg
zT^2{H5r?ot4ai=Icg-E4w)21j!qs6RxY>ikVPJuzA5h9Of~tmi8_t7<6uy)PQwvFX
zFdkGR1B!(x90q6#Tn0;l=vt9e9Woo70v#rTw1Hf1g5q*G59$DvY>!j~K=gxh4onBc
z{V*O>J1Oo**UHT#VI&}EYb+-#49Y=pHpKmtVD2|VaX*{~bpU30gkC^7fK3AV1g00_
z6BrL_5Gg)E*UHSq&ji8{mrsVd+ycera30h`jDiuZpmYG619CS^C&b+_9@H38+>Nf4
zt$D+)ofDg(6xj6)I<S1<07`fa40<p=%svbOa4~_d3RlU6t`51hLS`eCT<BU@K-CVI
zU~pjGEWp4d;|vREs2jm9LKkH3>#*%@X>5ei4y>C67#u)FJGx4?=9xP;?`nopkYJH<
z!Da`#AQx<eGr<*!>A(rI30*xWld-skfRuzV6M_Y?k4eTIn}z6t;L?~IWPAey0|UA&
zY!nVgJAg;qWIV8$j4lW+ce!9Dqsw9rE?|l{RDw%eP!utkdqM5w?po1g3+<92vyp3g
zOQ>2%SqtYuBNty;3sVcpDKH*XBLlL1$ZS|idlr;&K?wpwBmTM`<~IkB0SpX!Fh0y)
z3;}TZL|26?$Dpf2&Lzlfq#T2;1zgR833y!(8uW(@(nDMcb`rWEICa76dURQ&iXGJZ
z1&t_U5pt*or2-jWSe!zvgG4R5AUMsz>w0uq_;59x0Uk$&ddVC#1P}5NFUnvGDi1l8
zS;1Tc_BxygbsxS|22%@3WiTF8BLk|9s61FoyAMlg=$eu1abz~6P|$(-(E(%t1A`un
z53?IX0PHt(Rk%_bx;o_4h0I1uY3N$GKm$~ZJwZcMNK6I?+rt731~P%LAcwja>^gKo
z&hEv{Ei0S*8k!I+2fM@2vKn1AH<Pf0nTU*tvAir3G8+<LGC|mEL>J`mvR&N1qQ@3C
ztcT8bum{;*!N9<Pu9H<mNr6v=K?YPygVG+<Uy#&|F39JZ;pmnGAMixuIyk^ALD$F3
z&&SUo6N1er=z<~{Ne-U=uHFuw8JTd4unIajf~*5o8t7)Qb}Vdf=z@?AoS^9=8BjA4
z!+Yq0yv@BWi#qy2!_Telji@{aCy;HR5JcC-vZ#O2LI#;IY`#Mmgqf+-*MejNgy-N4
zvjJTfB>2LyS%5Am;O*e)<(lp4<p3R;#1L?BfmwsDmt|%D>J<z!5!mcO7ZmdJ^GNk@
zNX^QG+k{2P!4+l~x_)L2P>P7eW)-?1Z^y!h?tWW-$gmD7&%q650lF?on1N=AF`^w^
zP<Ua#ZAV{Amu<(w6)<bCi8;7~ECVGtbQ2&+4MIW_Tr@VXp$qas;|f&y`1pCCaUDEB
z_SG^lFre#WgymA17;M&{3nIr1)G91O4xS+EKq(wuKP0kZu~~&K2#p?S^9Ysa;03b)
zT^A&>;;>nOE{GB_P;0P>Ie3FC14S0P36N-lkkH7A$7VCSAS`yEW6Nk<2Op4qpvXek
zhls2MY}TL)BF7BWDl9?{z98#Bk%g`w5?P7ZtU?!rMh|pI9+l_d2eSZO7bLQhuvvgE
zh!QbSYp{tq_=7A1MHadVkZ6LC(8vNU9Kp!b=z_4=fexOdaUB9c_JJY`T^}N{Qm}at
zT@X2DpjKfKatH)j2Z}6o{gB8?#by<{AT)ZQa}KCHhai{*=(-@0m4?j%bU~Defm(x2
z%pn+L87Q*QO@KrbgoH*`IyRfp1^GLg8yXijG}=NZA<+2_Aut=!bwccdkWd>lu-S+%
z2ulyEAag5dT!&DQeW2ult`CtsGO<~ME{L2KpjKfKatH%i2TC63`XR|93!7Ewg3ts3
z4M9|%LpaO=bX}0-k&Vp)bU~D)0JR32m_r1}GEnkBHvtk&5E2?$IoNDQ7lg&mO4P`L
zavdT;_JJY`T^}N{a<N&1E{Gg6P_wZJIYfc114S0Pen@12mMLMBPUwQr=z&g$q4FG}
zVHTk4f<#t6Hou??qC^bT8f;<?F(Au8k%evoB$^;3G_ne?*^Dj-i>%eCkp<;C#DeSt
zMHad~L}V3Wvj$xdIcA_{V-a$Q16c=(EOh;l$ST5S6}liadZ5#as62;wm<8y%Adyv!
z%>r~ml!$>^gH6mK0c05{vd~R{L=%LBMpg+no6!Y%{1aTW95ehqlYA3AP&f{WAiF>#
zN$7gmnS{k<rOlXNG_;#qip@TBLEet$mQ^jypyhR|TAERL4oM&zK}iE$7b0ntVY38X
zP{hkKE7>#K-_^m-GXp#&;*jBrRnQ^%umFPvx_)L(eohc}kOlV~%dy#sE+_!E)88{A
z$sxlPL%<;gWEZ+VkUby_wF{I|F$xcKL4;R4JiR>pUBUKY6?8}i*@><nWFH7a?X1M+
zKXgGMg#S{!l2brF#3JO72C@%bFUT$shT2z!%|3KN{^pLwi#k^Iwb(9RxX5;43p(E+
z9b^-_E|4uC47I5mn@#9~+zN|27B6mTv+e3wgv@rx03}CI*rDrSS-7Zw5ra$(Hq+4s
zxs{MiM`Al<!c0fk0W}?z4=_>!x*%&`hwZ|J5YizFWFjcTqN`$Ok}wn(kYa+-&<tCL
z&Hd<t>>bU$J+=!M!f1zVm@Vikd6}doMWk(wB#h-G1es8IP#Zx@L^1q?E(jYTf!K@6
zbI1YN4)PzmE&<T`98jlN22?y^Sb{FdljZ7|;*gY?mF$?6fx>af1z7=755mX>K}uH$
z2`OV0Wty>tA-W)YUkiAt9gKEpgjs>E633h`4q?btuuKa!H=ql0z!n(784h_cSD>p!
z8Ucst!XgBn0B^-+JGvkze7PZl<&Y1vA6+%k3l*D*ThQoG4<5d6!{$<SK_2AwiYOe1
z0+@Tz^^mgO5qWhCvQ=m+Y*PIE9U8!{ZpY?obU`-A%s-TJXo9&ET^;5G7p4eg%1fpL
zo4x3QY>UCwE0l6*29?R^YCz>N2s?y<mi5SVVlx+AkONk6!x;`OAhXfcF>@+#g0Mq4
z*z7KBW}^$jA{Fd%6plk7EZorbV2fd}e#~eiL8(I{IEK5ixf)%N9Xk36qaBK1Zbes#
zlvP1PefX!eda&7zF315}<qBsw6vJ#sSBtuG5jq5o8A?Pdb7%sGP%k!Dq6@M^#{yxr
zLkY}{=qgc`JwkP%EpJ}g-bb7&hi0$~`>?qXU68{W6d^u-9&m<3Da?)NYEc6Ss*g}O
z;#B6)0(NCTHdmqxvO|aIV6;OS%#G+OQ9=l+i$FNyROQeLcHsnUE<_jPaE1<x!Wj<b
zFgK#BMGZ%&K0@J$Q<*~>*p(Bpxe{HF9Xf&rqa7+>ZbVm!5{^(^1i}%gDu;Hk3nyW7
zA-W)kGjzlf&Ty!Nxe;A0YB)mm5ei3~${aetuAGd`mFR-((4i(6?N9}CBf3hIaD?h2
z5RN!iIdp<uI0c&v(FNI|<0~-Qp&I5wbd@MBgz6&TLY%4`y1*`+ip_=Sg6z;i1sLs6
z19Ks|N)#7DbrEnOPE`)wU>8n9b0H_X0C)-=U66HAb8|y8gmh><EWp6%02-=CSLOif
z44@0LfjR=9J!$%k3=CLRKou}BIJAK_3_5^{UvyJAnfM`q3Bdv@=W1RA0nL*cWTvC}
z4(u6pK^7lB4=*s`&<1M9pv!~WM<5JsBhA2OCb}SVUq>?tJG6sL#E^xJVL)14Gto@s
zL>B-%8(ome)r-m1%b^1v`sfP4VT&#Z+5`v+Uk7;TqpJYPf-yKanZTisu0{wJ$dI63
zv}jQ?79p?!!mx;fL>E6lKa&uH%q(;-qYHq&j4sHO;Kh{S<xmUvGP(k=m(c|=y^O8`
z>|GE6b}<ttx;$3L5ME1<+?~L-*xsQZyrC47b1-ZK<s5WD;ynU3*#WdvpNT<cHd<JN
zeS<E@0@^YGCLHQu#Sgj~VzyWy`x#^;W-a8<11@+#V<E^Lc(AL`1(`cmHiNK3Cny_X
z$f9PWx!C-PF31Miy8)#f>S2CGS4Z4_4`km$494Q$Ua)`XVRIe2AZu%T<HCLj>Cgr8
zGr9^;K?K6k!e~A=Q_%(4Ae%{`ltTl|&*<t<{0z~9=^dgJIrM@33_4N(BaYDpSwLg5
zV8Wpr<YRPskZ(a4>SNFrbqq7n1vy}2uW*J#56EnEbs%#=7;5$+Y@vxR$mS0oV}()<
zy&!YZ)qu<dVW_!_v6+i5$h>&rA`o`ygT)WJ3Z#9cNKA)1P*{T(z%iIFh1w*jU<(?Y
zMcO=yDZ;?OpaWCy@U9EA=UETN2Ni}Oj3EHdrs%40t@lG$hrGrQnT@pG4_yn(!mLa`
zFu~wpaY%rHQD!;Riy-HLFxW-tf-G6C{;puc!Sav*1Go}HSHsr45L9tNDThyt0t_t8
z3!AqwFv+aKW(T?;(;`=<MXnCkAoCj-7#Psyq30PuDe!3o3^J>+nT#$7UZ!dVGZ$SJ
zDejS&&}0A#BT%TYg7*c1m)L_>4TBeSf@7Hpd}IUU*n=u?(gBf>a}8kowHcVeCriM%
zpk4GJ3_U&qCJa7n0!%P4AnlHK0H44B3K5t>kb^)N^8g7<5e7vEP`L!#cFw>E9`XW5
zGF%K~76StVXJboeZ@X>t;)MtnWFs;I*bLZyat2258ey;*a4{myfNd&gU<427g3W-7
z5orc&M>zu{cvu!}23(9tGho}w85qG44K@QVMx+_Az2=}pEI{jnK~V%3Bhn1mHgX0=
z@DM229dI!s&4BF<XJ7;mvVqNjixFuCY{NGLBX}4JYzAD6NHbu&xfvM214Upn;9^9Y
zp#sa#jNoA$uo-YMBF%v9#AaXw543>IfQu1nh8n^hpkWZO8E`Qo%>d2PfU8zU@IVFF
z47eDPX23Q{GcbaO5x{1^#fUTmwgZ}h5!}%Sn*kRi(hS%JX9h-aR~&2xT#QIFv=Lzn
z>MVoJfQu1nh7Q6EP`ejw23(9tGhlm=85kWvZAq{ha4{myfNe5nU<9|#z-GY3h%^JX
zi<p5C+`r(2^P&2|hN24!BqwBfcxEGxSi%qh_fsGP2<V!@{W)|&%x)063aEP+z$SwU
z4h9AX&@x@vZe<3@#!FBM2p59tVsr6#P0q@IQsBu^hpC|Aayf_q83NnU%mCTL2r>jN
zgvXFIF#WKt&J2*9gCPBIAw2pw!t|TN(iCI|A4or32#@}4ApPe+#Dh*j23ChzAm(lm
zaT!EB1rhH-%|K=;*hx;H4a(r7ltMsbH6V)+G7y^(H7|k%t%D&77#O5r=RAQ-1E0xc
p2Qv*J15u1H1HnQ!4R#U~$TZM)GY7b72pOVHgD6BM9YDvS0RZWg!%P4G

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb
new file mode 100644
index 0000000000000000000000000000000000000000..9f7e3b7ec996c78ae7118751e7d55cfcc43a3c81
GIT binary patch
literal 5509
zcmWg2k!R*&U|7Jwz`)?}q)MKd<MH%A$sishgMtEs!-sumb~&UnY~W(yb9VL1^2>De
z_VjY_b4_FMbM|v#X5v)%zhqIvqNbL121c+hG-Zs;&5IX0_%kpt{D%Ug?0%5e1-`Bs
zX$!I)-8`KaEOhX7%>W7Xd-^)MEC3T8t{INWNeeuE6WkWCF)%PNflOxzhOrzNSQr=>
z9ZoVZfEZv|&W`qm#)Ta{EsNO~_ABr%>{rl-X#_hK<U>XVh7Al3@As{h0~-e;9FWBw
z*cb#D7?{<VIG~nsN*fD{SlSB88Zj_9Brx3IV)*}`i4$GY7)g=~D#<l%*Q}{q9Cpmy
zJOxRX8z#GJ^Tb^{&}7Z|pt8(HhLQ{n4h#&8Ne&DQ0?Z8N{4g0qV>B57n2dxaM1}#T
zM-VE*GHc%EO{m5RL8UlmZr-p9>O>^lgkjQ2zF7`QnGQ){-A(Zh3=Dsm7|cbW(wvf_
zg0kYa;^OjPc}9@@4<-h4F{nJ(yvg&XI82_h0ct(mW#T9bHq4nfV+Pm+xB>}O1(SEo
zn2oAH5~_e*Tu5BfR#q5QRtiN{1Wi^3CcC(=#TFLQ&5;fa3}=`a%w>^fVWAC|1*IKO
za^%SJcXfb=D_mM0s+k8QjS{wSB}z~wyik`L+e!);i=nz-8AXk#AT~8BC~8E6v8ho-
zQDZ2BO^q5<4IenNcJ0`_%VEmIUDHt=qybeV2zIoDk%h6mfFQ!*4Y0t|gsS5Qt234`
zlrX}gN()t$l!&w;7FF6%RRUm#&D=F{)~1~Zr@^h%fhvPI&Dc=FSk3~QrFu|x5PvS5
zH**KVutu2s^-&Zp#;(W!MbQfEiVRT{t-`Lz7^+AZ99#;r!p62n5{4Ftz<@i<1VyD1
z9+jpjDi!glG(%CTj9Vq6gChe21FT47a9GgB$N&+8m4u-37^DVP_%S#LsKdlyMIJ;9
zQtUD+!^#kb{|pX7ph6cSflNZIfW;fkI9L?H_^{Z3@nHcE;s-D=Fu=l?fsqYTP5ozd
z0Expw6kQw^e(2(`&_amA-O9+osOu1d97Kq~Vq|dOWDsC*hz3=WU`2>9gR>YJ9Jo*w
z!2^;3&SGS6;6_yh4_O8{i;=;B2d;=2R-Z61z*r8TS`@}%aOeQJ3giz)1_xe{KBxdQ
ztiEAjfU&?jVH{MQe8@Us^$`O&QbA=USSMT<#ZG=?ov`|ffdR$>>x6Mo?G!-P39HT+
z7+@^0P8bJOry#OUSWU;k0Aqo5!Z-{Lbx0uuN~bXAGs6=w1B?aM3F9z0)F9~;hTF*p
zQ{d3X$iU!G1X9Edt1uZDU@Wj%Fb=BwMc`&JFfhYvQU(SX3#=2yLDeaWtP@tnGBChc
zV4W}ys!lOvov^x>fdR$>>x6M&Ivso%5GokJMF?C8Gb$I90vQ+>7#SSIku8E%;|vTi
z7T6*f2gM?UAgCOI^B@+%xd@9SkSqdcOIWLbfdR$>TL$AWIHZB13S<BygM%bUH&_6y
z7uIHAV1Ti}dSM(?y;7)pVJ!*<1{e#h7sf%=D~+lb*4$uVfU&@OVH{MwGH|`jur>$-
z1B?aM2jjp377``0AbqfM0oF2MV1Ti}I$<1CopQ)JVeJ(L1{e#h6UIT+DUYlZ){0?Z
zfU&?jVH{MQ3dlNPZ5sv#7z?Zu#zEDoh@=x7tFT570|Sf&)(zvJhOQDwHzGyA8bS;V
zFcw%ZjDxCI8C5T=dBnf~V}bR;IH-D6Q1!wZO$-b$7FaKggQ`~*RWGb1#lQe#f%U>T
zsCv~<^&)C%7z?Zy#zEDqj;a??qr+HWy)X`{UJX>eh}s{<0_%lwQ1xn}>P55^U@Wj+
z7zb6a7OGx&s{-D>0PBSdqm&lfsCwZo4+a<utQW>XwO0pKFT8ca0Aqpm!Z@gUby4-g
zTQCeT7FaKggQ`~#uGiWE+D>F(fU)>s42NP+CDsZm85tQJJQ)y5lwnFh4LnfO0!08+
zep*|?&4sb}U<^!iVKT}v2FP4c!vjSCRJK}M!OexS_+Si3rHkxdn2a)v0WufVxIhsA
zmAlr~aC2cSJ{SYjy)YSN7z1Q3sOf<s04kFOZQ<rRLs=@ALJSPZ0~HP&;MNtWHUc%v
z85mhi?4WuX7~m{rIO{*O%9;y`DRA=_F2%^g$iVR5#2&64&QgZ6{xdj$Lcjr`9WDjY
zuHyjL4yt}Ztv&{2xY&P48`uOc#Q<k1!&#V4giA59fX0v*Oq}4Bz*)+07N#5FQc(SZ
zu5kUJlnQdB3Z@W&fMzmrgPQ_pDZ^R+85}@q5GkbKQqYichimtMv6SIr{~;X#6Sx!u
zoTUtBVcG$gg8Gy}&>LnpLm-r;f+<A6tE?uV791#;7#QFzWjO0Ug99k}B6$@q1#yOs
zFVt!V1~^L@&iW7SsT^cLl13<GF!6)yhO?C6EKJMcQqXV)jXOfz4reLDS^uGZDUjuG
zK?VfN1k^)>=!UbD;Vewc;ZhKvGcdA1dVSzt9k|y9>Xk7tzy??ym_WrVXaE)fuw@*B

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/priority.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/priority.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..aa7c44441e6afc64aa0e4d2a0618e80604ebe559
GIT binary patch
literal 2776
zcmWg2k!R*&U|7Jwz`)?}q)MKd<KDi%$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4}w&&2mjna7|9y=F_lf(?L@ghbRUHhW}7t=H-^%4^y!q#nXSmLWc}bkL1jR
zj0G7U$r=5gzK$*nz=VfuhGTNl0#Dxrw*^WJ3=A9~%NZOP85lM&F)=b&Gch+WUdZ8<
z?C9n1n3b`@MzuZPE8-MHm@UCIE6p=&@muu>?|ldFft<Tm&YFqE)6>(HZ`JCB3mrUM
zT@w5pys|PLFMH2$c(LLGh#H6Y`_{@aFmO8fGcYiSL2U(D1i}mqD8ej0$q6Z70$l=R
z4a>}#Gp8~zI4opfV1PLv9yV|W1A_w-g8%~qt3w_G1A_|GLM~AFIV30eC3qk)9cD5M
zFfceYF$*v-F)=fkFo5-_LiKPi>gelRvB<Ws1Hp3O0GZ7I3N8zXYBi{8_SVLRCfmLa
z7>%h?9jcNi+0)-YGa2F<6b_1e7#W~8Xh8LG`FJ=wLv$fAG4(Jq2rxJ-Wffp>SOy}N
zgNPL%VkN5p0|S#o0J8wYe+O0u0fzq|t{<}ig99^2&k~Tl1BhgCs7Hz|1}2AvApMJ2
z1sE7nWf&b6gEU!*L*2;0s0U|)Qx^jRhJZr@0|NuPDsVyq6JQguszFO<Xj}%c$3)Q$
z{{NqWfx!xvUKkkl;7pkN&;`JDqYHvkD+ohE0^|d974VD$XE1;RSrlC%$VH5>ywC8T
zfl*Nh7J87($OuYa;Curnkn<5(06{>~I->#104_hr<OJ7jM>kJr1amS2gTqcx{DETD
zVFxI_7#J8B!P&%N7l;ccAu$UxiGc~6Ghtki*FhMXFJZ#qyb2~57?8Z=08WCSK!PcR
zhBX5`o5C3k42&!WaQ{OB0P16qqhT7k7{Sqkq!KKH$e9QhIN38WI`l9wFc=|B7DHl#
z^@0sV7ep9?V1W&Ecp$*QfK>~NXJ&F1m~h|%Wg~6|0R~1kV}#9MT}XVe?MTHC5)+(n
z86bW|*MU-0pl}>O*_+X!ih+T_1Zu4qi~+U`RONu816>eSSixuqaA5)WDY|m7C&2`=
zPfej#!Yo1JgDpq$9ugDTrx-f0mvo@=i;>M7%{nAL5!UrFFfgF&;A>ul1e(#f-~yHn
zq@;Np1FICQMgip@a3LGQ$iTpf5Cyvdl2FhE1(Fl8JUp`zH6?}s*e*r~P^$o4vqLRX
zbq2A7fq@&!aL{LDV8E&Zs(=A(GMIqW`e1P>SXBq|47jqe1DOL#DF_*`N(T16hK}~$
z-X<6gt==G#3=C4RY7k@+I1#~3LdZZA!%TqD=qACcNsvjPbnO5#i4!3TF$J8b&;>EV
z6GH$T0+0Yk*9;CmbV1AjMpprG4g-z=hSl95&w!FI#4`v{h;FcF&;>Evjv;{L8FbBH
Y&!7updIntu#5p)T1CvD14xlzI0OyU=L;wH)

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/runner.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/runner.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..e7157e49f5bd55f8f0fb72ce5b2bc1bb57a5f6c7
GIT binary patch
literal 12786
zcmWg2k!R*&U|7Jwz`)?}q)MKdL+b6{WDt*$K|z7R;r+fdyBuN|HgGZUIlKC0`DHqK
zdwMzexu&rtd;0rlChxwdvvQ{PLIH;;1_p-zP+;Qamfa7LUy$PIzhI$5hNnkzW<th-
z43FfDeotRVmjz(L!!^S(Icb5XZ-UzbB?bltCXmGp4vY*88<?0F8LXL@n-?$S@Je>{
z@^{S2SYe~up6?ZL3L?yw;F^`@nYH+>dW84BgZDsAT`OnJ#Nz4c>B_fi^}>Y?9<DA4
zehyw)8IPB}XE?lA@c~4Q!~1<}<ro+Q9sC&>7{s8qf-C}I1_l&i7N6vV6fl7<0kVc=
z=FFK>85kTEGB7Z}oDU5bD8<0wz{DWHz`*H{$H2g#47H52y<>Gtzin%KBZB47$}GUZ
z;LyY@zyR{P1p`=z3RDMMvO}hSmNQ$j!%qJ!XY6WKp=x;-*)Hx_*s*9ue`DX`CMeHn
zMZaL*;wChm1`u1+pgOsd9g;n>{4*0g+~CX^(;U(m1waaz7#X0hQU`Gv7#QG+JhI`;
z8M`snYhbANMyQ{Ssa_MRo^z3HM^o>j#S6P&EJG<YA3$BC1=Ya=Np%j%34RG4C>)2G
zNa4%OU;^>EHdG()qK>}46^m>aE?(HOx&xKxzyXRu1|}x3E=C3c28X4r0t^nzK*Vwo
zu>wS_1QDx1#A*<+21Kj{5iSf240=#|xEFRTZs==iUew#$h|FeyBzkB91t%F6hv^Iq
z4Ej)wOdf7b@Tg!0OBukWvYB9^&Ipk*giCod&430l6GX}gD#hXHoRFNA;ouEp%!Y(4
zGczO)u45HoU|?r)*Z}gvMi8+HL~LdiU||0LUlrydW+ojb5C)m*0MY{?AVCH)$$^iN
zfq?<8o~3#1f@Uy*TZcG`4rV5HCJ<&|U|<0!6(j<bXTTPMY+_(=U<c`BU~&jx7GU`A
zz{()N@SlO%VGGFNTS3G&RsjYk2R~*31_x$PJT3uw(g8%WIMgGR1`JFN3qk4^u?jFS
zqRKEjECy+^5(l{-WG$l}oC)HBA{$-6p@D&c0bLMWP=N`siCER3m1AgJ2CzRx(GC9p
zpMinF3RWI5FzUgXF!!MgfbB*X1eJy$3<(L4571S>3uZWj0UYL{=n6qDVuaNz4F4Gz
z6)j+)2TAvgpdt@kE`teZHUO8?U;zXHDNlL19iRrVxn;Tdd-_2saMECKIL<1-AZ-A%
zgUin`Il(pC(aqBt!JN#%;BX8S#h}P{ILa!(!0m`+q^qYJ$VgXDH>i;(K}KpgL6r!%
zH>_CP*kHTbc5!1zTT`#?!rp#tVi3&?4!0Q?7&M$=niqF;E^1hesu_zIie^`sW~j|e
zu-J?&hN9UGrWtArvO-}jVknwDpqjZmI-5Ie7x%5`ZR|p3qbT--D(1^_bzZR$R-1UE
zaA5@!G+4Z$T6mi~`g>Ni*+NQUR34;g0#}ZZu=Igy5^U~h?rUhbUEJFT)rcvAq1hLz
znRnre#SM!WLN%iDkTo3u#a;kZlW=FpBHP7XE!_)k`&ZcZ^)6n#q6wQAQhft<GAQpc
zf*S)4CqM-Vn1qxqu!PFM1a5o4xS-4j!qAonOc>l60TT=iNSVq3Trq*NDNG^AMi7QJ
zMW7S|k2EarFff913OM(}#Xve37#P?Wb#!(t?rVY3;8=F31+@~P0RdIWU;|}<RY=1!
z5d)(GD9eD&hKoT}!pwxxV4YyI(N(5EbwE_iXJBA}<v<2Thf|>Jn~p37Rm}jl6|NF0
z3bPGHL(Bv<b<tIVoq{e1u313d&tqg@z^bAF(t2e88_dAq0CFxY&oeMOoQ63UE(UcN
z#JT9AFlWMOu&E9p=c20wI|f}4)4Av>usIi2$}liGoPjwPE{5V<bWxZyVKl<I=qka^
zMHj?$F1m^bP$gi4p`i;a*BBTb&cd7v7ejF_x+u(<FdA$sICRlff}M*l2z3jC13WRH
ztH9=5SV_vj=x`3^T(}sDbJ0a%&V<nj=c20wI~QFLZZ_Px=qmp6L)3r`hK4SzEN5VJ
zI1h6!TnwU|0g`snMPbf_(O^@-p^L5(>|As~xY=;$qO15X4^aa)80uVDJ;K1~Z~^38
zP*DgMgD7X<Y;5W5ZMSV+yb!^Hl=P773aeHa7#%Lc%z%p#X$GuzVPJH)1TzCJMx+_A
z%7%f_;WDcL11Fph)ekP<&;<pO6S6!!vk~213;}SR#0ZWEbj{$1Ko^83bA4EuhpqzZ
z9tN<<V1k2zf!$#d0|P@CqMiUd7l{wn1#5Vqw4tDl5o9rN!O6hDXbLlc5$skN4{R0#
z1H%azg8^)NIGXK9e6T{47KsOH1I5D|oZvxr!n84p!B}A3pn3pQ7orP-JBlF51OaH)
zKvx0p_j(|@z#iTX;C|y=a6cRD{75wCBk{owKy4nO^1#U-<an4SMll!*tQX`yQ071v
zL^TDK2R74Tf(X=C=vpxwU6>+}9JL743uj=7M)DtMv<KSa2ersyZscMDCmSS{U>QUJ
zBE~2@+#JANaFDNXt4EAccw{?(dg}~~4m}JE46z8eh#|4TwvizKb~U;=_*{KKfPn$S
z9;~Kd>tbLNgEWocX%O8ppq>p<p9$1kasZ`0hv|$03=RxJ#5xC`ry2yINd?_<Z0^M-
z2Jyozkc)UhU3GGtg%RTpq=z+1UkOX+3(`=+8`emjNpPDO5!O`n8NmQ2&Li*uXJU&(
z<O^_WMB;<<2I?poD$fBl^2NZ2RUeCIW^xvoaNuGPU|@?!vj>R}wg+Vx4~63Z8f0T&
zbm(JXU_jT!*Sv7y!i9?#E?Tr`;lhQ@Xk2hr#nwD~@yzCJ3`}f^Xih-lgPnltIaD69
zSJCycb}Vdf=z@?AoFLC8q1lAQ2it_=KNJqKXVG=>H7`N}&1hVxXBRD6)Vz%a)M0{k
zRB)BNka$B<33eJ{tQx@rkAy<Y(M*KbIFZ<3z2Jm^E(jS#=<I7**s=(j4NW)b$`Q6A
zSYZ1dR<Q^$Ft9MOWg%Puwh)OAb_KS6IyNzIql<x23|&7bx&Y<2KpHdX=7L=ds;5D%
zKXCLhItaj;6zGZ(a|56$0?4rR4A2lKsB+6j1c?|D8*Bk#0kHqjwPCaGfdB)81-e3J
zP6bX7b_fT#CKg11OL25{oah2rt;H5m*u=n*2MJbmbHTnw3sxL1=jUYR<mYq<134P(
zazs&$ZYoySVzz%UMIbFAQXIg<mV<~-u)Ropu<uZtZKynOBaVU50$mR%jzAb3Pi(np
zHX!lAHb8PJmT^E(pB9>R(e)r&3K*?k1}3(AG#iolMB7M`9<~BB8<F^68&Sgzl?M$)
zaOjh+kAbBO$!`1wFp^5Js}RK=f(0%Bp#^XS!emY)Hdrs%x#)tBsKi+Sqbo<)ieQ25
zhZew)=5!^(6=Fzige%YmVRL(kS-yo`4&XU7aMnOq4oayY3{I^Mpu7pOw+hK#BsSPy
zG13LV0fw%Ph+d;Z1FW1z*9-}592v)99;l_;0P0|YI+o~q;T_9mAZ4IdEVvVlt_(gF
zi)hKCE5Yh7)D(`&1E+Tfa8#h11&Rg`Mnr`JsF-A8t472H*ghmaI6h!~f0Q;UwC|5B
z1|HU9U=%~wPr0FxXaWT_y4mnS4^WJ-)u8zVi4XP(W~q)T0xstn7%kBCf`Sf&!NJGq
z0191@gFvk_F&G1E320`L3IgCzLpO({B#o|_lq8L=7d1(PyDuOmAPfotn8)Es8r(Bs
z0Ci5$l@JcB!R&K*W=8iGsQ3ki0;F3G%2<%TIl4Nmo<q&Us63<`jcyhwIf5`aNrDSk
zXpXK!%Lhn&kQ*6D&C%%k!Ja@DB*{<*a337xA#`KlIU3aQW&_RhLlYR-QY1duCz$yc
zQv{Zy(e;9Y4urwM$Hdl%7HCL(uvH}aj{(xAMK>c3>N~b3G|Q0qV9PLlfhhv>7rI_h
zTNs4F?O_HcwpKK|koaJ`Nc9+K;Ep0Q*xJxsgv1BC2-B~aB2eFhQw90@8CXEW5wH}7
zzlDdS66{Juy9&Vqx3Hisya@<jaw4(8dcn>{7lahhI9qt=$`Q6ASYZ26T6hx?t`I|F
zBV2(lNT7v>t{jwuKp32hz=aSK+a!dYV2>j4!S=$25SI{I7Xg~rg!WP}%)rtD!IqN2
ztrE7$Xf8tHqqqopE(7LDWHInSC)7n4W<XtptRChfWHHDb2@~5?G&dpfQQSmIC{bhv
z+cY#6A@NaMgzOtap+uP(3=Eu7u!Wcm42+QEZ3mj*0+m7t8L;#4%@{%yFfd5L7HNV^
z11}1Mn}(2qC`QaWB3RJ*M~DIj1}WGwPLOHf)rD}=5Hb+O2s02Ybkkr<J3*#_=SaY&
zfrj=FG7!@sQ@QA(uqj*^4W4lY&mf|!1b0Z#1)-G_(nLAB3I)h|L)fYbs9PAMU~5c4
z4hK!vIKUl_kbx=&7YOL0FbBhEgu~HQf*p=7i0N>26}+$_9<n?Z>J|nm*kV_Z!@<*f
zaEBvgpo+l`M;C=T7)B!;j;<2yaCAYa(MTbVt^#eYAErSHw(1t-aB%k<>~K(~K*&H8
zBa$0}g`V(WD{?`mfrjZFLKqnsI1!={lfWT>E{HL2jUfOX5(TY>LDvip6m&suh;0lG
z@QG}66;K7J6WK69DcCY$kY_+M8(`0X-HwofC}!_#=xFclZGzFz#lsLukakd|16w@H
z0A9Q502(br2(4!oU|?|otvZ0M{bhtK!Ubhom=Mf7NTx*>g(V#rjYvZ1D#4+JE{K`-
z(N&;iTCiJTi;)=}z-xs;ZiNY<x)og%=0+Hea4Wh>uv^guG2M!;0wte<-3nVB&FBDL
z(hPDdObFGj=%O$;!f1qB(N%)oiY^E<9I<K$T?I<k1iKZsT$|AWwDKC9<X}QD;}Cfe
O!9vT8P^Au_-5vmhcUv$3

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..680f12312d336c7885c1ab2283b5636ef8b58ef3
GIT binary patch
literal 16278
zcmWg2k!R*&U|7Jwz`)?}q)MKd<KDi%$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4}yyXkXFX+tc5>xc%ylp4#$gLx(5^28RDoVBXxliVv(rroY#icV$N(uY&Eu
zj>bhRdKdC8Y+SUEucN1-lNU@hwk&K|)X&?|)7#Cf#K6G71hSsNfsuh>0}~S?gEbR#
z^WudZUdfJL{*GB0D{NHT^SvTYL4?^7T(i<VvlhQqkMQ1i@E*v)Yvrt&SUf#FUHMk6
zUbxV~!__6h&%rAz<MFch42KshK7gokc)xG090LQ7gFgcUgBa9SkVPQOz<?sm;**?^
z0w&NUK-RF#oH=tU1B1gt1_lP0^AVu~VSxgHfx&@^L4bjQ!y%7>fk7E&WovsQb8CB}
zLo2fY1A{{ovj79g(-sUUs<;<-EbLgcqQ9|kaTAzrw4z_IZ*dcLT`EwsSu*{zoLP4I
zXE|e6rwUca=H;2}=#tIm<+<6>CEH;pl1rEwOdu{%gQ{ikSkd0p(76gk3pI4E!me5!
zs+z;!(=*w{(Ip$qn1a)E4XAq7#=a#ByTPP1ikso?KvB60tkMcoB_o3XgTqo*0S1R<
zAYwU)SOFqdf{0ZhVl{|Z!z#eQz~m6XEWq&Jft5jk;XjD$$1K3$zzi~C2}s@nM6x*4
zBPA3DCWnO}{fk%y7#LAy7#$XaG+Bv5y~Mz%2WNs4HUk5OfI|ZV0|UA$a2f^^U=y*b
zK}+IjTn4bmM9~fY|DS<@!3vgC85s58Oql!71;BQr3xYBV2tz^w<O6gS@H`7=Fn|MD
z6kQ?6MU1e5hv7d1qoNKh^dRK`BPdURvn-fE&ca{;1Odrpq7I%63=DcuLpb?um$j_4
zZEbHvuoxf)LrvNPiZW(!meYr7;#ioKnc(2#=K*J+B{Ks^#xQ`YXY<eU%<xQxQYdN}
z8K7znp=#L``dUEFfzcSM!4cuG7vie@pp<q1L>vSOI8-8ejlp3bNCKi#3g&hO21W<4
zt`J5B25{sdWWYu<a5lDd_O{zLFJ6dXL0kcmXJBBEg82+&8rXU}m}v+Zh-!ox2o}0&
zFu#LL1Np-NZW=;{XwzV6#Q>I0xcnTG6I`<$-8`KU%*hN44xpF?XJCijpnw7;3sYz;
zFgJ9r0%0skfd`xv%%KW7+dEdb^n)S{!Gd@XUS2~Z7^Z`Fl`T?<4dr1kv!OaIpmwn?
z>TU0Bgpep@D?AZdLRE5PJ3z{9Fat};4K>*cs-AO|Ewt>0u&|WgP#xA#9bDNCu<{$q
z#8QGo_1Hl5u&=TOm*G$vy%fi;dKFYPdPxpdZ3{J<BiS>{KQqC@4a%4?%^{6Z03^x8
z49jA6AT9#~15}AeHk2`AH>O&9G_~F^wX-qRIzZL3cQo}bTD-6eLK{k<qyvyEz-idw
z6ey?iIGh2cxw9bR9Edm%A})Z4iy-0>h`0<Qu7HTEAmSQ`xDL_{&gZbS%HVJsBmp*-
zOA40EK$R833V4P>NP`_iRAz^zKT!4ryA@;_Cqfir61Xfu7ZgZN$nx;aMzpjr1i%TI
z(E-%1MAz(4%fP^ZF31hBjln@5RN`P$0ad^NHW^HCz=RkWq+nSD<QZ_7gUUfpgeXKQ
z*fZ#Y7;eW90NV!f47z5pXV3+iA+{lT23-YI0S?c=@-N6U;Isqw3_=v58|)c$K@7KJ
z2q1X|T{GA-=z^G@L018B4g(I)z={@-XTW&?>=}e8L^s$o=z<t-#}GjB47z5pXV3*P
zJ%g?S;v8I_ft7inY>3FqARmD}fRKh5gQy!3EJ*PIkq6beu%ZqWKHx$DY+5=40|P<^
zsv2wux+wdij?Rw7eJwB=T#$h?9=b|!h@cBH!pzQtWju5h3eZ3U8w^zqayYEq1vwmC
zkii{}kbx=(I~-jU=3p2NHXrP8bd_L-qYGj>99;!3q+7`VHW=y>ki%h>0LbCs!WZsv
zgbY+U*x~4+FbBhEu=!wzqpJiv99<A<G=l>?#L-p!=ZB~P8w_EBNGVv21adg2!f*gP
z9Mld+$bb|w5L5oc8dTtRvkSDY<4DbNO-^u4PJ%PAG?iGvO(i#|7A}x-hvWpm1P>%8
zIAg*(x1dTAtj8UyhjUR!U*C#FwtXE4mIDW<s|@Z6LmL4eP}S_MjSWq<eH}0wQzfX4
z2a0T-WKVzp%w&jbP&g>=fj6wYpf+&%csM#kbRjV@^nk<Gfs;*ufq~V5n@xbhfd@qJ
zf(Skk!4HxG*W$1MWpLmENkH^S!NLp_fnfch2;@YFf-QhF-_ZpziarbhuyY}`6uM?`
zIz$)5ta8y+K%9fCEQCcS$TQ$@0JR^$83rK(F@e3Wp`*RGw+Ti=BM>6Vz#s*SXpl+Z
zz=WHGkbx+MnE<2FO@bu^kV)Ve0hz>!5QUfmb|ktWMtEWfAO$eGW^e$b3t|Q^x(bMM
za0M_db%HztN?G9k6DL9xq8scPbU_TaV+bI523<4QGw6bto<UauaSjg8pp-U#&}_#B
z$*xceOG(2CE;T^ob)ejh=!zj&klGZzQx*WXzyUNM#+K}WWk?KK$^=5y@-9N@z(II;
zJ8(fzom|Ndux<*RiPTYnmo~u|>a!8*5uFvd`Vb8D-U#)G4hvj;C{#V?B3o#e1;#?_
zw7_+QL3N-Ml_(r=GQlXv!=d_kq2>6(#S2?jccAj1S(6FeQ3Iz92X#>K$myU7DiO6n
zgf@uK0TH?&LJvgfg9rl<VF*$VE=^$xhQUDtBmpr;3YIQF`2%bwD6@mJ140IDF)`Jl
z6fDhvOanU(ZW=;{c++613S=7CmvGY%GQ^t(OKBj}z`+GJ4b(<N$UsbkR37M}u$Bsp
z1~)9gEf#c@;JlA6h}qOaSAnaI2ut!Hhl8US?r?+*vcu6uVGf4T2#2Gq1UnpE5Yyr4
zDsZ(CVR;YaaB#AOI~*Z{>~M5Zn1f+7!r|yD!45|kgc^<1MnqSEtBnXN1V9c4XC<)1
zL2X2Y48(LqmjuCr6sHh*P*WLJK!8jG=Sgsb1tAJi3C?rqf*3Uph5)#1fizgqHG=~M
zT@bShLRSHC4vs2F3RY@?JOe6Q5Y1<V48#Oj^8!Xg%QA>00|QEB77Z;6xxD-{6Ovs(
zElDIMmP!pW8x#Z8hN#LAEJ!S%S86aFyiF(-6@-VkqKbvu#pR5ss9;QN6;&LD`fRvX
zq)|0^H4=}Z-W#DFOGT9cRnOT3uc)9bToqL!R0mS)9Ek}|NEnq=5>yX2xcdO9qLA6p
zNJXxoLP0eSheJ51l8FEjksu-pL_~v#7!VN)BH}<Q!F3ZX{uvy?KoVdh*ri~R1sW(o
z7zfXb2x&-~LgYXM3z7;T@}Rs3i$zfK1-lDu8mJOL$Us$tGbFkwtSExfh$0DHB{=t?
z3u2a~=qhkk0<h!+ayU3F;SNX0AUhmg6y{(Ujc_=+O0dJx1u-3tt^!vj087^(hlArE
z?r?+*vcu6uVGf4T2#2Gq1UnpE5Nb41C4jC1S0w<;U?7Ks(=6EGph^HC12G+u+z>4E
zga^xfAk)B!A6y9_L?I@D(;T`WMwyQx0M5IRN&sCmI8e|9F)IOd6%gm(ssv!A0?0F<
zOpK@m5Hb)GV1+-7hL#r)Nkk=}kpV4dST&Sd+Z!PyBnZJJxWjD*1_q5Rs3OkJmW8(9
z2_FOt90ZW!3!*q1s#w6qHNyeYM}iDaU<hDn&4Fqa0cl0lND%E<1u={OtyKVZw|GEC
zz&bW49B?{jV1PI_A8I4Aifj}Pit++1%Ds`5d!r~XgevC-g&VY2gUrSV=whe_<e<vR
za82{X5P-%f#1|z{ZRkM+){0dSS-W`!R6B={pNF4knrjk=kKYV1gMooT2PW&#0jf11
zO>~$TEIA?OsSzybbg&F)`WF-<Fty;UhAs%3S%%RL;3-#7o<~;+T6_Y+;N=nwGF4Ew
zqnM5^2%Y4HQV!tBVUXGA>cC4?ke97M+2B<f3^LW&>_QiWPrt(%kg08ueduZ-*$qNE
z)PaH*5@_b2DI`#s@pyVTdV^B2tD{RcoHNzY1(eBjV5%IZfV4tl1||jz572}$2t#N1
zWI(GzKqkZF!LflZh-l0qSdh6vkT1|xL);G`A-<3SDZ{V@T@X6W3=Lyco&$Ii5~Kr!
zk&Pk{M~&Fx2we~|T@4`}z_W)SThLV@$~Xkep&k^}GELZQK^FwibAuKt!5I$V$vu!=
z=xPy5nm`&HKx=;(WSX(rf-VS|90x6NLa-db^KKw}&{cz$L4nl5Xa~>&BL<lkY&M|_
zLg&;$%cGE(4&Z4qkX`8N!E2~Ms^JU=&`Kr-nO1DJp$kH%*t=o019-*?WDmMZ@S-Zn
zBCKu)&@w3onKo=TpbJ7xhE3+LasW?lgKR)o3AO>Ucx#mdcny|JJ2o581)=lv@C5)K
zZVuo{GLRkUYLROZHx!OT6FALvV6zcj5N0E68G%Q(19)}|WFxv-WJ}QMyk@YCo!D$d
z7lhdeTa4i0?Es#Z0@;YJ7THF$YO@7wV;43X(FGw(8DL8q7Iry+XOlqop{qo$YmwOw
ztsvVVMWT5x)J|UT5~C%HTNd@UEb0gI#9=&8JqT0hup6WqQrg1AV5KR1;t9@xPPoYQ
zLv4Yn1ed$$f=E+PNKD9N4ak4!>JjA<f(0$1CSbD<T@W#Ug<v^=XG1`?p{vGdgJ1|a
zw1I*~W+FDb(FI}iVKCYOJa+=J7hNUR1`Jj~hjy_2ld##3E{HNvjKXmM&%J<Lg02VI
z!{9kX3;~A*unQ(*a{;;_(ii{|(*ZnL0<s-lJ-U~{F2E}2&<Jt`B<Yz?gSv$$%fr*%
zIRVllhj2DPIH1%GQ{`|Lq!p67VPdc}3!UnKQqTc$nHf;?VXD9>8(k35jzF*=L+K!&
zqN|3qB_JfUMKKebE$D)5$*>s{(8P%Ycpw~PKDs){LSwKR&{A6lnOWFOM;F98#{zA=
zIDm)AL3W|*BG6u$jm>6sLHNXphZ_>p0X&!vvJ+iBayg5&E}4VPR&+sxRfw4$k8B6<
zNI1w=boI!#Vy#c+VzU)p5Me7~zR1Jd0X&QivK3uDvaML_lzG@}MHht4H7)EyupGc6
z&>%a}RU_9W$ZSZxA~PSGjp%|XMGgwb0X$X>vKL(sMsb5704;w&`y-GW&EPzVE{Hng
zh01dP4>5z>fUXPKN^t)YLjY0>K#B+R#n7-2fRsLnPCZ0m13~~)3c*x6yhfBlFfmy9
zf;2vj#B=};U2@1Qh1v&G4fZ*@AfgOHupr~6ARnWvhLl4P5?UH9!)6P*Al9L7Sa|^+
zpat26u8Tl<u^gMt=z{R^YY#UhrUQ7~6=Wy6dgMHWwY*q?%~o_lgjI+EcaLlb@SrKk
zR&@2qwqh+WR${XiT@Ya_V%Xlp+W|b{39=PkJ+iG>%ZpXmY(*Eu9Q<F{<p3V61lftM
z8o7)>W<$%1)!1xA7es0zATb@lLz*Bv(bZ#Z7+@8Iv=(I6U~>h!Ao3IhGTQ+>8VPa-
zx(;+J!DR+kK}hQjl93rei<CeEPT;W(aFZL{G65G1jNpw)4ky8@jzJ`3OABmmJp&VX
zHx!Hu+VcUz(7jMFVetMaFu}lpw3ovHycGxJ5tu?)Ndnm!1=>M|U@`DJ90IL#z5yZx
zKr<*tAR-<_fC_Ke=qdvvxZnU6MsP8x!HA)21Pd}S$lw4n12*^y+PK3ez`zOTL-m6V
zMHj>vsm2fhkDNk=uF*Avhpy2DF^8_vRY2W?x{?;`1<+^}xH@74*H4^qK2!_X3+RFv
zF2)c*@&dYMuout;F};AU0_q+tUVsfvGcba)3n!cp)dKbcx*&#&F$9pjfUX(r1$047
zFQBV{x(ACFU_;{!jNlOk2hijjTnw%al=RU>VN*ab8a&7iUV(wG66|PnLCiTUbQNfm
zZ{S1&8=7ZebN~%UfSn5$Lvb#;D9o8K8sS`Ym0;(h3t~DKT?N{d8`!z9sR0H?2hdms
z*tu{q6z8Ig!kh`C5za+d33e{JAlz*Did=LRXcKK<=fb8Y7#P8o5IFh5#h^wa$|D2|
zxnzRPRxmJvn|xq1;9^iSVDnEf8aD9&D%oJu77UEwq93duE{0z}t3xNKjGYQ1_JD|U
zAmR<E)yO6V+p7wizD8`SgwG-(q#<@7JcnRGy$Mmkz#s+Nq6(UL19cg}s~N!#M#w-E
zBg{as&`pDFWCfW98tMg4<8dNHAtr&1M;F8>K`;c6iWGFs;Pito2wfnLwBG|=1;jbH
z<|JV|Z9$#^jV41pgAj%2273lw5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6pjldw!fCj4}
zo<WF0bb~#EE{NfF3;`t1plb$u23-)-Gw3QH&cWpw*xqAMJ^+o2gNLrcJ_36HAq_DG
TkzNrjw3G``h)g<wcH{#9dRSzV

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule_tb.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/topmodule_tb.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..ff6fc3f9c0a3acef16b5668646a4e81e53052d03
GIT binary patch
literal 5991
zcmWg2k!R*&U|7Jwz`)?}q)MKdL-oMlWDt*$K|z7R;r+fdyBuN|HgGZUIlKC0`DHqK
zdwMzexu)?rXE}KKWqKv}CM9Iarm8$lTEMW}A&P;4;Xf3ZdAVix!&J>pO4zj^#nXSm
zLI+>hj0G7U$r=5gzK$*nz=VfuhGTNl0#Dxrw*^WJ3=B*l2QWA=GB9jlVq#>lW@2t$
zypY2y+0o12F)L$*jcR+oSHvlZFk6CaR+?wl;<xG%-un*T137uEoHY}Rr>CbY->TIM
z7dm*jx+M5Hcx7cgUiO~h@M6UW5H$|(_pOy<U|@0ZXJB9ugW3wR2!t6JP=r~0k`q$E
z1iA#s8kU(eXHI2ca9GH|zyNbTO8CGzpkQENaA0B(0EIUrh+=XGU>0Eb@4(6+!0?}e
zfyu#-S%ATTnL&WTVF{}M1B7I8s7G=n1Czr-RsjZwMXUl0jHoh<4vRsWti+-IVPMpQ
zGr@t%z<?p((7?dJfUXK0eqaJ@B33nM!HdRa0DDXn-QfTK85kI>V8O(|s0U}l+=ngz
zwi{g#6iFZq2?>x7&{e?G3!K3K4rEbug&-F(!je71e+EWHHCX6D(jX%!5rUHom_SZG
zU;zXHiCSI<PX-1C4X7cU9qlWcdwcqO7eiPw{k_Hv5QCv6Z2?6YheI9%1A``16LV{O
zBM3XRGK11U6SDvV$Poq%D5|&@cP#8!w4%SUZ*dctZM33auy1h_c3oOfvsp6zvz%FW
z`e!*~SEmhC$L8gk?C6rs=H<EB(IwkqCX!2-8K5rFfvRQiSkd0p(76gk3pI4E!me5u
zs+z;!(=*w{(Ip$qn1a)EJ*axt#=a#ByTPP1ikso?KvB60tkMcYB{+dOY=wmOc2Ex5
z0U~ySh+QCJH;C8+BKCraeIS(%l}K^P;IIuO0XBk73Km(QT#GQyj*)=@oMaKwV2c?z
z8(TVi+ijZ{FGR2)ZiL7)Ffd5L;)sEP(E;o(xM>I(h-!ox2o}0&u$Tmy2KHbGBLf2`
zLKI>W*s<t>0?7$k9-i5V!V^OP9L0<dpvnSWvqLQd0|UAsGsHFq2YpZgVN(HBzyLNG
zOmM)27#O5rNe$!~a72MUgAj!%1$zcv5X0>l0$|%9o<Y|P_6)iprf1MqK%B#X!!xj)
z0P+kt!GS%45QXRldj?$)!|fOXNS;C04E7AVAf{)~RY07B%QLXd2g(QVjN<_E5!eF=
zX^1h1^on4irCeBPYyc~XS+X)bvp~cy1_lREc?qr@9X7KHFlZP-O9|c<Tcq+3%EK%X
z9d3g%G)xnFi!Hctfzrsui^EAQ3RgiDB9|_x3fWv8Km{zDs{>jQ3$fT3>RQ$o+t&6*
z2nq4M1E`dTC^CU6;&63<6vAKzS}_c<))cCgv&9x#218hAr7%RX8B{Tss{^bEhBDC#
zVX$&;bEtAQuLRd*&m<`2u%8iBCp}~pV30PqhALrRyrP-e*g}|rfk6i*=I{tqfI<pG
zm>47pFfc&sLPV_yVnK^$85^jXFty-Rgf0lG9YNRuT=Ie%0O%?pVGAK0?t+{mV~fpX
zbU|=Uid?Hg*$&{^0%Q}q4rp3~szc>D+yvWfhs|bmK}gLEN<EOmA7mH08btB}tGfd>
z-5#6i=z`!{5mJkGJAm^k$b58F(DdC6qaAL6ZE(P51G*sC21xC@$^le+f@2O{71U%{
zPFm$~8*GCkHXG0d5p5Ds)5HN>seycft`3}EKuX{YhkIaKoUqw~E(mJHz*;jP+5ueO
zfb2n63CU|9H3*i&eXvc=*la=<1UG=-Eg=xY0bCt`>_S%y&8Z+oNKA(ZAlo2W$J_&I
zCr3u6U#72XRtAi*151k&lD!=MvI;N=vA}eKxeN>r|3IcPaI^V9O$7B<K#oG<gY}~(
zc2piD=`&iO>tW_p-~?fZaApApHeWOwkoaI5uqAj#3v^Y?ocx?1><|X(K7o>hAHrM)
z1~C*K$TOe@2)ZB_*g^&dhX7Ck2l6hu3TP1sr5r$Ipu=@W0R}cuClb<ag_L(le6XRY
zA%n_;g%i3SkbgiJ;imu;KcVnoenJ<-^b@)YsAr%QlAi(*wt+J;5}#;4q3Z#~I|zg0
z-T@R(K?qwI7{pL`Fh8LSrhu(Sjwf^#P|rXqBtHcsYy<lVi4Qgudul^h1@Zw1BYY8p
zFqeTr421{t1-c-nFVIy$oe!mud=ZMU4eSdfJ|177s{*Ah5Jsf0Fod~ab3iRRP$dp7
z6&S(e77jN+B?Fj*j6J}bXbeo?ArlxE)Hw%X=zs}K7(9pqCKwoyI^7PSu@JCVU<#r3
zGr-4AKm$!E90qoW{h;31d<F&vSaXhn1)Q0{l@_>OaR612V1waGpe7?4p9mJDA;#bU
zG7{EMV_*a~9>8Y6#R!^Vfvyx3&>##6Y>)-8MjitrxNhQv^Pz@=ZA2HuXzXJMAhrI{
zHG^CK=z^H7e{>a4_n>xVz+nVyd@?YCD?Ls)AF2iH1$03S7h?z@c>!HB*bC@_m|j3v
z0d)@+FTk3_42<BKloQT}Y5{uzT@b^?7y?LMK-UcR0=gik7tmEe-Gk}{X;>4Tfe}(X
zfGRDx7*q?3r>|=km;g83b<yR)4nh}%*BjVOWXkYo%J6qM#3;Z3Hj>%lF(@Z4Vqjo!
zLlhz4phMz=odao)Lr8GOVqjo2g(+fmXht;xtVIka4d#K0Q;?g`1tCU4NU*^U7eqj6
xw=oMa5N86koP|=5@LT|D34q!P=+;3idj^M_pxzLJ!+%h*2y-A3?Eo5L2LLA1s|f%A

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/vga.vdb b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/vga.vdb
new file mode 100644
index 0000000000000000000000000000000000000000..c771ad4bb4b270ebf43b9350e3c5cbff978527aa
GIT binary patch
literal 10056
zcmWg2k!R*&U|7Jwz`)?}q)MKd<KDi%$sishgMtEs!~1<_b~(f_Y~W(xb9VL1^2>De
z_VjY_b4_Dz=v?*X))w!;&q@Csq8Jz${zHLbbN4E~hR#*ID?9pl6>JxFG%i}vyO4Kb
z<D!Lp9X$=5ykMfSWnsgje%_9r-fmtc1_lN$kd+J$j0_ALn3xzDteKdb7cb=SN_O<}
zcg)IIVWZlf?-g+hBFvWHnw936wfL=ig!jIK_dt$ZD`(Bb;_2z>%C~Cu!i5eVt}Y3F
z4qjOqkC(k?IJ{W#0Yr_%`+aNW7#IW`{23S+#Gtl<ECOK$1{7fypX7uTFo7-svW8{m
z%$ZXe7#tQdFfhQJ4+;?wW?*n&Vh~_p;Bm-fU|>*&TEp4ivAU(-wza(x!E$J27GPj-
zXkr#%0D0Pi0YwMzD%-^!3p*CA=x^*>+yvzrt>_o*Tik?QrwY_A)<wPTy^RpkVJ0>^
zRG}(4vK_oUlO0{M!Hmt0F4-vRnHfwVZc>A)=Uipmv7)`Hp>q|4CDhQl3cC(<s1B}d
z2Y*k`WEV%5Y$$UIPFpmfde~RlHuf!9*bSwnQG5$`6PoH(P}Nr0Rck`c=1BI;^3P20
zaDy^tOmj$M6kuRrU}A!stc9jF8>V(Qrdn+@wcaqbvoY1`K-IE$H1#f8ys!&G8%kli
zn2|w%!C@(@0E5Fa5V0IYtN;-!LBuK$u^L3I0TF9K#5xeM9z<+l6<}asatL4+VEFIA
z${@h-AH?-z7GQ8-1{u2qB<}zsSsdz-G6DmW!$Oe$MXUl0jHoh<4vRsWti+)nU|`gP
zGr<{`fdNCnp@D&c0bLb1kAexXiCER3WnnZf1K4At=m!7)&%nT71<Q&IjCyb;%zfwr
zV7t);K?MN_LqY=N19TPeG6~LL00*)tx<ZhP7-5Ae!+!=wMFUvqLCQHsP@V@DNnirG
zhyn{B2uOj#qG1X(fV10nQODxG6}^oJ7Bsav+-6{4Fo4;_<>#23;F|5|=IM-JPG(?m
zH~@-hP!e$1&nm#6VFojJ72IGr3)x@|bC|*|xI#D!Ss^IU8NtP%!y!=00h5qK1#=q%
z6S%yEaY3OD!qCzdCJZit!2|;XQfNAWGYBYtU<yGtf-tBA24MzfhxrT)46q<$V01VL
z@^d<}7)U(`gOdVWB}jyUfq{KdM`y?4z7`k__MQW%YD8D*P>aP-MyN3kd5jDUSXC%6
zLzI9GW?%q27Zwo=j1Grk&V`FXlru1Zor^9Cb0&-in+kR=x=OHP&;>D_i>`u~31UCk
zV5oCp$$^2<;RwvRa50E-sB_UpVa|lnU{k@)MOO)SF1jGxY<TFRtN70kQ3E!Zfq|99
z4w`g94INN31DfAJIRT=Qfq{z&9JEL(!7_*n8^MAU&Y%Q{t{PfVqgLBc9=J4TV07qV
zU|?`WxJL|$4Ym>N40J()20J_uU|_I7*T&4r&k4c~VW1iymRW#-nav4dH`pp9KG=Rl
zv4UWM^A7_fI6BbP!%`2MGn&Cje2BsDVucgV0;hDS!RYGY2D_jcjKqf+3@?T_;Vf{P
zgc^*l9;}Ihfq~JXih+T_6>2&si~*AdhYq?RcSmP)hizwH%fgmL$ZQ5itjZCI2El?P
zpH(aZ3=Axg<lqK%0;obpVuKw5HWpnF5i<xDIF1}H2tZ35bS>}_XF8(*10$O|!b-3W
zNPMuh2y+oEuni21V(4l~Gr%DM=0tRJU=i*x7t|<YV)I0EBNCsG8^I|RT|L}<P!{w?
z7%qmy1{)0a5V{~D(IQyj<ckP%bS>~=6B6b=2rI!hAo0Q0BFsgwz&0>2ilM6|%>YE0
zqniT{6KI(Gp}7%>PsoknFh^GpHy;${0SLpzkl0{@!5%^vMC4}#3!JkNVUDf^UiL%6
zJP=_e*ajp%*jj|S2o~4|21YS-wWJw<2y=9E;9&v|b4IpcG&dsg3Aqs*=IH9dnm`0g
zG?K~q>pdiuAe{_|T#sOZ^FKHcFjT_}bo7+V2Cna75$+H}VuNkM5CGeNt_tA_1PkJx
z53u3{UCRVmqh$u80D}XA5Ca3HR!tCu7Ov=4eSldt6J!-H1E_?JL--l&BP2f9-_R~A
ztfSlQ0P55+Fj}Ci1J&Ok46ef&7}*lg%thkkH5XkSGp7P42s?y>+6JKP32NU$#$p)6
zkl0{TF$BPVLRW=|eFO_!f;qrr30=!8Xl`&o#1gs^60L&A61q8WU{)c;QVLpVBJsig
zhQ<<XfMJybsMpQFXo0Q{6hj~ki={L)bCLLX%|%xSiXjk2#8MW*WHBT**i;MwaL}Qv
zLgXL>3zC=ML5HrT0VRQh^Afre>{j6_7#wg~^#CK5nAx%seg<2E#0UEuJc0!u$^tPQ
zKqDp$jGX8yv1*5mmO)4d(0B_YxHp2K7u4577vu&zfPuk5AJ*4HSHS^O0WujpV8+Cj
zgXSS5K3Fw$xD09J48(K*4gN4Na-ypSdkS3;;vEQy<SBGz;2H#7kR9v@WKW^1V1cPX
z@>DLGr;zwy)sSIB!~i0Q<p3JgVqoM%R}1zMx*)_e5E98t=*qxeLKlQ~xRK%sT?N>U
zpdKQ^OAO3x`DmU(;)6BdOnMG|3=9nDs@a+sEn2jw8A^c@155Lw=51^RXx1R{!PekS
z5MZm&bwKTaQb<-6qFIH+2U~?Fn!pyJtB2YEr4SZ@YyMJ%WnxHdutj7DfRhEfIfzmW
z!Ge@$piVJ3HK1#OmuFK!6}EyX0|SO*uv>+*Ji}=fygUP2#RnRNCBZ&yqkZ7PES&a1
zi%$jzvg{+>f0*_$vy~xY9UP2Ed~nR;&ot<&p(zVWArcr{Ihr*{e6TfyGYz^9s2xxW
z$*Kx8tC09$s|aNpboFe_3l}b2*bJqh7R_$n#=rp1Hq{90#E{rvt1tw>L5!{nkrfau
zNTz@{#n83DGX=OQ22P~tO0ZjnGtuC*3Z5yzR)I1FGg}S9&tPki_+WqIPc-PNp-~8>
zpaBdjX+f1Zw3Q3C28j>0hH#=m*8#NyN+DTQhvp+BKG-Tki3VLg)CMSpun3xHni1BC
zA+f<$VF-Zzj;;!kR1hpkqJbAT=vv_8O5oxK+%iO0g54^di3X=t@Zl7&Rghj*3&PJ}
zYmoS0e?z9(VAE|2yBxqXWQ-Q*>OgI55C*ri85r5x(9A{R<24su9jH$L!r*>^!y*O-
zhHf;Ik@#Sfp>v2Hu!%>HYzNT%9s>iTDNHG3tP#co>tbMFKy<kfEO6(GfdOnWsEdc3
z@R9gnBVZQ8W=B2T96+;+Ad6v2Ar`}UU|k@KaaB@Ki+j<0jKl{U0kar3ed^)u0GiAM
zSqxJOu^7e!>jGH}AMQmTykTJAl7h{?GcYhghFrnZsdk`dI%w=3Aq_E(v$3VKx81gR
z@j?U(GC2#8XJBBEf=#l6#*V?QM38Bm2vLYia6&{E6i80U^6<<?EKk4?0Ow~&&jVdE
zxDA6Yh}rW%R{?Pj0|VG(Fahg}fII_R2LSR6sJG-0!pOkDi4cY8273lw5X0>l0$|%9
zo<Y|P_6)ipX7e0f1;jbHJOf*#0P+l|O9Al=LKLDK>=|@H47XzlAbAE|GuSief|#B`
zR{?PjF3-T$Pk=lF>heK6gAj%2273lw5X0>l0!W@g*9`Uyx*(=!&{aU3gUd6pr5d1Y
z2pZx7kD!2k1oi+z8e$A0^C4L1*$}p#0~9`>A_`&}XnGeR12GLUUy3dYn|OrL;CVuD
zmmggvI7H9|;VoYH1SGl&c%=wgUIKLsgA{CW3CQ7~LLK37gbY+MIB?KKVGf4T2#2Gq
z1UnpE5Yyr4D&SQtn!{l$T|f>8mCXo;BV>>rjxGvwFpNex99<>Y;pl=;qZtqr>gX!q
o!~SRvhb_ASIUH2=LmUn&{}D0}(-FxH!9q)T5QWI3185B$0LAJuB>(^b

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
new file mode 100644
index 0000000..468baef
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -0,0 +1,12 @@
+0.7
+2020.2
+Oct 14 2022
+05:07:14
+/home/prasic/game/game.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl,1677491212,vhdl,,,,clk_wiz_0;clk_wiz_0_clk_wiz,,,,,,,,
+/home/prasic/game/game.sim/sim_1/behav/xsim/glbl.v,1665704903,verilog,,,,glbl,,,,,,,,
+/home/prasic/game/game.srcs/sim_1/new/TopModule_tb.vhd,1677574173,vhdl,,,,topmodule_tb,,,,,,,,
+/home/prasic/game/game.srcs/sources_1/new/TopModule.vhd,1677572796,vhdl,,,,topmodule,,,,,,,,
+/home/prasic/game/game.srcs/sources_1/new/background.vhd,1677512568,vhdl,,,,background,,,,,,,,
+/home/prasic/game/game.srcs/sources_1/new/priority.vhd,1677512351,vhdl,,,,priority,,,,,,,,
+/home/prasic/game/game.srcs/sources_1/new/runner.vhd,1677585676,vhdl,,,,runner,,,,,,,,
+/home/prasic/game/game.srcs/sources_1/new/vga.vhd,1677505217,vhdl,,,,vga,,,,,,,,
diff --git a/game.sim/sim_1/behav/xsim/xsim.ini b/game.sim/sim_1/behav/xsim/xsim.ini
new file mode 100644
index 0000000..b80378e
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.ini
@@ -0,0 +1,498 @@
+std=$RDI_DATADIR/xsim/vhdl/std
+ieee=$RDI_DATADIR/xsim/vhdl/ieee
+ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed
+vl=$RDI_DATADIR/xsim/vhdl/vl
+synopsys=$RDI_DATADIR/xsim/vhdl/synopsys
+uvm=$RDI_DATADIR/xsim/system_verilog/uvm
+secureip=$RDI_DATADIR/xsim/verilog/secureip
+unisim=$RDI_DATADIR/xsim/vhdl/unisim
+unimacro=$RDI_DATADIR/xsim/vhdl/unimacro
+unifast=$RDI_DATADIR/xsim/vhdl/unifast
+unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver
+unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver
+unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver
+simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver
+ilknf_v1_2_0=$RDI_DATADIR/xsim/ip/ilknf_v1_2_0
+pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11
+axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0
+qdriv_pl_v1_0_8=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_8
+axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1
+uram_rd_back_v1_0_2=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_2
+interlaken_v2_4_12=$RDI_DATADIR/xsim/ip/interlaken_v2_4_12
+axis_register_slice_v1_1_27=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_27
+c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6
+cmac_v2_6_8=$RDI_DATADIR/xsim/ip/cmac_v2_6_8
+axi_bram_ctrl_v4_1_7=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_7
+tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4
+mdm_v3_2_23=$RDI_DATADIR/xsim/ip/mdm_v3_2_23
+axi_quad_spi_v3_2_26=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_26
+noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0
+xlconcat_v2_1_4=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_4
+xbip_multadd_v3_0_17=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_17
+c_counter_binary_v12_0_15=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_15
+ieee802d3_200g_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_6
+dsp_macro_v1_0_2=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_2
+cmac_usplus_v3_1_10=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_10
+noc2_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_0
+sid_v8_0_17=$RDI_DATADIR/xsim/ip/sid_v8_0_17
+v_frmbuf_wr_v2_4_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_4_0
+axi_pcie3_v3_0_23=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_23
+axi_mmu_v2_1_25=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_25
+axis_data_fifo_v2_0_9=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_9
+jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi
+quadsgmii_v3_5_9=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_9
+g975_efec_i7_v2_0_19=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_19
+audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0
+axi_c2c_v1_0_4=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_4
+emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5
+ptp_1588_timer_syncer_v2_0_4=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_4
+xdfe_cc_mixer_v2_0_0=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_0
+xtlm=$RDI_DATADIR/xsim/ip/xtlm
+pcie_axi4lite_tap_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_1
+hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1
+axi_ethernet_buffer_v2_0_24=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_24
+v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9
+axi_firewall_v1_1_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_1_6
+sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0
+axis_subset_converter_v1_1_27=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_27
+videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5
+ieee802d3_400g_rs_fec_v2_0_9=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v2_0_9
+axi_timer_v2_0_29=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_29
+noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0
+remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4
+axi_tft_v2_0_25=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_25
+v_smpte_uhdsdi_tx_v1_0_2=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_2
+pc_cfr_v7_2_0=$RDI_DATADIR/xsim/ip/pc_cfr_v7_2_0
+v_frmbuf_rd_v2_4_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_0
+g709_rs_decoder_v2_2_10=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_10
+axi_msg_v1_0_8=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_8
+dprx_fec_8b10b_v1_0_1=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_1
+v_dp_axi4s_vid_out_v1_0_5=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_5
+v_scenechange_v1_1_4=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_4
+versal_cips_v3_3_0=$RDI_DATADIR/xsim/ip/versal_cips_v3_3_0
+axi_register_slice_v2_1_27=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_27
+axis_dwidth_converter_v1_1_26=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_26
+mipi_dphy_v4_3_5=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_5
+system_cache_v5_0_8=$RDI_DATADIR/xsim/ip/system_cache_v5_0_8
+axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0
+oddr_v1_0_2=$RDI_DATADIR/xsim/ip/oddr_v1_0_2
+vitis_net_p4_v1_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v1_2_0
+smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0
+axis_switch_v1_1_27=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_27
+v_frmbuf_wr_v2_3_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_3_2
+cmpy_v6_0_21=$RDI_DATADIR/xsim/ip/cmpy_v6_0_21
+common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1
+gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4
+debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1
+v_tpg_v8_1_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_6
+mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4
+floating_point_v7_0_20=$RDI_DATADIR/xsim/ip/floating_point_v7_0_20
+mpegtsmux_v1_1_5=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_5
+noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0
+an_lt_v1_0_7=$RDI_DATADIR/xsim/ip/an_lt_v1_0_7
+picxo=$RDI_DATADIR/xsim/ip/picxo
+axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14
+axi_vdma_v6_3_15=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_15
+dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf
+xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2
+v_axi4s_remap_v1_0_20=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_20
+pc_cfr_v6_4_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_4_2
+v_csc_v1_1_6=$RDI_DATADIR/xsim/ip/v_csc_v1_1_6
+xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6
+vitis_deadlock_detector_v1_0_1=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_1
+vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0
+axi4svideo_bridge_v1_0_15=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_15
+ethernet_1_10_25g_v2_7_6=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_6
+xdfe_cc_filter_v1_1_0=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_0
+axi_clock_converter_v2_1_26=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_26
+axi_hwicap_v3_0_31=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_31
+xscl=$RDI_DATADIR/xsim/ip/xscl
+noc_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_v1_0_0
+fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1
+in_system_ibert_v1_0_17=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_17
+displayport_v8_1_5=$RDI_DATADIR/xsim/ip/displayport_v8_1_5
+bs_switch_v1_0_1=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_1
+sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0
+dfx_controller_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_3
+polar_v1_0_10=$RDI_DATADIR/xsim/ip/polar_v1_0_10
+axi_sideband_util_v1_0_11=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_11
+ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0
+shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0
+adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0
+hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3
+axi_uartlite_v2_0_31=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_31
+audio_clock_recovery_unit_v1_0_2=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_2
+g709_rs_encoder_v2_2_8=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_8
+v_smpte_uhdsdi_v1_0_9=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_9
+zynq_ultra_ps_e_v3_4_1=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_4_1
+v_multi_scaler_v1_2_3=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_3
+nvme_tc_v3_0_2=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_2
+axi_iic_v2_1_3=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_3
+bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0
+sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0
+aurora_8b10b_versal_v1_0_1=$RDI_DATADIR/xsim/ip/aurora_8b10b_versal_v1_0_1
+rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0
+remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4
+util_ff_v1_0_1=$RDI_DATADIR/xsim/ip/util_ff_v1_0_1
+xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4
+mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0
+dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16
+axi_sg_v4_1_15=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_15
+xdfe_equalizer_v1_0_5=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_5
+v_vid_gt_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_0
+sim_trig_v1_0_8=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_8
+axi_stream_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_0
+axi_gpio_v2_0_29=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_29
+axi_memory_init_v1_0_8=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_8
+c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6
+stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0
+sem_ultra_v3_1_24=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_24
+axi_vip_v1_1_13=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_13
+axis_broadcaster_v1_1_26=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_26
+gig_ethernet_pcs_pma_v16_2_9=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_9
+tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6
+axi_data_fifo_v2_1_26=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_26
+ernic_v3_1_2=$RDI_DATADIR/xsim/ip/ernic_v3_1_2
+axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0
+ten_gig_eth_pcs_pma_v6_0_23=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_23
+lmb_bram_if_cntlr_v4_0_21=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_21
+axi_usb2_device_v5_0_28=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_28
+pc_cfr_v7_1_0=$RDI_DATADIR/xsim/ip/pc_cfr_v7_1_0
+rs_decoder_v9_0_18=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_18
+cam_v2_4_0=$RDI_DATADIR/xsim/ip/cam_v2_4_0
+ll_compress_v1_1_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_1_0
+v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13
+cpm5_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5_v1_0_9
+hsdp_trace_v1_0_0=$RDI_DATADIR/xsim/ip/hsdp_trace_v1_0_0
+tmr_comparator_v1_0_5=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_5
+axi_ethernetlite_v3_0_26=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_26
+lib_bmg_v1_0_14=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_14
+audio_formatter_v1_0_9=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_9
+axi_epc_v2_0_30=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_30
+xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6
+xfft_v9_1_8=$RDI_DATADIR/xsim/ip/xfft_v9_1_8
+microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4
+blk_mem_gen_v8_4_5=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_5
+sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1
+proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13
+v_tpg_v8_0_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_10
+hdmi_acr_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_0
+v_mix_v5_2_4=$RDI_DATADIR/xsim/ip/v_mix_v5_2_4
+flexo_100g_rs_fec_v1_0_23=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_23
+amm_axi_bridge_v1_0_13=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_13
+axi_uart16550_v2_0_29=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_29
+hw_trace=$RDI_DATADIR/xsim/ip/hw_trace
+axis_clock_converter_v1_1_28=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_28
+xpm=$RDI_DATADIR/xsim/ip/xpm
+versal_cips_ps_vip_v1_0_5=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_5
+noc_nmu_phydir_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_phydir_v1_0_0
+roe_framer_v3_0_4=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_4
+soft_ecc_proxy_v1_0_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_1
+fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4
+axis_combiner_v1_1_25=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_25
+switch_core_top_v1_0_11=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_11
+noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0
+axis_ila_adv_trig_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_0
+axi_ahblite_bridge_v3_0_24=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_24
+accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0
+cpm5n_v1_0_1=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_1
+lte_fft_v2_1_6=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_6
+axi_fifo_mm_s_v4_2_9=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_9
+axi_intc_v4_1_17=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_17
+xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6
+trace_s2mm_v2_0_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_0
+vid_phy_controller_v2_1_14=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_14
+ats_switch_v1_0_6=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_6
+ahblite_axi_bridge_v3_0_22=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_22
+multi_channel_25g_rs_fec_v1_0_19=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_19
+xpm_cdc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_2
+sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1
+dfx_axi_shutdown_manager_v1_0_0=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_0
+uhdsdi_gt_v2_0_9=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_9
+noc_mc_ddr5_phy_v1_0_0=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_0
+axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0
+oran_radio_if_v2_3_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v2_3_0
+noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0
+fast_adapter_v1_0_4=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_4
+mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2
+v_deinterlacer_v5_1_0=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_0
+ta_dma_v1_0_11=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_11
+xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6
+ll_compress_v2_1_1=$RDI_DATADIR/xsim/ip/ll_compress_v2_1_1
+l_ethernet_v3_3_1=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_1
+mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8
+v_axi4s_remap_v1_1_6=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_6
+generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0
+v_mix_v5_1_6=$RDI_DATADIR/xsim/ip/v_mix_v5_1_6
+axi_remapper_rx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_0
+axi_pcie_v2_9_8=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_8
+emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0
+v_hdmi_rx1_v1_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_4
+v_hdmi_tx1_v1_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_4
+lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2
+clk_gen_sim_v1_0_3=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_3
+dds_compiler_v6_0_22=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_22
+mem_tg_v1_0_9=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_9
+axi_chip2chip_v5_0_16=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_16
+axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0
+v_hcresampler_v1_1_6=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_6
+util_vector_logic_v2_0_2=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_2
+axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0
+axi_amm_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_17
+cic_compiler_v4_0_16=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_16
+axi_interconnect_v1_7_20=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_20
+axis_interconnect_v1_1_20=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_20
+fir_compiler_v7_2_18=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_18
+iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0
+common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0
+pr_decoupler_v1_0_10=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_10
+v_warp_filter_v1_1_1=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_1
+xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6
+xdfe_nlf_v1_0_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_0_2
+mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7
+xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip
+axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0
+i2s_transmitter_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_5
+axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0
+ll_compress_v1_0_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_0_0
+trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0
+c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14
+axi_datamover_v5_1_29=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_29
+pcie_qdma_mailbox_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_0
+ptp_1588_timer_syncer_v1_0_2=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v1_0_2
+fc32_rs_fec_v1_0_22=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_22
+axi_vfifo_ctrl_v2_0_29=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_29
+xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0
+icap_arb_v1_0_1=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_1
+sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2
+tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16
+axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0
+bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0
+gtwizard_ultrascale_v1_6_14=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_14
+lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2
+cpri_v8_11_13=$RDI_DATADIR/xsim/ip/cpri_v8_11_13
+interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4
+v_uhdsdi_audio_v2_0_6=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_6
+dfx_decoupler_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_5
+c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14
+axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0
+dcmac_v2_1_0=$RDI_DATADIR/xsim/ip/dcmac_v2_1_0
+dptx_v1_0_0=$RDI_DATADIR/xsim/ip/dptx_v1_0_0
+v_axi4s_vid_out_v4_0_15=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_15
+lte_fft_v2_0_22=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_22
+sd_fec_v1_1_10=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_10
+axi_firewall_v1_2_2=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_2
+processing_system7_vip_v1_0_15=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_15
+axi4stream_vip_v1_1_13=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_13
+lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0
+timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4
+sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0
+v_smpte_uhdsdi_rx_v1_0_1=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_1
+axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1
+axi_crossbar_v2_1_28=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_28
+xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6
+axis_protocol_checker_v2_0_11=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_11
+axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0
+v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1
+jesd204_v7_2_16=$RDI_DATADIR/xsim/ip/jesd204_v7_2_16
+dft_v4_2_3=$RDI_DATADIR/xsim/ip/dft_v4_2_3
+axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub
+v_vscaler_v1_1_6=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_6
+ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0
+av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1
+fifo_generator_v13_2_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_7
+srio_gen2_v4_1_15=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_15
+canfd_v3_0_6=$RDI_DATADIR/xsim/ip/canfd_v3_0_6
+tsn_temac_v1_0_7=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_7
+v_tc_v6_2_5=$RDI_DATADIR/xsim/ip/v_tc_v6_2_5
+v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9
+floating_point_v7_1_15=$RDI_DATADIR/xsim/ip/floating_point_v7_1_15
+xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0
+viterbi_v9_1_13=$RDI_DATADIR/xsim/ip/viterbi_v9_1_13
+axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0
+axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0
+xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6
+axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0
+rs_toolbox_v9_0_9=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_9
+noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0
+ieee802d3_25g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_24
+rld3_pl_v1_0_10=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_10
+gtwizard_ultrascale_v1_7_14=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_14
+ai_pl=$RDI_DATADIR/xsim/ip/ai_pl
+v_vid_in_axi4s_v5_0_2=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_2
+dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1
+qdma_v5_0_0=$RDI_DATADIR/xsim/ip/qdma_v5_0_0
+xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6
+axis_vio_v1_0_7=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_7
+c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6
+spdif_v2_0_26=$RDI_DATADIR/xsim/ip/spdif_v2_0_26
+xdfe_common_v1_0_0=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_0
+tcc_decoder_3gppmm_v2_0_24=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_24
+axi_timebase_wdt_v3_0_19=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_19
+pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12
+polar_v1_1_0=$RDI_DATADIR/xsim/ip/polar_v1_1_0
+fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6
+axis_data_fifo_v1_1_28=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_28
+hdmi_gt_controller_v1_0_8=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_8
+compact_gt_v1_0_13=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_13
+axi_remapper_tx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_0
+xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10
+anlt_subcore_ip_v1_0_0=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_0
+ieee802d3_50g_rs_fec_v1_0_20=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_20
+tsn_endpoint_ethernet_mac_block_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_12
+sim_clk_gen_v1_0_3=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_3
+can_v5_0_30=$RDI_DATADIR/xsim/ip/can_v5_0_30
+v_warp_init_v1_1_1=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_1
+perf_axi_tg_v1_0_9=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_9
+axi_epu_v1_0_0=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_0
+gmii_to_rgmii_v4_1_5=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_5
+noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0
+advanced_io_wizard_v1_0_8=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_8
+convolution_v9_0_16=$RDI_DATADIR/xsim/ip/convolution_v9_0_16
+axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16
+microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6
+axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0
+ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig
+axi_protocol_checker_v2_0_13=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_13
+high_speed_selectio_wiz_v3_6_4=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_4
+xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6
+axi_traffic_gen_v3_0_13=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_13
+ieee802d3_clause74_fec_v1_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_14
+hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0
+axi_perf_mon_v5_0_29=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_29
+func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0
+clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2
+axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0
+c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6
+v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0
+sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0
+uhdsdi_gt_v2_1_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_0
+util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4
+bscan_axi_v1_0_0=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_0
+v_hdmi_phy1_v1_0_7=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_7
+v_frmbuf_rd_v2_2_6=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_6
+noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0
+nvmeha_v1_0_8=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_8
+pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0
+axi_apb_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_17
+tri_mode_ethernet_mac_v9_0_23=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_23
+usxgmii_v1_2_8=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_8
+axi_mcdma_v1_1_8=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_8
+ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7
+axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7
+aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0
+tcc_encoder_3gpp_v5_0_18=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_18
+xdma_v4_1_20=$RDI_DATADIR/xsim/ip/xdma_v4_1_20
+xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2
+ddr4_pl_v1_0_9=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_9
+g709_fec_v2_4_6=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_6
+ai_noc=$RDI_DATADIR/xsim/ip/ai_noc
+fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10
+xdfe_nr_prach_v1_1_0=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v1_1_0
+noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0
+xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0
+v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0
+lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2
+gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux
+v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0
+dprx_v1_0_0=$RDI_DATADIR/xsim/ip/dprx_v1_0_0
+jesd204c_v4_2_9=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_9
+etrnic_v1_1_5=$RDI_DATADIR/xsim/ip/etrnic_v1_1_5
+axi_dwidth_converter_v2_1_27=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_27
+v_frmbuf_wr_v2_2_6=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_6
+xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0
+rs_encoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_17
+xdfe_resampler_v1_0_5=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_5
+xxv_ethernet_v4_1_1=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_1
+hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3
+vby1hs_v1_0_2=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_2
+axi_mm2s_mapper_v1_1_26=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_26
+v_smpte_sdi_v3_0_9=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_9
+v_frmbuf_rd_v2_3_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_3_2
+emb_mem_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_6
+axi_protocol_converter_v2_1_27=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_27
+div_gen_v5_1_19=$RDI_DATADIR/xsim/ip/div_gen_v5_1_19
+xdfe_fft_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_4
+hbm2e_pl_v1_0_0=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_0
+mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0
+v_letterbox_v1_1_6=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_6
+v_gamma_lut_v1_1_6=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_6
+tmr_voter_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_4
+cpm4_v1_0_9=$RDI_DATADIR/xsim/ip/cpm4_v1_0_9
+vid_phy_controller_v2_2_14=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_14
+v_tpg_v8_2_2=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_2
+xlconstant_v1_1_7=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_7
+c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6
+g975_efec_i4_v1_0_19=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_19
+lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0
+v_warp_filter_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_0_2
+i2s_receiver_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_5
+zynq_ultra_ps_e_v3_3_8=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_8
+axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0
+pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0
+lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0
+dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13
+v_warp_init_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_init_v1_0_2
+displayport_v7_0_0=$RDI_DATADIR/xsim/ip/displayport_v7_0_0
+versal_cips_v3_2_2=$RDI_DATADIR/xsim/ip/versal_cips_v3_2_2
+lib_fifo_v1_0_16=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_16
+blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6
+emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2
+microblaze_v11_0_10=$RDI_DATADIR/xsim/ip/microblaze_v11_0_10
+dfx_bitstream_monitor_v1_0_2=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_2
+vfb_v1_0_21=$RDI_DATADIR/xsim/ip/vfb_v1_0_21
+ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13
+mrmac_v2_0_0=$RDI_DATADIR/xsim/ip/mrmac_v2_0_0
+rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1
+ernic_v4_0_0=$RDI_DATADIR/xsim/ip/ernic_v4_0_0
+mailbox_v2_1_15=$RDI_DATADIR/xsim/ip/mailbox_v2_1_15
+noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0
+displayport_v9_0_5=$RDI_DATADIR/xsim/ip/displayport_v9_0_5
+ll_compress_v2_0_1=$RDI_DATADIR/xsim/ip/ll_compress_v2_0_1
+cordic_v6_0_18=$RDI_DATADIR/xsim/ip/cordic_v6_0_18
+tmr_manager_v1_0_10=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_10
+axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0
+noc2_nmu_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_0
+aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0
+noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0
+rst_vip_v1_0_4=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_4
+mult_gen_v12_0_18=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_18
+qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0
+av_pat_gen_v2_0_0=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_0
+xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0
+stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0
+zynq_ultra_ps_e_vip_v1_0_13=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_13
+ieee802d3_50g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_12
+axi_dma_v7_1_28=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_28
+processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6
+v_hscaler_v1_1_6=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_6
+ldpc_v2_0_11=$RDI_DATADIR/xsim/ip/ldpc_v2_0_11
+axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6
+ieee802d3_rs_fec_v2_0_16=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_16
+advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0
+fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6
+v_vcresampler_v1_1_6=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_6
+axi_emc_v3_0_27=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_27
+axi_hbicap_v1_0_4=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_4
+util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2
+xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6
+lmb_v10_v3_0_12=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_12
+axi_cdma_v4_1_27=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_27
+iomodule_v3_1_8=$RDI_DATADIR/xsim/ip/iomodule_v3_1_8
+tmr_sem_v1_0_23=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_23
+sem_v4_1_13=$RDI_DATADIR/xsim/ip/sem_v4_1_13
+video_frame_crc_v1_0_4=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_4
+axi_lmb_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_1
+ten_gig_eth_mac_v15_1_10=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_10
+v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0
+rama_v1_1_13_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_13_lib
+hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0
+v_vid_gt_bridge_v1_0_6=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v1_0_6
+pc_cfr_v7_0_1=$RDI_DATADIR/xsim/ip/pc_cfr_v7_0_1
+mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11
+axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1
+shell_utils_addr_remap_v1_0_6=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_6
+xfft_v7_2_13=$RDI_DATADIR/xsim/ip/xfft_v7_2_13
+v_demosaic_v1_1_6=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_6
+axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4
+c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14
diff --git a/game.sim/sim_1/behav/xsim/xsim.ini.bak b/game.sim/sim_1/behav/xsim/xsim.ini.bak
new file mode 100644
index 0000000..b80378e
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xsim.ini.bak
@@ -0,0 +1,498 @@
+std=$RDI_DATADIR/xsim/vhdl/std
+ieee=$RDI_DATADIR/xsim/vhdl/ieee
+ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed
+vl=$RDI_DATADIR/xsim/vhdl/vl
+synopsys=$RDI_DATADIR/xsim/vhdl/synopsys
+uvm=$RDI_DATADIR/xsim/system_verilog/uvm
+secureip=$RDI_DATADIR/xsim/verilog/secureip
+unisim=$RDI_DATADIR/xsim/vhdl/unisim
+unimacro=$RDI_DATADIR/xsim/vhdl/unimacro
+unifast=$RDI_DATADIR/xsim/vhdl/unifast
+unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver
+unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver
+unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver
+simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver
+ilknf_v1_2_0=$RDI_DATADIR/xsim/ip/ilknf_v1_2_0
+pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11
+axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0
+qdriv_pl_v1_0_8=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_8
+axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1
+uram_rd_back_v1_0_2=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_2
+interlaken_v2_4_12=$RDI_DATADIR/xsim/ip/interlaken_v2_4_12
+axis_register_slice_v1_1_27=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_27
+c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6
+cmac_v2_6_8=$RDI_DATADIR/xsim/ip/cmac_v2_6_8
+axi_bram_ctrl_v4_1_7=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_7
+tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4
+mdm_v3_2_23=$RDI_DATADIR/xsim/ip/mdm_v3_2_23
+axi_quad_spi_v3_2_26=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_26
+noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0
+xlconcat_v2_1_4=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_4
+xbip_multadd_v3_0_17=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_17
+c_counter_binary_v12_0_15=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_15
+ieee802d3_200g_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_6
+dsp_macro_v1_0_2=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_2
+cmac_usplus_v3_1_10=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_10
+noc2_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_0
+sid_v8_0_17=$RDI_DATADIR/xsim/ip/sid_v8_0_17
+v_frmbuf_wr_v2_4_0=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_4_0
+axi_pcie3_v3_0_23=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_23
+axi_mmu_v2_1_25=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_25
+axis_data_fifo_v2_0_9=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_9
+jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi
+quadsgmii_v3_5_9=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_9
+g975_efec_i7_v2_0_19=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_19
+audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0
+axi_c2c_v1_0_4=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_4
+emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5
+ptp_1588_timer_syncer_v2_0_4=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_4
+xdfe_cc_mixer_v2_0_0=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_0
+xtlm=$RDI_DATADIR/xsim/ip/xtlm
+pcie_axi4lite_tap_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_1
+hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1
+axi_ethernet_buffer_v2_0_24=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_24
+v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9
+axi_firewall_v1_1_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_1_6
+sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0
+axis_subset_converter_v1_1_27=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_27
+videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5
+ieee802d3_400g_rs_fec_v2_0_9=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v2_0_9
+axi_timer_v2_0_29=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_29
+noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0
+remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4
+axi_tft_v2_0_25=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_25
+v_smpte_uhdsdi_tx_v1_0_2=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_2
+pc_cfr_v7_2_0=$RDI_DATADIR/xsim/ip/pc_cfr_v7_2_0
+v_frmbuf_rd_v2_4_0=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_0
+g709_rs_decoder_v2_2_10=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_10
+axi_msg_v1_0_8=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_8
+dprx_fec_8b10b_v1_0_1=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_1
+v_dp_axi4s_vid_out_v1_0_5=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_5
+v_scenechange_v1_1_4=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_4
+versal_cips_v3_3_0=$RDI_DATADIR/xsim/ip/versal_cips_v3_3_0
+axi_register_slice_v2_1_27=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_27
+axis_dwidth_converter_v1_1_26=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_26
+mipi_dphy_v4_3_5=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_5
+system_cache_v5_0_8=$RDI_DATADIR/xsim/ip/system_cache_v5_0_8
+axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0
+oddr_v1_0_2=$RDI_DATADIR/xsim/ip/oddr_v1_0_2
+vitis_net_p4_v1_2_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v1_2_0
+smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0
+axis_switch_v1_1_27=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_27
+v_frmbuf_wr_v2_3_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_3_2
+cmpy_v6_0_21=$RDI_DATADIR/xsim/ip/cmpy_v6_0_21
+common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1
+gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4
+debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1
+v_tpg_v8_1_6=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_6
+mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4
+floating_point_v7_0_20=$RDI_DATADIR/xsim/ip/floating_point_v7_0_20
+mpegtsmux_v1_1_5=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_5
+noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0
+an_lt_v1_0_7=$RDI_DATADIR/xsim/ip/an_lt_v1_0_7
+picxo=$RDI_DATADIR/xsim/ip/picxo
+axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14
+axi_vdma_v6_3_15=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_15
+dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf
+xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2
+v_axi4s_remap_v1_0_20=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_20
+pc_cfr_v6_4_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_4_2
+v_csc_v1_1_6=$RDI_DATADIR/xsim/ip/v_csc_v1_1_6
+xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6
+vitis_deadlock_detector_v1_0_1=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_1
+vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0
+axi4svideo_bridge_v1_0_15=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_15
+ethernet_1_10_25g_v2_7_6=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_6
+xdfe_cc_filter_v1_1_0=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_0
+axi_clock_converter_v2_1_26=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_26
+axi_hwicap_v3_0_31=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_31
+xscl=$RDI_DATADIR/xsim/ip/xscl
+noc_nsu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_v1_0_0
+fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1
+in_system_ibert_v1_0_17=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_17
+displayport_v8_1_5=$RDI_DATADIR/xsim/ip/displayport_v8_1_5
+bs_switch_v1_0_1=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_1
+sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0
+dfx_controller_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_3
+polar_v1_0_10=$RDI_DATADIR/xsim/ip/polar_v1_0_10
+axi_sideband_util_v1_0_11=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_11
+ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0
+shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0
+adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0
+hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3
+axi_uartlite_v2_0_31=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_31
+audio_clock_recovery_unit_v1_0_2=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_2
+g709_rs_encoder_v2_2_8=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_8
+v_smpte_uhdsdi_v1_0_9=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_9
+zynq_ultra_ps_e_v3_4_1=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_4_1
+v_multi_scaler_v1_2_3=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_3
+nvme_tc_v3_0_2=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_2
+axi_iic_v2_1_3=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_3
+bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0
+sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0
+aurora_8b10b_versal_v1_0_1=$RDI_DATADIR/xsim/ip/aurora_8b10b_versal_v1_0_1
+rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0
+remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4
+util_ff_v1_0_1=$RDI_DATADIR/xsim/ip/util_ff_v1_0_1
+xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4
+mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0
+dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16
+axi_sg_v4_1_15=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_15
+xdfe_equalizer_v1_0_5=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_5
+v_vid_gt_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_0
+sim_trig_v1_0_8=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_8
+axi_stream_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_0
+axi_gpio_v2_0_29=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_29
+axi_memory_init_v1_0_8=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_8
+c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6
+stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0
+sem_ultra_v3_1_24=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_24
+axi_vip_v1_1_13=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_13
+axis_broadcaster_v1_1_26=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_26
+gig_ethernet_pcs_pma_v16_2_9=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_9
+tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6
+axi_data_fifo_v2_1_26=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_26
+ernic_v3_1_2=$RDI_DATADIR/xsim/ip/ernic_v3_1_2
+axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0
+ten_gig_eth_pcs_pma_v6_0_23=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_23
+lmb_bram_if_cntlr_v4_0_21=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_21
+axi_usb2_device_v5_0_28=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_28
+pc_cfr_v7_1_0=$RDI_DATADIR/xsim/ip/pc_cfr_v7_1_0
+rs_decoder_v9_0_18=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_18
+cam_v2_4_0=$RDI_DATADIR/xsim/ip/cam_v2_4_0
+ll_compress_v1_1_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_1_0
+v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13
+cpm5_v1_0_9=$RDI_DATADIR/xsim/ip/cpm5_v1_0_9
+hsdp_trace_v1_0_0=$RDI_DATADIR/xsim/ip/hsdp_trace_v1_0_0
+tmr_comparator_v1_0_5=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_5
+axi_ethernetlite_v3_0_26=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_26
+lib_bmg_v1_0_14=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_14
+audio_formatter_v1_0_9=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_9
+axi_epc_v2_0_30=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_30
+xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6
+xfft_v9_1_8=$RDI_DATADIR/xsim/ip/xfft_v9_1_8
+microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4
+blk_mem_gen_v8_4_5=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_5
+sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1
+proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13
+v_tpg_v8_0_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_10
+hdmi_acr_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_0
+v_mix_v5_2_4=$RDI_DATADIR/xsim/ip/v_mix_v5_2_4
+flexo_100g_rs_fec_v1_0_23=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_23
+amm_axi_bridge_v1_0_13=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_13
+axi_uart16550_v2_0_29=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_29
+hw_trace=$RDI_DATADIR/xsim/ip/hw_trace
+axis_clock_converter_v1_1_28=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_28
+xpm=$RDI_DATADIR/xsim/ip/xpm
+versal_cips_ps_vip_v1_0_5=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_5
+noc_nmu_phydir_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_phydir_v1_0_0
+roe_framer_v3_0_4=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_4
+soft_ecc_proxy_v1_0_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_1
+fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4
+axis_combiner_v1_1_25=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_25
+switch_core_top_v1_0_11=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_11
+noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0
+axis_ila_adv_trig_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_0
+axi_ahblite_bridge_v3_0_24=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_24
+accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0
+cpm5n_v1_0_1=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_1
+lte_fft_v2_1_6=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_6
+axi_fifo_mm_s_v4_2_9=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_9
+axi_intc_v4_1_17=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_17
+xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6
+trace_s2mm_v2_0_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_0
+vid_phy_controller_v2_1_14=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_14
+ats_switch_v1_0_6=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_6
+ahblite_axi_bridge_v3_0_22=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_22
+multi_channel_25g_rs_fec_v1_0_19=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_19
+xpm_cdc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_2
+sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1
+dfx_axi_shutdown_manager_v1_0_0=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_0
+uhdsdi_gt_v2_0_9=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_9
+noc_mc_ddr5_phy_v1_0_0=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_0
+axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0
+oran_radio_if_v2_3_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v2_3_0
+noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0
+fast_adapter_v1_0_4=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_4
+mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2
+v_deinterlacer_v5_1_0=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_0
+ta_dma_v1_0_11=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_11
+xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6
+ll_compress_v2_1_1=$RDI_DATADIR/xsim/ip/ll_compress_v2_1_1
+l_ethernet_v3_3_1=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_1
+mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8
+v_axi4s_remap_v1_1_6=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_6
+generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0
+v_mix_v5_1_6=$RDI_DATADIR/xsim/ip/v_mix_v5_1_6
+axi_remapper_rx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_0
+axi_pcie_v2_9_8=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_8
+emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0
+v_hdmi_rx1_v1_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_4
+v_hdmi_tx1_v1_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_4
+lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2
+clk_gen_sim_v1_0_3=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_3
+dds_compiler_v6_0_22=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_22
+mem_tg_v1_0_9=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_9
+axi_chip2chip_v5_0_16=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_16
+axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0
+v_hcresampler_v1_1_6=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_6
+util_vector_logic_v2_0_2=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_2
+axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0
+axi_amm_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_17
+cic_compiler_v4_0_16=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_16
+axi_interconnect_v1_7_20=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_20
+axis_interconnect_v1_1_20=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_20
+fir_compiler_v7_2_18=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_18
+iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0
+common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0
+pr_decoupler_v1_0_10=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_10
+v_warp_filter_v1_1_1=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_1
+xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6
+xdfe_nlf_v1_0_2=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_0_2
+mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7
+xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip
+axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0
+i2s_transmitter_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_5
+axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0
+ll_compress_v1_0_0=$RDI_DATADIR/xsim/ip/ll_compress_v1_0_0
+trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0
+c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14
+axi_datamover_v5_1_29=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_29
+pcie_qdma_mailbox_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_0
+ptp_1588_timer_syncer_v1_0_2=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v1_0_2
+fc32_rs_fec_v1_0_22=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_22
+axi_vfifo_ctrl_v2_0_29=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_29
+xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0
+icap_arb_v1_0_1=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_1
+sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2
+tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16
+axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0
+bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0
+gtwizard_ultrascale_v1_6_14=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_14
+lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2
+cpri_v8_11_13=$RDI_DATADIR/xsim/ip/cpri_v8_11_13
+interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4
+v_uhdsdi_audio_v2_0_6=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_6
+dfx_decoupler_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_5
+c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14
+axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0
+dcmac_v2_1_0=$RDI_DATADIR/xsim/ip/dcmac_v2_1_0
+dptx_v1_0_0=$RDI_DATADIR/xsim/ip/dptx_v1_0_0
+v_axi4s_vid_out_v4_0_15=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_15
+lte_fft_v2_0_22=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_22
+sd_fec_v1_1_10=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_10
+axi_firewall_v1_2_2=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_2
+processing_system7_vip_v1_0_15=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_15
+axi4stream_vip_v1_1_13=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_13
+lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0
+timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4
+sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0
+v_smpte_uhdsdi_rx_v1_0_1=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_1
+axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1
+axi_crossbar_v2_1_28=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_28
+xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6
+axis_protocol_checker_v2_0_11=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_11
+axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0
+v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1
+jesd204_v7_2_16=$RDI_DATADIR/xsim/ip/jesd204_v7_2_16
+dft_v4_2_3=$RDI_DATADIR/xsim/ip/dft_v4_2_3
+axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub
+v_vscaler_v1_1_6=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_6
+ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0
+av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1
+fifo_generator_v13_2_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_7
+srio_gen2_v4_1_15=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_15
+canfd_v3_0_6=$RDI_DATADIR/xsim/ip/canfd_v3_0_6
+tsn_temac_v1_0_7=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_7
+v_tc_v6_2_5=$RDI_DATADIR/xsim/ip/v_tc_v6_2_5
+v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9
+floating_point_v7_1_15=$RDI_DATADIR/xsim/ip/floating_point_v7_1_15
+xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0
+viterbi_v9_1_13=$RDI_DATADIR/xsim/ip/viterbi_v9_1_13
+axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0
+axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0
+xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6
+axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0
+rs_toolbox_v9_0_9=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_9
+noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0
+ieee802d3_25g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_24
+rld3_pl_v1_0_10=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_10
+gtwizard_ultrascale_v1_7_14=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_14
+ai_pl=$RDI_DATADIR/xsim/ip/ai_pl
+v_vid_in_axi4s_v5_0_2=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_2
+dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1
+qdma_v5_0_0=$RDI_DATADIR/xsim/ip/qdma_v5_0_0
+xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6
+axis_vio_v1_0_7=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_7
+c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6
+spdif_v2_0_26=$RDI_DATADIR/xsim/ip/spdif_v2_0_26
+xdfe_common_v1_0_0=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_0
+tcc_decoder_3gppmm_v2_0_24=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_24
+axi_timebase_wdt_v3_0_19=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_19
+pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12
+polar_v1_1_0=$RDI_DATADIR/xsim/ip/polar_v1_1_0
+fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6
+axis_data_fifo_v1_1_28=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_28
+hdmi_gt_controller_v1_0_8=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_8
+compact_gt_v1_0_13=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_13
+axi_remapper_tx_v1_0_0=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_0
+xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10
+anlt_subcore_ip_v1_0_0=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_0
+ieee802d3_50g_rs_fec_v1_0_20=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_20
+tsn_endpoint_ethernet_mac_block_v1_0_12=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_12
+sim_clk_gen_v1_0_3=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_3
+can_v5_0_30=$RDI_DATADIR/xsim/ip/can_v5_0_30
+v_warp_init_v1_1_1=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_1
+perf_axi_tg_v1_0_9=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_9
+axi_epu_v1_0_0=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_0
+gmii_to_rgmii_v4_1_5=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_5
+noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0
+advanced_io_wizard_v1_0_8=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_8
+convolution_v9_0_16=$RDI_DATADIR/xsim/ip/convolution_v9_0_16
+axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16
+microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6
+axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0
+ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig
+axi_protocol_checker_v2_0_13=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_13
+high_speed_selectio_wiz_v3_6_4=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_4
+xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6
+axi_traffic_gen_v3_0_13=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_13
+ieee802d3_clause74_fec_v1_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_14
+hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0
+axi_perf_mon_v5_0_29=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_29
+func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0
+clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2
+axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0
+c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6
+v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0
+sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0
+uhdsdi_gt_v2_1_0=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_0
+util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4
+bscan_axi_v1_0_0=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_0
+v_hdmi_phy1_v1_0_7=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_7
+v_frmbuf_rd_v2_2_6=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_6
+noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0
+nvmeha_v1_0_8=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_8
+pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0
+axi_apb_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_17
+tri_mode_ethernet_mac_v9_0_23=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_23
+usxgmii_v1_2_8=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_8
+axi_mcdma_v1_1_8=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_8
+ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7
+axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7
+aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0
+tcc_encoder_3gpp_v5_0_18=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_18
+xdma_v4_1_20=$RDI_DATADIR/xsim/ip/xdma_v4_1_20
+xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2
+ddr4_pl_v1_0_9=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_9
+g709_fec_v2_4_6=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_6
+ai_noc=$RDI_DATADIR/xsim/ip/ai_noc
+fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10
+xdfe_nr_prach_v1_1_0=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v1_1_0
+noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0
+xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0
+v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0
+lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2
+gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux
+v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0
+dprx_v1_0_0=$RDI_DATADIR/xsim/ip/dprx_v1_0_0
+jesd204c_v4_2_9=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_9
+etrnic_v1_1_5=$RDI_DATADIR/xsim/ip/etrnic_v1_1_5
+axi_dwidth_converter_v2_1_27=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_27
+v_frmbuf_wr_v2_2_6=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_6
+xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0
+rs_encoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_17
+xdfe_resampler_v1_0_5=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_5
+xxv_ethernet_v4_1_1=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_1
+hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3
+vby1hs_v1_0_2=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_2
+axi_mm2s_mapper_v1_1_26=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_26
+v_smpte_sdi_v3_0_9=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_9
+v_frmbuf_rd_v2_3_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_3_2
+emb_mem_gen_v1_0_6=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_6
+axi_protocol_converter_v2_1_27=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_27
+div_gen_v5_1_19=$RDI_DATADIR/xsim/ip/div_gen_v5_1_19
+xdfe_fft_v1_0_4=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_4
+hbm2e_pl_v1_0_0=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_0
+mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0
+v_letterbox_v1_1_6=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_6
+v_gamma_lut_v1_1_6=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_6
+tmr_voter_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_4
+cpm4_v1_0_9=$RDI_DATADIR/xsim/ip/cpm4_v1_0_9
+vid_phy_controller_v2_2_14=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_14
+v_tpg_v8_2_2=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_2
+xlconstant_v1_1_7=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_7
+c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6
+g975_efec_i4_v1_0_19=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_19
+lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0
+v_warp_filter_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_0_2
+i2s_receiver_v1_0_5=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_5
+zynq_ultra_ps_e_v3_3_8=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_8
+axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0
+pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0
+lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0
+dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13
+v_warp_init_v1_0_2=$RDI_DATADIR/xsim/ip/v_warp_init_v1_0_2
+displayport_v7_0_0=$RDI_DATADIR/xsim/ip/displayport_v7_0_0
+versal_cips_v3_2_2=$RDI_DATADIR/xsim/ip/versal_cips_v3_2_2
+lib_fifo_v1_0_16=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_16
+blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6
+emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2
+microblaze_v11_0_10=$RDI_DATADIR/xsim/ip/microblaze_v11_0_10
+dfx_bitstream_monitor_v1_0_2=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_2
+vfb_v1_0_21=$RDI_DATADIR/xsim/ip/vfb_v1_0_21
+ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13
+mrmac_v2_0_0=$RDI_DATADIR/xsim/ip/mrmac_v2_0_0
+rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1
+ernic_v4_0_0=$RDI_DATADIR/xsim/ip/ernic_v4_0_0
+mailbox_v2_1_15=$RDI_DATADIR/xsim/ip/mailbox_v2_1_15
+noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0
+displayport_v9_0_5=$RDI_DATADIR/xsim/ip/displayport_v9_0_5
+ll_compress_v2_0_1=$RDI_DATADIR/xsim/ip/ll_compress_v2_0_1
+cordic_v6_0_18=$RDI_DATADIR/xsim/ip/cordic_v6_0_18
+tmr_manager_v1_0_10=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_10
+axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0
+noc2_nmu_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_0
+aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0
+noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0
+rst_vip_v1_0_4=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_4
+mult_gen_v12_0_18=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_18
+qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0
+av_pat_gen_v2_0_0=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_0
+xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0
+stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0
+zynq_ultra_ps_e_vip_v1_0_13=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_13
+ieee802d3_50g_rs_fec_v2_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_12
+axi_dma_v7_1_28=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_28
+processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6
+v_hscaler_v1_1_6=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_6
+ldpc_v2_0_11=$RDI_DATADIR/xsim/ip/ldpc_v2_0_11
+axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6
+ieee802d3_rs_fec_v2_0_16=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_16
+advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0
+fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6
+v_vcresampler_v1_1_6=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_6
+axi_emc_v3_0_27=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_27
+axi_hbicap_v1_0_4=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_4
+util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2
+xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6
+lmb_v10_v3_0_12=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_12
+axi_cdma_v4_1_27=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_27
+iomodule_v3_1_8=$RDI_DATADIR/xsim/ip/iomodule_v3_1_8
+tmr_sem_v1_0_23=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_23
+sem_v4_1_13=$RDI_DATADIR/xsim/ip/sem_v4_1_13
+video_frame_crc_v1_0_4=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_4
+axi_lmb_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_1
+ten_gig_eth_mac_v15_1_10=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_10
+v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0
+rama_v1_1_13_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_13_lib
+hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0
+v_vid_gt_bridge_v1_0_6=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v1_0_6
+pc_cfr_v7_0_1=$RDI_DATADIR/xsim/ip/pc_cfr_v7_0_1
+mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11
+axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1
+shell_utils_addr_remap_v1_0_6=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_6
+xfft_v7_2_13=$RDI_DATADIR/xsim/ip/xfft_v7_2_13
+v_demosaic_v1_1_6=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_6
+axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4
+c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14
diff --git a/game.sim/sim_1/behav/xsim/xvhdl.log b/game.sim/sim_1/behav/xsim/xvhdl.log
new file mode 100644
index 0000000..1b4ecda
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xvhdl.log
@@ -0,0 +1,2 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/home/prasic/game/game.srcs/sources_1/new/runner.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'runner'
diff --git a/game.sim/sim_1/behav/xsim/xvhdl.pb b/game.sim/sim_1/behav/xsim/xvhdl.pb
new file mode 100644
index 0000000000000000000000000000000000000000..13950188e823ee75dbac81a9d013d1018914193e
GIT binary patch
literal 304
zcmd<u%gCkSn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT
zXlAU%T~VBwTb5dsnU<Ms<iTa4pOK%Ns$Wo)Se%)xpPrbT3MTZ5i;|1=i}Op1l2eQ0
z4fXR<%k_&&^YT)Q^vW_)jM!6B(-KQ_N~~ZEBPA}rip-pNsCZ6h5~i9cHbVnlLo?$H
zh62_K)(MOZ0!&;{T%w6^52WUmWR_GasH+yM3$RMD7@1j`qdHfLiw)ukEQYfi8t57u
Y8ki%S&d0^&nwO#wl$xAhlp??g0OWdIH2?qr

literal 0
HcmV?d00001

diff --git a/game.sim/sim_1/behav/xsim/xvlog.log b/game.sim/sim_1/behav/xsim/xvlog.log
new file mode 100644
index 0000000..e69de29
diff --git a/game.sim/sim_1/behav/xsim/xvlog.pb b/game.sim/sim_1/behav/xsim/xvlog.pb
new file mode 100644
index 0000000..b155e40
--- /dev/null
+++ b/game.sim/sim_1/behav/xsim/xvlog.pb
@@ -0,0 +1,4 @@
+
+
+
+End Record
\ No newline at end of file
diff --git a/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc b/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc
new file mode 100644
index 0000000..c87008c
--- /dev/null
+++ b/game.srcs/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc
@@ -0,0 +1,722 @@
+## This file is a general .xdc for the Nexys4 rev B board
+## To use it in a project:
+## - uncomment the lines corresponding to used pins
+## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
+
+## Clock signal
+#Bank = 35, Pin name = IO_L12P_T1_MRCC_35,					Sch name = CLK100MHZ
+set_property PACKAGE_PIN E3 [get_ports clk]							
+	set_property IOSTANDARD LVCMOS33 [get_ports clk]
+	create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
+ 
+## Switches
+##Bank = 34, Pin name = IO_L21P_T3_DQS_34,					Sch name = SW0
+#set_property PACKAGE_PIN U9 [get_ports {sw[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}]
+##Bank = 34, Pin name = IO_25_34,							Sch name = SW1
+#set_property PACKAGE_PIN U8 [get_ports {sw[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}]
+##Bank = 34, Pin name = IO_L23P_T3_34,						Sch name = SW2
+#set_property PACKAGE_PIN R7 [get_ports {sw[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}]
+##Bank = 34, Pin name = IO_L19P_T3_34,						Sch name = SW3
+#set_property PACKAGE_PIN R6 [get_ports {sw[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}]
+##Bank = 34, Pin name = IO_L19N_T3_VREF_34,					Sch name = SW4
+#set_property PACKAGE_PIN R5 [get_ports {sw[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}]
+##Bank = 34, Pin name = IO_L20P_T3_34,						Sch name = SW5
+#set_property PACKAGE_PIN V7 [get_ports {sw[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}]
+##Bank = 34, Pin name = IO_L20N_T3_34,						Sch name = SW6
+#set_property PACKAGE_PIN V6 [get_ports {sw[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}]
+##Bank = 34, Pin name = IO_L10P_T1_34,						Sch name = SW7
+#set_property PACKAGE_PIN V5 [get_ports {sw[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}]
+##Bank = 34, Pin name = IO_L8P_T1-34,						Sch name = SW8
+#set_property PACKAGE_PIN U4 [get_ports {sw[8]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}]
+##Bank = 34, Pin name = IO_L9N_T1_DQS_34,					Sch name = SW9
+#set_property PACKAGE_PIN V2 [get_ports {sw[9]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}]
+##Bank = 34, Pin name = IO_L9P_T1_DQS_34,					Sch name = SW10
+#set_property PACKAGE_PIN U2 [get_ports {sw[10]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}]
+##Bank = 34, Pin name = IO_L11N_T1_MRCC_34,					Sch name = SW11
+#set_property PACKAGE_PIN T3 [get_ports {sw[11]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}]
+##Bank = 34, Pin name = IO_L17N_T2_34,						Sch name = SW12
+#set_property PACKAGE_PIN T1 [get_ports {sw[12]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}]
+##Bank = 34, Pin name = IO_L11P_T1_SRCC_34,					Sch name = SW13
+#set_property PACKAGE_PIN R3 [get_ports {sw[13]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}]
+##Bank = 34, Pin name = IO_L14N_T2_SRCC_34,					Sch name = SW14
+#set_property PACKAGE_PIN P3 [get_ports {sw[14]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}]
+##Bank = 34, Pin name = IO_L14P_T2_SRCC_34,					Sch name = SW15
+#set_property PACKAGE_PIN P4 [get_ports {sw[15]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}]
+ 
+
+
+# LEDs
+#Bank = 34, Pin name = IO_L24N_T3_34,						Sch name = LED0
+set_property PACKAGE_PIN T8 [get_ports {led[0]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
+#Bank = 34, Pin name = IO_L21N_T3_DQS_34,					Sch name = LED1
+set_property PACKAGE_PIN V9 [get_ports {led[1]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
+#Bank = 34, Pin name = IO_L24P_T3_34,						Sch name = LED2
+set_property PACKAGE_PIN R8 [get_ports {led[2]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
+#Bank = 34, Pin name = IO_L23N_T3_34,						Sch name = LED3
+set_property PACKAGE_PIN T6 [get_ports {led[3]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
+#Bank = 34, Pin name = IO_L12P_T1_MRCC_34,					Sch name = LED4
+set_property PACKAGE_PIN T5 [get_ports {led[4]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
+#Bank = 34, Pin name = IO_L12N_T1_MRCC_34,					Sch	name = LED5
+set_property PACKAGE_PIN T4 [get_ports {led[5]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
+#Bank = 34, Pin name = IO_L22P_T3_34,						Sch name = LED6
+set_property PACKAGE_PIN U7 [get_ports {led[6]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
+#Bank = 34, Pin name = IO_L22N_T3_34,						Sch name = LED7
+set_property PACKAGE_PIN U6 [get_ports {led[7]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
+#Bank = 34, Pin name = IO_L10N_T1_34,						Sch name = LED8
+set_property PACKAGE_PIN V4 [get_ports {led[8]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
+#Bank = 34, Pin name = IO_L8N_T1_34,						Sch name = LED9
+set_property PACKAGE_PIN U3 [get_ports {led[9]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
+#Bank = 34, Pin name = IO_L7N_T1_34,						Sch name = LED10
+set_property PACKAGE_PIN V1 [get_ports {led[10]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
+#Bank = 34, Pin name = IO_L17P_T2_34,						Sch name = LED11
+set_property PACKAGE_PIN R1 [get_ports {led[11]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
+#Bank = 34, Pin name = IO_L13N_T2_MRCC_34,					Sch name = LED12
+set_property PACKAGE_PIN P5 [get_ports {led[12]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
+#Bank = 34, Pin name = IO_L7P_T1_34,						Sch name = LED13
+set_property PACKAGE_PIN U1 [get_ports {led[13]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
+#Bank = 34, Pin name = IO_L15N_T2_DQS_34,					Sch name = LED14
+set_property PACKAGE_PIN R2 [get_ports {led[14]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
+#Bank = 34, Pin name = IO_L15P_T2_DQS_34,					Sch name = LED15
+set_property PACKAGE_PIN P2 [get_ports {led[15]}]					
+	set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
+
+##Bank = 34, Pin name = IO_L5P_T0_34,						Sch name = LED16_R
+#set_property PACKAGE_PIN K5 [get_ports RGB1_Red]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Red]
+##Bank = 15, Pin name = IO_L5P_T0_AD9P_15,					Sch name = LED16_G
+#set_property PACKAGE_PIN F13 [get_ports RGB1_Green]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Green]
+##Bank = 35, Pin name = IO_L19N_T3_VREF_35,					Sch name = LED16_B
+#set_property PACKAGE_PIN F6 [get_ports RGB1_Blue]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB1_Blue]
+##Bank = 34, Pin name = IO_0_34,								Sch name = LED17_R
+#set_property PACKAGE_PIN K6 [get_ports RGB2_Red]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Red]
+##Bank = 35, Pin name = IO_24P_T3_35,						Sch name =  LED17_G
+#set_property PACKAGE_PIN H6 [get_ports RGB2_Green]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Green]
+##Bank = CONFIG, Pin name = IO_L3N_T0_DQS_EMCCLK_14,			Sch name = LED17_B
+#set_property PACKAGE_PIN L16 [get_ports RGB2_Blue]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RGB2_Blue]
+
+
+
+##7 segment display
+##Bank = 34, Pin name = IO_L2N_T0_34,						Sch name = CA
+#set_property PACKAGE_PIN L3 [get_ports {seg[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}]
+##Bank = 34, Pin name = IO_L3N_T0_DQS_34,					Sch name = CB
+#set_property PACKAGE_PIN N1 [get_ports {seg[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}]
+##Bank = 34, Pin name = IO_L6N_T0_VREF_34,					Sch name = CC
+#set_property PACKAGE_PIN L5 [get_ports {seg[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}]
+##Bank = 34, Pin name = IO_L5N_T0_34,						Sch name = CD
+#set_property PACKAGE_PIN L4 [get_ports {seg[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}]
+##Bank = 34, Pin name = IO_L2P_T0_34,						Sch name = CE
+#set_property PACKAGE_PIN K3 [get_ports {seg[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}]
+##Bank = 34, Pin name = IO_L4N_T0_34,						Sch name = CF
+#set_property PACKAGE_PIN M2 [get_ports {seg[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}]
+##Bank = 34, Pin name = IO_L6P_T0_34,						Sch name = CG
+#set_property PACKAGE_PIN L6 [get_ports {seg[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]
+
+##Bank = 34, Pin name = IO_L16P_T2_34,						Sch name = DP
+#set_property PACKAGE_PIN M4 [get_ports dp]							
+	#set_property IOSTANDARD LVCMOS33 [get_ports dp]
+
+##Bank = 34, Pin name = IO_L18N_T2_34,						Sch name = AN0
+#set_property PACKAGE_PIN N6 [get_ports {an[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
+##Bank = 34, Pin name = IO_L18P_T2_34,						Sch name = AN1
+#set_property PACKAGE_PIN M6 [get_ports {an[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
+##Bank = 34, Pin name = IO_L4P_T0_34,						Sch name = AN2
+#set_property PACKAGE_PIN M3 [get_ports {an[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
+##Bank = 34, Pin name = IO_L13_T2_MRCC_34,					Sch name = AN3
+#set_property PACKAGE_PIN N5 [get_ports {an[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
+##Bank = 34, Pin name = IO_L3P_T0_DQS_34,					Sch name = AN4
+#set_property PACKAGE_PIN N2 [get_ports {an[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[4]}]
+##Bank = 34, Pin name = IO_L16N_T2_34,						Sch name = AN5
+#set_property PACKAGE_PIN N4 [get_ports {an[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[5]}]
+##Bank = 34, Pin name = IO_L1P_T0_34,						Sch name = AN6
+#set_property PACKAGE_PIN L1 [get_ports {an[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[6]}]
+##Bank = 34, Pin name = IO_L1N_T034,							Sch name = AN7
+#set_property PACKAGE_PIN M1 [get_ports {an[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {an[7]}]
+
+
+
+##Buttons
+##Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15,				Sch name = CPU_RESET
+set_property PACKAGE_PIN C12 [get_ports btnCpuReset]				
+	set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
+##Bank = 15, Pin name = IO_L11N_T1_SRCC_15,					Sch name = BTNC
+#set_property PACKAGE_PIN E16 [get_ports btnC]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports btnC]
+##Bank = 15, Pin name = IO_L14P_T2_SRCC_15,					Sch name = BTNU
+set_property PACKAGE_PIN F15 [get_ports btnU]						
+	set_property IOSTANDARD LVCMOS33 [get_ports btnU]
+##Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14,	Sch name = BTNL
+#set_property PACKAGE_PIN T16 [get_ports btnL]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports btnL]
+##Bank = 14, Pin name = IO_25_14,							Sch name = BTNR
+#set_property PACKAGE_PIN R10 [get_ports btnR]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports btnR]
+##Bank = 14, Pin name = IO_L21P_T3_DQS_14,					Sch name = BTND
+#set_property PACKAGE_PIN V10 [get_ports btnD]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports btnD]
+ 
+
+
+##Pmod Header JA
+##Bank = 15, Pin name = IO_L1N_T0_AD0N_15,					Sch name = JA1
+#set_property PACKAGE_PIN B13 [get_ports {JA[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
+##Bank = 15, Pin name = IO_L5N_T0_AD9N_15,					Sch name = JA2
+#set_property PACKAGE_PIN F14 [get_ports {JA[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
+##Bank = 15, Pin name = IO_L16N_T2_A27_15,					Sch name = JA3
+#set_property PACKAGE_PIN D17 [get_ports {JA[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
+##Bank = 15, Pin name = IO_L16P_T2_A28_15,					Sch name = JA4
+#set_property PACKAGE_PIN E17 [get_ports {JA[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
+##Bank = 15, Pin name = IO_0_15,								Sch name = JA7
+#set_property PACKAGE_PIN G13 [get_ports {JA[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
+##Bank = 15, Pin name = IO_L20N_T3_A19_15,					Sch name = JA8
+#set_property PACKAGE_PIN C17 [get_ports {JA[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
+##Bank = 15, Pin name = IO_L21N_T3_A17_15,					Sch name = JA9
+#set_property PACKAGE_PIN D18 [get_ports {JA[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
+##Bank = 15, Pin name = IO_L21P_T3_DQS_15,					Sch name = JA10
+#set_property PACKAGE_PIN E18 [get_ports {JA[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
+
+
+
+##Pmod Header JB
+##Bank = 15, Pin name = IO_L15N_T2_DQS_ADV_B_15,				Sch name = JB1
+#set_property PACKAGE_PIN G14 [get_ports {JB[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
+##Bank = 14, Pin name = IO_L13P_T2_MRCC_14,					Sch name = JB2
+#set_property PACKAGE_PIN P15 [get_ports {JB[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
+##Bank = 14, Pin name = IO_L21N_T3_DQS_A06_D22_14,			Sch name = JB3
+#set_property PACKAGE_PIN V11 [get_ports {JB[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
+##Bank = CONFIG, Pin name = IO_L16P_T2_CSI_B_14,				Sch name = JB4
+#set_property PACKAGE_PIN V15 [get_ports {JB[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
+##Bank = 15, Pin name = IO_25_15,							Sch name = JB7
+#set_property PACKAGE_PIN K16 [get_ports {JB[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
+##Bank = CONFIG, Pin name = IO_L15P_T2_DQS_RWR_B_14,			Sch name = JB8
+#set_property PACKAGE_PIN R16 [get_ports {JB[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
+##Bank = 14, Pin name = IO_L24P_T3_A01_D17_14,				Sch name = JB9
+#set_property PACKAGE_PIN T9 [get_ports {JB[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
+##Bank = 14, Pin name = IO_L19N_T3_A09_D25_VREF_14,			Sch name = JB10 
+#set_property PACKAGE_PIN U11 [get_ports {JB[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
+ 
+
+
+##Pmod Header JC
+##Bank = 35, Pin name = IO_L23P_T3_35,						Sch name = JC1
+#set_property PACKAGE_PIN K2 [get_ports {JC[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
+##Bank = 35, Pin name = IO_L6P_T0_35,						Sch name = JC2
+#set_property PACKAGE_PIN E7 [get_ports {JC[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
+##Bank = 35, Pin name = IO_L22P_T3_35,						Sch name = JC3
+#set_property PACKAGE_PIN J3 [get_ports {JC[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
+##Bank = 35, Pin name = IO_L21P_T3_DQS_35,					Sch name = JC4
+#set_property PACKAGE_PIN J4 [get_ports {JC[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
+##Bank = 35, Pin name = IO_L23N_T3_35,						Sch name = JC7
+#set_property PACKAGE_PIN K1 [get_ports {JC[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
+##Bank = 35, Pin name = IO_L5P_T0_AD13P_35,					Sch name = JC8
+#set_property PACKAGE_PIN E6 [get_ports {JC[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
+##Bank = 35, Pin name = IO_L22N_T3_35,						Sch name = JC9
+#set_property PACKAGE_PIN J2 [get_ports {JC[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
+##Bank = 35, Pin name = IO_L19P_T3_35,						Sch name = JC10
+#set_property PACKAGE_PIN G6 [get_ports {JC[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
+ 
+
+ 
+##Pmod Header JD
+##Bank = 35, Pin name = IO_L21N_T2_DQS_35,					Sch name = JD1
+#set_property PACKAGE_PIN H4 [get_ports {JD[0]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[0]}]
+##Bank = 35, Pin name = IO_L17P_T2_35,						Sch name = JD2
+#set_property PACKAGE_PIN H1 [get_ports {JD[1]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[1]}]
+##Bank = 35, Pin name = IO_L17N_T2_35,						Sch name = JD3
+#set_property PACKAGE_PIN G1 [get_ports {JD[2]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[2]}]
+##Bank = 35, Pin name = IO_L20N_T3_35,						Sch name = JD4
+#set_property PACKAGE_PIN G3 [get_ports {JD[3]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[3]}]
+##Bank = 35, Pin name = IO_L15P_T2_DQS_35,					Sch name = JD7
+#set_property PACKAGE_PIN H2 [get_ports {JD[4]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[4]}]
+##Bank = 35, Pin name = IO_L20P_T3_35,						Sch name = JD8
+#set_property PACKAGE_PIN G4 [get_ports {JD[5]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[5]}]
+##Bank = 35, Pin name = IO_L15N_T2_DQS_35,					Sch name = JD9
+#set_property PACKAGE_PIN G2 [get_ports {JD[6]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[6]}]
+##Bank = 35, Pin name = IO_L13N_T2_MRCC_35,					Sch name = JD10
+#set_property PACKAGE_PIN F3 [get_ports {JD[7]}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JD[7]}]
+ 
+
+
+##Pmod Header JXADC
+##Bank = 15, Pin name = IO_L9P_T1_DQS_AD3P_15,				Sch name = XADC1_P -> XA1_P
+#set_property PACKAGE_PIN A13 [get_ports {JXADC[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
+##Bank = 15, Pin name = IO_L8P_T1_AD10P_15,					Sch name = XADC2_P -> XA2_P
+#set_property PACKAGE_PIN A15 [get_ports {JXADC[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
+##Bank = 15, Pin name = IO_L7P_T1_AD2P_15,					Sch name = XADC3_P -> XA3_P
+#set_property PACKAGE_PIN B16 [get_ports {JXADC[2]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
+##Bank = 15, Pin name = IO_L10P_T1_AD11P_15,					Sch name = XADC4_P -> XA4_P
+#set_property PACKAGE_PIN B18 [get_ports {JXADC[3]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
+##Bank = 15, Pin name = IO_L9N_T1_DQS_AD3N_15,				Sch name = XADC1_N -> XA1_N
+#set_property PACKAGE_PIN A14 [get_ports {JXADC[4]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
+##Bank = 15, Pin name = IO_L8N_T1_AD10N_15,					Sch name = XADC2_N -> XA2_N
+#set_property PACKAGE_PIN A16 [get_ports {JXADC[5]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
+##Bank = 15, Pin name = IO_L7N_T1_AD2N_15,					Sch name = XADC3_N -> XA3_N 
+#set_property PACKAGE_PIN B17 [get_ports {JXADC[6]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
+##Bank = 15, Pin name = IO_L10N_T1_AD11N_15,					Sch name = XADC4_N -> XA4_N
+#set_property PACKAGE_PIN A18 [get_ports {JXADC[7]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
+
+
+
+##VGA Connector
+#Bank = 35, Pin name = IO_L8N_T1_AD14N_35,					Sch name = VGA_R0
+set_property PACKAGE_PIN A3 [get_ports {vgaRed[0]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}]
+#Bank = 35, Pin name = IO_L7N_T1_AD6N_35,					Sch name = VGA_R1
+set_property PACKAGE_PIN B4 [get_ports {vgaRed[1]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}]
+#Bank = 35, Pin name = IO_L1N_T0_AD4N_35,					Sch name = VGA_R2
+set_property PACKAGE_PIN C5 [get_ports {vgaRed[2]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}]
+#Bank = 35, Pin name = IO_L8P_T1_AD14P_35,					Sch name = VGA_R3
+set_property PACKAGE_PIN A4 [get_ports {vgaRed[3]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}]
+#Bank = 35, Pin name = IO_L2P_T0_AD12P_35,					Sch name = VGA_B0
+set_property PACKAGE_PIN B7 [get_ports {vgaBlue[0]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}]
+#Bank = 35, Pin name = IO_L4N_T0_35,						Sch name = VGA_B1
+set_property PACKAGE_PIN C7 [get_ports {vgaBlue[1]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}]
+#Bank = 35, Pin name = IO_L6N_T0_VREF_35,					Sch name = VGA_B2
+set_property PACKAGE_PIN D7 [get_ports {vgaBlue[2]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}]
+#Bank = 35, Pin name = IO_L4P_T0_35,						Sch name = VGA_B3
+set_property PACKAGE_PIN D8 [get_ports {vgaBlue[3]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}]
+#Bank = 35, Pin name = IO_L1P_T0_AD4P_35,					Sch name = VGA_G0
+set_property PACKAGE_PIN C6 [get_ports {vgaGreen[0]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}]
+#Bank = 35, Pin name = IO_L3N_T0_DQS_AD5N_35,				Sch name = VGA_G1
+set_property PACKAGE_PIN A5 [get_ports {vgaGreen[1]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}]
+#Bank = 35, Pin name = IO_L2N_T0_AD12N_35,					Sch name = VGA_G2
+set_property PACKAGE_PIN B6 [get_ports {vgaGreen[2]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}]
+#Bank = 35, Pin name = IO_L3P_T0_DQS_AD5P_35,				Sch name = VGA_G3
+set_property PACKAGE_PIN A6 [get_ports {vgaGreen[3]}]				
+	set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}]
+#Bank = 15, Pin name = IO_L4P_T0_15,						Sch name = VGA_HS
+set_property PACKAGE_PIN B11 [get_ports Hsync]						
+	set_property IOSTANDARD LVCMOS33 [get_ports Hsync]
+#Bank = 15, Pin name = IO_L3N_T0_DQS_AD1N_15,				Sch name = VGA_VS
+set_property PACKAGE_PIN B12 [get_ports Vsync]						
+	set_property IOSTANDARD LVCMOS33 [get_ports Vsync]
+
+
+
+##Micro SD Connector
+##Bank = 35, Pin name = IO_L14P_T2_SRCC_35,					Sch name = SD_RESET
+#set_property PACKAGE_PIN E2 [get_ports sdReset]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports sdReset]
+##Bank = 35, Pin name = IO_L9N_T1_DQS_AD7N_35,				Sch name = SD_CD
+#set_property PACKAGE_PIN A1 [get_ports sdCD]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports sdCD]
+##Bank = 35, Pin name = IO_L9P_T1_DQS_AD7P_35,				Sch name = SD_SCK
+#set_property PACKAGE_PIN B1 [get_ports sdSCK]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports sdSCK]
+##Bank = 35, Pin name = IO_L16N_T2_35,						Sch name = SD_CMD
+#set_property PACKAGE_PIN C1 [get_ports sdCmd]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports sdCmd]
+##Bank = 35, Pin name = IO_L16P_T2_35,						Sch name = SD_DAT0
+#set_property PACKAGE_PIN C2 [get_ports {sdData[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sdData[0]}]
+##Bank = 35, Pin name = IO_L18N_T2_35,						Sch name = SD_DAT1
+#set_property PACKAGE_PIN E1 [get_ports {sdData[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sdData[1]}]
+##Bank = 35, Pin name = IO_L18P_T2_35,						Sch name = SD_DAT2
+#set_property PACKAGE_PIN F1 [get_ports {sdData[2]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sdData[2]}]
+##Bank = 35, Pin name = IO_L14N_T2_SRCC_35,					Sch name = SD_DAT3
+#set_property PACKAGE_PIN D2 [get_ports {sdData[3]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {sdData[3]}]
+
+
+
+##Accelerometer
+##Bank = 15, Pin name = IO_L6N_T0_VREF_15,					Sch name = ACL_MISO
+#set_property PACKAGE_PIN D13 [get_ports aclMISO]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclMISO]
+##Bank = 15, Pin name = IO_L2N_T0_AD8N_15,					Sch name = ACL_MOSI
+#set_property PACKAGE_PIN B14 [get_ports aclMOSI]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclMOSI]
+##Bank = 15, Pin name = IO_L12P_T1_MRCC_15,					Sch name = ACL_SCLK
+#set_property PACKAGE_PIN D15 [get_ports aclSCK]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclSCK]
+##Bank = 15, Pin name = IO_L12N_T1_MRCC_15,					Sch name = ACL_CSN
+#set_property PACKAGE_PIN C15 [get_ports aclSS]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclSS]
+##Bank = 15, Pin name = IO_L20P_T3_A20_15,					Sch name = ACL_INT1
+#set_property PACKAGE_PIN C16 [get_ports aclInt1]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclInt1]
+##Bank = 15, Pin name = IO_L11P_T1_SRCC_15,					Sch name = ACL_INT2
+#set_property PACKAGE_PIN E15 [get_ports aclInt2]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports aclInt2]
+
+
+
+##Temperature Sensor
+##Bank = 15, Pin name = IO_L14N_T2_SRCC_15,					Sch name = TMP_SCL
+#set_property PACKAGE_PIN F16 [get_ports tmpSCL]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports tmpSCL]
+##Bank = 15, Pin name = IO_L13N_T2_MRCC_15,					Sch name = TMP_SDA
+#set_property PACKAGE_PIN G16 [get_ports tmpSDA]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports tmpSDA]
+##Bank = 15, Pin name = IO_L1P_T0_AD0P_15,					Sch name = TMP_INT
+#set_property PACKAGE_PIN D14 [get_ports tmpInt]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports tmpInt]
+##Bank = 15, Pin name = IO_L1N_T0_AD0N_15,					Sch name = TMP_CT
+#set_property PACKAGE_PIN C14 [get_ports tmpCT]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports tmpCT]
+
+
+
+##Omnidirectional Microphone
+##Bank = 35, Pin name = IO_25_35,							Sch name = M_CLK
+#set_property PACKAGE_PIN J5 [get_ports micClk]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports micClk]
+##Bank = 35, Pin name = IO_L24N_T3_35,						Sch name = M_DATA
+#set_property PACKAGE_PIN H5 [get_ports micData]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports micData]
+##Bank = 35, Pin name = IO_0_35,								Sch name = M_LRSEL
+#set_property PACKAGE_PIN F5 [get_ports micLRSel]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports micLRSel]
+
+
+
+##PWM Audio Amplifier
+##Bank = 15, Pin name = IO_L4N_T0_15,						Sch name = AUD_PWM
+#set_property PACKAGE_PIN A11 [get_ports ampPWM]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports ampPWM]
+##Bank = 15, Pin name = IO_L6P_T0_15,						Sch name = AUD_SD
+#set_property PACKAGE_PIN D12 [get_ports ampSD]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports ampSD]
+
+
+##USB-RS232 Interface
+##Bank = 35, Pin name = IO_L7P_T1_AD6P_35,					Sch name = UART_TXD_IN
+#set_property PACKAGE_PIN C4 [get_ports RsRx]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
+##Bank = 35, Pin name = IO_L11N_T1_SRCC_35,					Sch name = UART_RXD_OUT
+#set_property PACKAGE_PIN D4 [get_ports RsTx]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
+##Bank = 35, Pin name = IO_L12N_T1_MRCC_35,					Sch name = UART_CTS
+#set_property PACKAGE_PIN D3 [get_ports RsCts]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports RsCts]
+##Bank = 35, Pin name = IO_L5N_T0_AD13N_35,					Sch name = UART_RTS
+#set_property PACKAGE_PIN E5 [get_ports RsRts]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports RsRts]
+
+
+
+##USB HID (PS/2)
+##Bank = 35, Pin name = IO_L13P_T2_MRCC_35,					Sch name = PS2_CLK
+#set_property PACKAGE_PIN F4 [get_ports PS2Clk]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk]
+	#set_property PULLUP true [get_ports PS2Clk]
+##Bank = 35, Pin name = IO_L10N_T1_AD15N_35,					Sch name = PS2_DATA
+#set_property PACKAGE_PIN B2 [get_ports PS2Data]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PS2Data]	
+	#set_property PULLUP true [get_ports PS2Data]
+
+
+
+##SMSC Ethernet PHY
+##Bank = 16, Pin name = IO_L11P_T1_SRCC_16,					Sch name = ETH_MDC
+#set_property PACKAGE_PIN C9 [get_ports PhyMdc]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyMdc]
+##Bank = 16, Pin name = IO_L14N_T2_SRCC_16,					Sch name = ETH_MDIO
+#set_property PACKAGE_PIN A9 [get_ports PhyMdio]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyMdio]
+##Bank = 35, Pin name = IO_L10P_T1_AD15P_35,					Sch name = ETH_RSTN
+#set_property PACKAGE_PIN B3 [get_ports PhyRstn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyRstn]
+##Bank = 16, Pin name = IO_L6N_T0_VREF_16,					Sch name = ETH_CRSDV
+#set_property PACKAGE_PIN D9 [get_ports PhyCrs]						
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyCrs]
+##Bank = 16, Pin name = IO_L13N_T2_MRCC_16,					Sch name = ETH_RXERR
+#set_property PACKAGE_PIN C10 [get_ports PhyRxErr]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyRxErr]
+##Bank = 16, Pin name = IO_L19N_T3_VREF_16,					Sch name = ETH_RXD0
+#set_property PACKAGE_PIN D10 [get_ports {PhyRxd[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[0]}]
+##Bank = 16, Pin name = IO_L13P_T2_MRCC_16,					Sch name = ETH_RXD1
+#set_property PACKAGE_PIN C11 [get_ports {PhyRxd[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {PhyRxd[1]}]
+##Bank = 16, Pin name = IO_L11N_T1_SRCC_16,					Sch name = ETH_TXEN
+#set_property PACKAGE_PIN B9 [get_ports PhyTxEn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyTxEn]
+##Bank = 16, Pin name = IO_L14P_T2_SRCC_16,					Sch name = ETH_TXD0
+#set_property PACKAGE_PIN A10 [get_ports {PhyTxd[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[0]}]
+##Bank = 16, Pin name = IO_L12N_T1_MRCC_16,					Sch name = ETH_TXD1
+#set_property PACKAGE_PIN A8 [get_ports {PhyTxd[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {PhyTxd[1]}]
+##Bank = 35, Pin name = IO_L11P_T1_SRCC_35,					Sch name = ETH_REFCLK
+#set_property PACKAGE_PIN D5 [get_ports PhyClk50Mhz]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyClk50Mhz]
+##Bank = 16, Pin name = IO_L12P_T1_MRCC_16,					Sch name = ETH_INTN
+#set_property PACKAGE_PIN B8 [get_ports PhyIntn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports PhyIntn]
+
+
+
+##Quad SPI Flash
+##Bank = CONFIG, Pin name = CCLK_0,							Sch name = QSPI_SCK
+#set_property PACKAGE_PIN E9 [get_ports {QspiSCK}]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports {QspiSCK}]
+##Bank = CONFIG, Pin name = IO_L1P_T0_D00_MOSI_14,			Sch name = QSPI_DQ0
+#set_property PACKAGE_PIN K17 [get_ports {QspiDB[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
+##Bank = CONFIG, Pin name = IO_L1N_T0_D01_DIN_14,			Sch name = QSPI_DQ1
+#set_property PACKAGE_PIN K18 [get_ports {QspiDB[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
+##Bank = CONFIG, Pin name = IO_L20_T0_D02_14,				Sch name = QSPI_DQ2
+#set_property PACKAGE_PIN L14 [get_ports {QspiDB[2]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
+##Bank = CONFIG, Pin name = IO_L2P_T0_D03_14,				Sch name = QSPI_DQ3
+#set_property PACKAGE_PIN M14 [get_ports {QspiDB[3]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
+##Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14,	Sch name = QSPI_CSN
+#set_property PACKAGE_PIN L13 [get_ports QspiCSn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
+
+
+
+##Cellular RAM
+##Bank = 14, Pin name = IO_L14N_T2_SRCC_14,					Sch name = CRAM_CLK
+#set_property PACKAGE_PIN T15 [get_ports RamCLK]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamCLK]
+##Bank = 14, Pin name = IO_L23P_T3_A03_D19_14,				Sch name = CRAM_ADVN
+#set_property PACKAGE_PIN T13 [get_ports RamADVn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamADVn]
+##Bank = 14, Pin name = IO_L4P_T0_D04_14,					Sch name = CRAM_CEN
+#set_property PACKAGE_PIN L18 [get_ports RamCEn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamCEn]
+##Bank = 15, Pin name = IO_L19P_T3_A22_15,					Sch name = CRAM_CRE
+#set_property PACKAGE_PIN J14 [get_ports RamCRE]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamCRE]
+##Bank = 15, Pin name = IO_L15P_T2_DQS_15,					Sch name = CRAM_OEN
+#set_property PACKAGE_PIN H14 [get_ports RamOEn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamOEn]
+##Bank = 14, Pin name = IO_0_14,								Sch name = CRAM_WEN
+#set_property PACKAGE_PIN R11 [get_ports RamWEn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamWEn]
+##Bank = 15, Pin name = IO_L24N_T3_RS0_15,					Sch name = CRAM_LBN
+#set_property PACKAGE_PIN J15 [get_ports RamLBn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamLBn]
+##Bank = 15, Pin name = IO_L17N_T2_A25_15,					Sch name = CRAM_UBN
+#set_property PACKAGE_PIN J13 [get_ports RamUBn]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamUBn]
+##Bank = 14, Pin name = IO_L14P_T2_SRCC_14,					Sch name = CRAM_WAIT
+#set_property PACKAGE_PIN T14 [get_ports RamWait]					
+	#set_property IOSTANDARD LVCMOS33 [get_ports RamWait]
+
+##Bank = 14, Pin name = IO_L5P_T0_DQ06_14,					Sch name = CRAM_DQ0
+#set_property PACKAGE_PIN R12 [get_ports {MemDB[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[0]}]
+##Bank = 14, Pin name = IO_L19P_T3_A10_D26_14,				Sch name = CRAM_DQ1
+#set_property PACKAGE_PIN T11 [get_ports {MemDB[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[1]}]
+##Bank = 14, Pin name = IO_L20P_T3_A08)D24_14,				Sch name = CRAM_DQ2
+#set_property PACKAGE_PIN U12 [get_ports {MemDB[2]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[2]}]
+##Bank = 14, Pin name = IO_L5N_T0_D07_14,					Sch name = CRAM_DQ3
+#set_property PACKAGE_PIN R13 [get_ports {MemDB[3]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[3]}]
+##Bank = 14, Pin name = IO_L17N_T2_A13_D29_14,				Sch name = CRAM_DQ4
+#set_property PACKAGE_PIN U18 [get_ports {MemDB[4]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[4]}]
+##Bank = 14, Pin name = IO_L12N_T1_MRCC_14,					Sch name = CRAM_DQ5
+#set_property PACKAGE_PIN R17 [get_ports {MemDB[5]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[5]}]
+##Bank = 14, Pin name = IO_L7N_T1_D10_14,					Sch name = CRAM_DQ6
+#set_property PACKAGE_PIN T18 [get_ports {MemDB[6]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[6]}]
+##Bank = 14, Pin name = IO_L7P_T1_D09_14,					Sch name = CRAM_DQ7
+#set_property PACKAGE_PIN R18 [get_ports {MemDB[7]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[7]}]
+##Bank = 15, Pin name = IO_L22N_T3_A16_15,					Sch name = CRAM_DQ8
+#set_property PACKAGE_PIN F18 [get_ports {MemDB[8]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[8]}]
+##Bank = 15, Pin name = IO_L22P_T3_A17_15,					Sch name = CRAM_DQ9
+#set_property PACKAGE_PIN G18 [get_ports {MemDB[9]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[9]}]
+##Bank = 15, Pin name = IO_IO_L18N_T2_A23_15,				Sch name = CRAM_DQ10
+#set_property PACKAGE_PIN G17 [get_ports {MemDB[10]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[10]}]
+##Bank = 14, Pin name = IO_L4N_T0_D05_14,					Sch name = CRAM_DQ11
+#set_property PACKAGE_PIN M18 [get_ports {MemDB[11]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[11]}]
+##Bank = 14, Pin name = IO_L10N_T1_D15_14,					Sch name = CRAM_DQ12
+#set_property PACKAGE_PIN M17 [get_ports {MemDB[12]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[12]}]
+##Bank = 14, Pin name = IO_L9N_T1_DQS_D13_14,				Sch name = CRAM_DQ13
+#set_property PACKAGE_PIN P18 [get_ports {MemDB[13]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[13]}]
+##Bank = 14, Pin name = IO_L9P_T1_DQS_14,					Sch name = CRAM_DQ14
+#set_property PACKAGE_PIN N17 [get_ports {MemDB[14]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[14]}]
+##Bank = 14, Pin name = IO_L12P_T1_MRCC_14,					Sch name = CRAM_DQ15
+#set_property PACKAGE_PIN P17 [get_ports {MemDB[15]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemDB[15]}]
+
+##Bank = 15, Pin name = IO_L23N_T3_FWE_B_15,					Sch name = CRAM_A0
+#set_property PACKAGE_PIN J18 [get_ports {MemAdr[0]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[0]}]
+##Bank = 15, Pin name = IO_L18P_T2_A24_15,					Sch name = CRAM_A1
+#set_property PACKAGE_PIN H17 [get_ports {MemAdr[1]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[1]}]
+##Bank = 15, Pin name = IO_L19N_T3_A21_VREF_15,				Sch name = CRAM_A2
+#set_property PACKAGE_PIN H15 [get_ports {MemAdr[2]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[2]}]
+##Bank = 15, Pin name = IO_L23P_T3_FOE_B_15,					Sch name = CRAM_A3
+#set_property PACKAGE_PIN J17 [get_ports {MemAdr[3]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[3]}]
+##Bank = 15, Pin name = IO_L13P_T2_MRCC_15,					Sch name = CRAM_A4
+#set_property PACKAGE_PIN H16 [get_ports {MemAdr[4]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[4]}]
+##Bank = 15, Pin name = IO_L24P_T3_RS1_15,					Sch name = CRAM_A5
+#set_property PACKAGE_PIN K15 [get_ports {MemAdr[5]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[5]}]
+##Bank = 15, Pin name = IO_L17P_T2_A26_15,					Sch name = CRAM_A6
+#set_property PACKAGE_PIN K13 [get_ports {MemAdr[6]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[6]}]
+##Bank = 14, Pin name = IO_L11P_T1_SRCC_14,					Sch name = CRAM_A7
+#set_property PACKAGE_PIN N15 [get_ports {MemAdr[7]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[7]}]
+##Bank = 14, Pin name = IO_L16N_T2_SRCC-14,					Sch name = CRAM_A8
+#set_property PACKAGE_PIN V16 [get_ports {MemAdr[8]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[8]}]
+##Bank = 14, Pin name = IO_L22P_T3_A05_D21_14,				Sch name = CRAM_A9
+#set_property PACKAGE_PIN U14 [get_ports {MemAdr[9]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[9]}]
+##Bank = 14, Pin name = IO_L22N_T3_A04_D20_14,				Sch name = CRAM_A10
+#set_property PACKAGE_PIN V14 [get_ports {MemAdr[10]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[10]}]
+##Bank = 14, Pin name = IO_L20N_T3_A07_D23_14,				Sch name = CRAM_A11
+#set_property PACKAGE_PIN V12 [get_ports {MemAdr[11]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[11]}]
+##Bank = 14, Pin name = IO_L8N_T1_D12_14,					Sch name = CRAM_A12
+#set_property PACKAGE_PIN P14 [get_ports {MemAdr[12]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[12]}]
+##Bank = 14, Pin name = IO_L18P_T2_A12_D28_14,				Sch name = CRAM_A13
+#set_property PACKAGE_PIN U16 [get_ports {MemAdr[13]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[13]}]
+##Bank = 14, Pin name = IO_L13N_T2_MRCC_14,					Sch name = CRAM_A14
+#set_property PACKAGE_PIN R15 [get_ports {MemAdr[14]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[14]}]
+##Bank = 14, Pin name = IO_L8P_T1_D11_14,					Sch name = CRAM_A15
+#set_property PACKAGE_PIN N14 [get_ports {MemAdr[15]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[15]}]
+##Bank = 14, Pin name = IO_L11N_T1_SRCC_14,					Sch name = CRAM_A16
+#set_property PACKAGE_PIN N16 [get_ports {MemAdr[16]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[16]}]
+##Bank = 14, Pin name = IO_L6N_T0_D08_VREF_14,				Sch name = CRAM_A17
+#set_property PACKAGE_PIN M13 [get_ports {MemAdr[17]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[17]}]
+##Bank = 14, Pin name = IO_L18N_T2_A11_D27_14,				Sch name = CRAM_A18
+#set_property PACKAGE_PIN V17 [get_ports {MemAdr[18]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[18]}]
+##Bank = 14, Pin name = IO_L17P_T2_A14_D30_14,				Sch name = CRAM_A19
+#set_property PACKAGE_PIN U17 [get_ports {MemAdr[19]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[19]}]
+##Bank = 14, Pin name = IO_L24N_T3_A00_D16_14,				Sch name = CRAM_A20
+#set_property PACKAGE_PIN T10 [get_ports {MemAdr[20]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[20]}]
+##Bank = 14, Pin name = IO_L10P_T1_D14_14,					Sch name = CRAM_A21
+#set_property PACKAGE_PIN M16 [get_ports {MemAdr[21]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[21]}]	
+##Bank = 14, Pin name = IO_L23N_T3_A02_D18_14,				Sch name = CRAM_A22
+#set_property PACKAGE_PIN U13 [get_ports {MemAdr[22]}]				
+	#set_property IOSTANDARD LVCMOS33 [get_ports {MemAdr[22]}]
+	
diff --git a/game.srcs/sim_1/new/TopModule_tb.vhd b/game.srcs/sim_1/new/TopModule_tb.vhd
new file mode 100644
index 0000000..2f95d9b
--- /dev/null
+++ b/game.srcs/sim_1/new/TopModule_tb.vhd
@@ -0,0 +1,91 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 11:10:14 AM
+-- Design Name: 
+-- Module Name: TopModule_tb - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity TopModule_tb is
+--  Port ( );
+end TopModule_tb;
+
+architecture Test of TopModule_tb is
+
+component TopModule
+    port( clk, btnCpuReset : in std_logic;
+      btnU: in std_logic;
+      vgaRed : out std_logic_vector(3 downto 0);
+      vgaBlue : out std_logic_vector(3 downto 0);
+      vgaGreen : out std_logic_vector(3 downto 0);
+      Hsync, Vsync : out std_logic
+     ); 
+end component;
+
+signal  btnCpuReset_i, Hsync_i, Vsync_i, btnU_i : std_logic;
+signal clk_i : std_logic := '0';
+signal vgaRed_i : std_logic_vector(3 downto 0);
+signal vgaBlue_i :  std_logic_vector(3 downto 0);
+signal vgaGreen_i :  std_logic_vector(3 downto 0);
+constant period: time:= 10ns;
+
+begin
+
+DUT: TopModule port map(
+    clk => clk_i,
+    btnCpuReset => btnCpuReset_i,
+    btnU => btnU_i,
+    Hsync => Hsync_i,
+    Vsync => Vsync_i,
+    vgaRed => vgaRed_i,
+    vgaBlue => vgaBlue_i,
+    vgaGreen => vgaGreen_i
+    );
+    
+ clk_i <= not clk_i after period/2;
+
+Stimulus : process
+
+    begin
+    
+    btnCpuReset_i <= '1';
+    btnU_i <= '0';
+    wait for 10* period;
+    btnCpuReset_i <= '0';
+    wait for 10* period;
+    btnCpuReset_i <= '1';
+    wait for 100* period;
+    btnU_i <= '1';
+    wait for 100* period;
+    btnU_i <= '0';
+    wait;
+
+end process Stimulus;
+
+
+end Test;
diff --git a/game.srcs/sim_1/new/vga_tb.vhd b/game.srcs/sim_1/new/vga_tb.vhd
new file mode 100644
index 0000000..8cad940
--- /dev/null
+++ b/game.srcs/sim_1/new/vga_tb.vhd
@@ -0,0 +1,72 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 11:51:05 AM
+-- Design Name: 
+-- Module Name: vga_tb - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity vga_tb is
+--  Port ( );
+end vga_tb;
+
+architecture Test of vga_tb is
+
+component vga is
+Port ( clk_pixel, btnCpuReset_v : in std_logic;
+      color : in std_logic_vector (11 downto 0);
+      vgaRed_v : out std_logic_vector(3 downto 0);
+      vgaBlue_v : out std_logic_vector(3 downto 0);
+      vgaGreen_v : out std_logic_vector(3 downto 0);
+      Hsync_v, Vsync_v : out std_logic;
+      HCounter : out integer range 1 to 800;
+      VCounter : out integer range 1 to 525;
+      FCounter : out integer range 0 to 4095;
+      FStrobe  : out std_logic
+     );  
+ end component;
+     
+signal btnCpuReset_i, Hsync_i, Vsync_i : std_logic;
+signal clk_pixel_i : std_logic := '0';
+signal color_i : std_logic_vector(11 downto 0);
+
+begin
+DUT: vga port map(
+    clk_pixel => clk_pixel_i,
+    color => color_i,
+    btnCpuReset_v => btnCpuReset_i,
+    Hsync_v => Hsync_i,
+    Vsync_v => Vsync_i
+    );
+    
+
+
+
+
+
+end Test;
diff --git a/game.srcs/sources_1/bd/design_1/design_1.bd b/game.srcs/sources_1/bd/design_1/design_1.bd
new file mode 100644
index 0000000..b5a37ec
--- /dev/null
+++ b/game.srcs/sources_1/bd/design_1/design_1.bd
@@ -0,0 +1,13 @@
+{
+  "design": {
+    "design_info": {
+      "boundary_crc": "0x0",
+      "gen_directory": "../../../../game.gen/sources_1/bd/design_1",
+      "name": "design_1",
+      "rev_ctrl_bd_flag": "RevCtrlBdOff",
+      "synth_flow_mode": "Hierarchical",
+      "tool_version": "2022.2"
+    },
+    "design_tree": {}
+  }
+}
\ No newline at end of file
diff --git a/game.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/game.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
new file mode 100644
index 0000000..aeea98b
--- /dev/null
+++ b/game.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui
@@ -0,0 +1,12 @@
+{
+   "ActiveEmotionalView":"Default View",
+   "Default View_ScaleFactor":"1.0",
+   "Default View_TopLeft":"-904,-419",
+   "ExpandedHierarchyInLayout":"",
+   "guistr":"# # String gsaved with Nlview 7.0r4  2019-12-20 bk=1.5203 VDI=41 GEI=36 GUI=JA:10.0 TLS
+#  -string -flagsOSRD
+levelinfo -pg 1 0 10
+pagesize -pg 1 -db -bbox -sgen 0 0 10 10
+"
+}
+
diff --git a/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci b/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
new file mode 100644
index 0000000..b360203
--- /dev/null
+++ b/game.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
@@ -0,0 +1,671 @@
+{
+  "schema": "xilinx.com:schema:json_instance:1.0",
+  "ip_inst": {
+    "xci_name": "clk_wiz_0",
+    "component_reference": "xilinx.com:ip:clk_wiz:6.0",
+    "ip_revision": "11",
+    "gen_directory": "../../../../game.gen/sources_1/ip/clk_wiz_0",
+    "parameters": {
+      "component_parameters": {
+        "Component_Name": [ { "value": "clk_wiz_0", "resolve_type": "user", "usage": "all" } ],
+        "USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "ENABLE_CLOCK_MONITOR": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK3": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "Enable_PLL0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "Enable_PLL1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRECISION": [ { "value": "1", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIMITIVE": [ { "value": "MMCM", "resolve_type": "user", "usage": "all" } ],
+        "PRIMTYPE_SEL": [ { "value": "mmcm_adv", "resolve_type": "user", "usage": "all" } ],
+        "CLOCK_MGR_TYPE": [ { "value": "auto", "resolve_type": "user", "usage": "all" } ],
+        "USE_FREQ_SYNTH": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_SPREAD_SPECTRUM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_PHASE_ALIGNMENT": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_MIN_POWER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_DYN_PHASE_SHIFT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_DYN_RECONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "user", "usage": "all" } ],
+        "PRIM_IN_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "user", "usage": "all" } ],
+        "PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "user", "usage": "all" } ],
+        "IN_JITTER_UNITS": [ { "value": "Units_UI", "resolve_type": "user", "usage": "all" } ],
+        "RELATIVE_INCLK": [ { "value": "REL_PRIMARY", "resolve_type": "user", "usage": "all" } ],
+        "USE_INCLK_SWITCHOVER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "SECONDARY_IN_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "user", "usage": "all" } ],
+        "SECONDARY_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "user", "usage": "all" } ],
+        "JITTER_OPTIONS": [ { "value": "UI", "resolve_type": "user", "usage": "all" } ],
+        "CLKIN1_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN2_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN1_JITTER_PS": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN2_JITTER_PS": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_USED": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT2_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT3_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT4_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT5_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT6_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT7_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLK_OUT1_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT2_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT3_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT4_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT5_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT6_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT7_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "user", "usage": "all" } ],
+        "DADDR_PORT": [ { "value": "daddr", "resolve_type": "user", "usage": "all" } ],
+        "DCLK_PORT": [ { "value": "dclk", "resolve_type": "user", "usage": "all" } ],
+        "DRDY_PORT": [ { "value": "drdy", "resolve_type": "user", "usage": "all" } ],
+        "DWE_PORT": [ { "value": "dwe", "resolve_type": "user", "usage": "all" } ],
+        "DIN_PORT": [ { "value": "din", "resolve_type": "user", "usage": "all" } ],
+        "DOUT_PORT": [ { "value": "dout", "resolve_type": "user", "usage": "all" } ],
+        "DEN_PORT": [ { "value": "den", "resolve_type": "user", "usage": "all" } ],
+        "PSCLK_PORT": [ { "value": "psclk", "resolve_type": "user", "usage": "all" } ],
+        "PSEN_PORT": [ { "value": "psen", "resolve_type": "user", "usage": "all" } ],
+        "PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "user", "usage": "all" } ],
+        "PSDONE_PORT": [ { "value": "psdone", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "25", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USE_MAX_I_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_MIN_O_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PRIM_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT1_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT2_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT3_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT4_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT5_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT6_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT7_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "user", "usage": "all" } ],
+        "PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "user", "usage": "all" } ],
+        "SUMMARY_STRINGS": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ],
+        "USE_LOCKED": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CALC_DONE": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ],
+        "USE_RESET": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_POWER_DOWN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_STATUS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_FREEZE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLK_VALID": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_INCLK_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLKFB_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "RESET_PORT": [ { "value": "resetn", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+        "LOCKED_PORT": [ { "value": "locked", "resolve_type": "user", "usage": "all" } ],
+        "POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "user", "usage": "all" } ],
+        "CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "user", "usage": "all" } ],
+        "STATUS_PORT": [ { "value": "STATUS", "resolve_type": "user", "usage": "all" } ],
+        "CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "user", "usage": "all" } ],
+        "INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "user", "usage": "all" } ],
+        "SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "user", "usage": "all" } ],
+        "SS_MOD_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "OVERRIDE_MMCM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_CLKFBOUT_MULT_F": [ { "value": "9.125", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKIN1_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKIN2_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_CASCADE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLOCK_HOLD": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_COMPENSATION": [ { "value": "ZHOLD", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_STARTUP_WAIT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT0_DIVIDE_F": [ { "value": "36.500", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "OVERRIDE_PLL": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PLL_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ],
+        "PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "user", "usage": "all" } ],
+        "PLL_CLKFBOUT_MULT": [ { "value": "4", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "user", "usage": "all" } ],
+        "PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKIN_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "user", "usage": "all" } ],
+        "PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "RESET_TYPE": [ { "value": "ACTIVE_LOW", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+        "USE_SAFE_CLOCK_STARTUP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLOCK_SEQUENCING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "USE_BOARD_FLOW": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "DIFF_CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "DIFF_CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "AUTO_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "user", "usage": "all" } ],
+        "RESET_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "ENABLE_CDDC": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "user", "usage": "all" } ],
+        "CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "user", "usage": "all" } ],
+        "ENABLE_CLKOUTPHY": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUTPHY_REQUESTED_FREQ": [ { "value": "600.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_JITTER": [ { "value": "181.828", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_PHASE_ERROR": [ { "value": "104.359", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "INPUT_MODE": [ { "value": "frequency", "resolve_type": "user", "usage": "all" } ],
+        "INTERFACE_SELECTION": [ { "value": "Enable_AXI", "resolve_type": "user", "usage": "all" } ],
+        "AXI_DRP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PHASE_DUTY_CONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ]
+      },
+      "model_parameters": {
+        "C_CLKOUT2_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_AUTO_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "generated", "usage": "all" } ],
+        "C_USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_ENABLE_CLOCK_MONITOR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_Enable_PLL0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_Enable_PLL1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRECISION": [ { "value": "1", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT4_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT5_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT6_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT7_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT1_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT2_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT3_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT4_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "c_component_name": [ { "value": "clk_wiz_0", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_FREQ_SYNTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_PHASE_ALIGNMENT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_MIN_POWER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_MIN_O_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_MAX_I_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_DYN_PHASE_SHIFT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_INCLK_SWITCHOVER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_DYN_RECONFIG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_SPREAD_SPECTRUM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_FAST_SIMULATION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIMTYPE_SEL": [ { "value": "AUTO", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_CLK_VALID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIM_IN_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_IN_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "resolve_type": "generated", "usage": "all" } ],
+        "C_PRIM_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "generated", "usage": "all" } ],
+        "C_PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_RESET": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_RESET_LOW": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_LOCKED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_INCLK_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKFB_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_POWER_DOWN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_STATUS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_FREEZE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT1_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT7_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW0": [ { "value": "Input Clock   Freq (MHz)    Input Jitter (UI)", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW1": [ { "value": "__primary_________100.000____________0.010", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW2": [ { "value": "no_secondary_input_clock ", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW0A": [ { "value": " Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW0B": [ { "value": "  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW1": [ { "value": "clk_out1__25.00000______0.000______50.0______181.828____104.359", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW2": [ { "value": "no_CLK_OUT2_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW3": [ { "value": "no_CLK_OUT3_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW4": [ { "value": "no_CLK_OUT4_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW5": [ { "value": "no_CLK_OUT5_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW6": [ { "value": "no_CLK_OUT6_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW7": [ { "value": "no_CLK_OUT7_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "25", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_OUT_FREQ": [ { "value": "25.00000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_DUTY_CYCLE": [ { "value": "50.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USE_SAFE_CLOCK_STARTUP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLOCK_SEQUENCING": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_NOTES": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_MULT_F": [ { "value": "9.125", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKIN1_PERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKIN2_PERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_CASCADE": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ],
+        "C_MMCM_CLOCK_HOLD": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ],
+        "C_MMCM_COMPENSATION": [ { "value": "ZHOLD", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_STARTUP_WAIT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_DIVIDE_F": [ { "value": "36.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_NOTES": [ { "value": "No notes", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_CLKFBOUT_MULT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKIN_PERIOD": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLOCK_MGR_TYPE": [ { "value": "NA", "resolve_type": "generated", "usage": "all" } ],
+        "C_OVERRIDE_MMCM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_OVERRIDE_PLL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "generated", "usage": "all" } ],
+        "C_RESET_PORT": [ { "value": "resetn", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCKED_PORT": [ { "value": "locked", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "generated", "usage": "all" } ],
+        "C_POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "generated", "usage": "all" } ],
+        "C_DADDR_PORT": [ { "value": "daddr", "resolve_type": "generated", "usage": "all" } ],
+        "C_DCLK_PORT": [ { "value": "dclk", "resolve_type": "generated", "usage": "all" } ],
+        "C_DRDY_PORT": [ { "value": "drdy", "resolve_type": "generated", "usage": "all" } ],
+        "C_DWE_PORT": [ { "value": "dwe", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIN_PORT": [ { "value": "din", "resolve_type": "generated", "usage": "all" } ],
+        "C_DOUT_PORT": [ { "value": "dout", "resolve_type": "generated", "usage": "all" } ],
+        "C_DEN_PORT": [ { "value": "den", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSCLK_PORT": [ { "value": "psclk", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSEN_PORT": [ { "value": "psen", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSDONE_PORT": [ { "value": "psdone", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "generated", "usage": "all" } ],
+        "C_STATUS_PORT": [ { "value": "STATUS", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "generated", "usage": "all" } ],
+        "C_INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKIN1_JITTER_PS": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKIN2_JITTER_PS": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "generated", "usage": "all" } ],
+        "C_SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "generated", "usage": "all" } ],
+        "C_SS_MOD_PERIOD": [ { "value": "4000", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_HAS_CDDC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "generated", "usage": "all" } ],
+        "C_CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUTPHY_MODE": [ { "value": "VCO", "resolve_type": "generated", "usage": "all" } ],
+        "C_ENABLE_CLKOUTPHY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_INTERFACE_SELECTION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_S_AXI_ADDR_WIDTH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_POWER_REG": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFBOUT_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFBOUT_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVCLK": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_3": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_FILTER_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_FILTER_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE1_AUTO": [ { "value": "1", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE2_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE3_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE4_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE5_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE6_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE7_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV5": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV6": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV7": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_ACTUAL_FREQ": [ { "value": "25.00000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_M_MAX": [ { "value": "64.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_M_MIN": [ { "value": "2.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_D_MAX": [ { "value": "80.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_D_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_O_MAX": [ { "value": "128.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_O_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_VCO_MIN": [ { "value": "600.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_VCO_MAX": [ { "value": "1200.000", "resolve_type": "generated", "format": "float", "usage": "all" } ]
+      },
+      "project_parameters": {
+        "ARCHITECTURE": [ { "value": "artix7" } ],
+        "BASE_BOARD_PART": [ { "value": "" } ],
+        "BOARD_CONNECTIONS": [ { "value": "" } ],
+        "DEVICE": [ { "value": "xc7a100t" } ],
+        "PACKAGE": [ { "value": "csg324" } ],
+        "PREFHDL": [ { "value": "VHDL" } ],
+        "SILICON_REVISION": [ { "value": "" } ],
+        "SIMULATOR_LANGUAGE": [ { "value": "VHDL" } ],
+        "SPEEDGRADE": [ { "value": "-1" } ],
+        "STATIC_POWER": [ { "value": "" } ],
+        "TEMPERATURE_GRADE": [ { "value": "" } ],
+        "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+        "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+      },
+      "runtime_parameters": {
+        "IPCONTEXT": [ { "value": "IP_Flow" } ],
+        "IPREVISION": [ { "value": "11" } ],
+        "MANAGED": [ { "value": "TRUE" } ],
+        "OUTPUTDIR": [ { "value": "../../../../game.gen/sources_1/ip/clk_wiz_0" } ],
+        "SELECTEDSIMMODEL": [ { "value": "" } ],
+        "SHAREDDIR": [ { "value": "." } ],
+        "SWVERSION": [ { "value": "2022.2" } ],
+        "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ]
+      }
+    },
+    "boundary": {
+      "ports": {
+        "resetn": [ { "direction": "in", "driver_value": "0" } ],
+        "clk_in1": [ { "direction": "in" } ],
+        "clk_out1": [ { "direction": "out" } ]
+      },
+      "interfaces": {
+        "resetn": {
+          "vlnv": "xilinx.com:signal:reset:1.0",
+          "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+          "mode": "slave",
+          "parameters": {
+            "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+            "BOARD.ASSOCIATED_PARAM": [ { "value": "RESET_BOARD_INTERFACE", "value_src": "constant", "usage": "all" } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+          },
+          "port_maps": {
+            "RST": [ { "physical_name": "resetn" } ]
+          }
+        },
+        "clock_CLK_IN1": {
+          "vlnv": "xilinx.com:signal:clock:1.0",
+          "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+          "mode": "slave",
+          "parameters": {
+            "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+            "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+            "BOARD.ASSOCIATED_PARAM": [ { "value": "CLK_IN1_BOARD_INTERFACE", "usage": "all", "is_static_object": false } ]
+          },
+          "port_maps": {
+            "CLK_IN1": [ { "physical_name": "clk_in1" } ]
+          }
+        },
+        "clock_CLK_OUT1": {
+          "vlnv": "xilinx.com:signal:clock:1.0",
+          "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+          "mode": "master",
+          "parameters": {
+            "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+            "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+          },
+          "port_maps": {
+            "CLK_OUT1": [ { "physical_name": "clk_out1" } ]
+          }
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/game.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci b/game.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci
new file mode 100644
index 0000000..09d70b9
--- /dev/null
+++ b/game.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci
@@ -0,0 +1,671 @@
+{
+  "schema": "xilinx.com:schema:json_instance:1.0",
+  "ip_inst": {
+    "xci_name": "clk_wiz_1",
+    "component_reference": "xilinx.com:ip:clk_wiz:6.0",
+    "ip_revision": "11",
+    "gen_directory": "../../../../game.gen/sources_1/ip/clk_wiz_1",
+    "parameters": {
+      "component_parameters": {
+        "Component_Name": [ { "value": "clk_wiz_1", "resolve_type": "user", "usage": "all" } ],
+        "USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "ENABLE_CLOCK_MONITOR": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK2": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "ENABLE_USER_CLOCK3": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "Enable_PLL0": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "Enable_PLL1": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRECISION": [ { "value": "1", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIMITIVE": [ { "value": "MMCM", "resolve_type": "user", "usage": "all" } ],
+        "PRIMTYPE_SEL": [ { "value": "mmcm_adv", "resolve_type": "user", "usage": "all" } ],
+        "CLOCK_MGR_TYPE": [ { "value": "auto", "resolve_type": "user", "usage": "all" } ],
+        "USE_FREQ_SYNTH": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_SPREAD_SPECTRUM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_PHASE_ALIGNMENT": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_MIN_POWER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_DYN_PHASE_SHIFT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_DYN_RECONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "user", "usage": "all" } ],
+        "PRIM_IN_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "user", "usage": "all" } ],
+        "PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "user", "usage": "all" } ],
+        "IN_JITTER_UNITS": [ { "value": "Units_UI", "resolve_type": "user", "usage": "all" } ],
+        "RELATIVE_INCLK": [ { "value": "REL_PRIMARY", "resolve_type": "user", "usage": "all" } ],
+        "USE_INCLK_SWITCHOVER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "SECONDARY_IN_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "user", "usage": "all" } ],
+        "SECONDARY_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "user", "usage": "all" } ],
+        "JITTER_OPTIONS": [ { "value": "UI", "resolve_type": "user", "usage": "all" } ],
+        "CLKIN1_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN2_UI_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN1_JITTER_PS": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKIN2_JITTER_PS": [ { "value": "100.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_USED": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT2_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT3_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT4_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT5_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT6_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT7_USED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLK_OUT1_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT2_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT3_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT4_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT5_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT6_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_OUT7_USE_FINE_PS_GUI": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "user", "usage": "all" } ],
+        "CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "user", "usage": "all" } ],
+        "DADDR_PORT": [ { "value": "daddr", "resolve_type": "user", "usage": "all" } ],
+        "DCLK_PORT": [ { "value": "dclk", "resolve_type": "user", "usage": "all" } ],
+        "DRDY_PORT": [ { "value": "drdy", "resolve_type": "user", "usage": "all" } ],
+        "DWE_PORT": [ { "value": "dwe", "resolve_type": "user", "usage": "all" } ],
+        "DIN_PORT": [ { "value": "din", "resolve_type": "user", "usage": "all" } ],
+        "DOUT_PORT": [ { "value": "dout", "resolve_type": "user", "usage": "all" } ],
+        "DEN_PORT": [ { "value": "den", "resolve_type": "user", "usage": "all" } ],
+        "PSCLK_PORT": [ { "value": "psclk", "resolve_type": "user", "usage": "all" } ],
+        "PSEN_PORT": [ { "value": "psen", "resolve_type": "user", "usage": "all" } ],
+        "PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "user", "usage": "all" } ],
+        "PSDONE_PORT": [ { "value": "psdone", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "25.000", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "USE_MAX_I_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_MIN_O_JITTER": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PRIM_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT1_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT2_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT3_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT4_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT5_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT6_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "CLKOUT7_DRIVES": [ { "value": "BUFG", "resolve_type": "user", "usage": "all" } ],
+        "FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "user", "usage": "all" } ],
+        "PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "user", "usage": "all" } ],
+        "SUMMARY_STRINGS": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ],
+        "USE_LOCKED": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CALC_DONE": [ { "value": "empty", "resolve_type": "user", "usage": "all" } ],
+        "USE_RESET": [ { "value": "true", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_POWER_DOWN": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_STATUS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_FREEZE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLK_VALID": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_INCLK_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLKFB_STOPPED": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "RESET_PORT": [ { "value": "resetn", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+        "LOCKED_PORT": [ { "value": "locked", "resolve_type": "user", "usage": "all" } ],
+        "POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "user", "usage": "all" } ],
+        "CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "user", "usage": "all" } ],
+        "STATUS_PORT": [ { "value": "STATUS", "resolve_type": "user", "usage": "all" } ],
+        "CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "user", "usage": "all" } ],
+        "INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "user", "usage": "all" } ],
+        "CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "user", "usage": "all" } ],
+        "SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "user", "usage": "all" } ],
+        "SS_MOD_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "OVERRIDE_MMCM": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_CLKFBOUT_MULT_F": [ { "value": "9.125", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKIN1_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKIN2_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_CASCADE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLOCK_HOLD": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_COMPENSATION": [ { "value": "ZHOLD", "resolve_type": "user", "usage": "all" } ],
+        "MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_STARTUP_WAIT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT0_DIVIDE_F": [ { "value": "36.500", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "OVERRIDE_PLL": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PLL_NOTES": [ { "value": "None", "resolve_type": "user", "usage": "all" } ],
+        "PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "user", "usage": "all" } ],
+        "PLL_CLKFBOUT_MULT": [ { "value": "4", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "user", "usage": "all" } ],
+        "PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKIN_PERIOD": [ { "value": "10.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "user", "usage": "all" } ],
+        "PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "RESET_TYPE": [ { "value": "ACTIVE_LOW", "value_src": "user", "resolve_type": "user", "usage": "all" } ],
+        "USE_SAFE_CLOCK_STARTUP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "USE_CLOCK_SEQUENCING": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ],
+        "USE_BOARD_FLOW": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "DIFF_CLK_IN1_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "DIFF_CLK_IN2_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "AUTO_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "user", "usage": "all" } ],
+        "RESET_BOARD_INTERFACE": [ { "value": "Custom", "resolve_type": "user", "usage": "all" } ],
+        "ENABLE_CDDC": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "user", "usage": "all" } ],
+        "CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "user", "usage": "all" } ],
+        "ENABLE_CLKOUTPHY": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "CLKOUTPHY_REQUESTED_FREQ": [ { "value": "600.000", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_JITTER": [ { "value": "181.828", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT1_PHASE_ERROR": [ { "value": "104.359", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT2_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT3_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT4_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT5_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT6_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_JITTER": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "CLKOUT7_PHASE_ERROR": [ { "value": "0.0", "resolve_type": "user", "format": "float", "usage": "all" } ],
+        "INPUT_MODE": [ { "value": "frequency", "resolve_type": "user", "usage": "all" } ],
+        "INTERFACE_SELECTION": [ { "value": "Enable_AXI", "resolve_type": "user", "usage": "all" } ],
+        "AXI_DRP": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ],
+        "PHASE_DUTY_CONFIG": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ]
+      },
+      "model_parameters": {
+        "C_CLKOUT2_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USER_CLK_FREQ0": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_AUTO_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "generated", "usage": "all" } ],
+        "C_USER_CLK_FREQ1": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USER_CLK_FREQ2": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USER_CLK_FREQ3": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_ENABLE_CLOCK_MONITOR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK2": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_ENABLE_USER_CLOCK3": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_Enable_PLL0": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_Enable_PLL1": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_REF_CLK_FREQ": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRECISION": [ { "value": "1", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT4_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT5_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT6_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT7_USED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT1_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT2_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT3_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKOUT4_BAR": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "c_component_name": [ { "value": "clk_wiz_1", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLATFORM": [ { "value": "UNKNOWN", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_FREQ_SYNTH": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_PHASE_ALIGNMENT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIM_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_SECONDARY_IN_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_JITTER_SEL": [ { "value": "No_Jitter", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_MIN_POWER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_MIN_O_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_MAX_I_JITTER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_DYN_PHASE_SHIFT": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_OPTIMIZE_CLOCKING_STRUCTURE_EN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_INCLK_SWITCHOVER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_DYN_RECONFIG": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_SPREAD_SPECTRUM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_FAST_SIMULATION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIMTYPE_SEL": [ { "value": "AUTO", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_CLK_VALID": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIM_IN_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRIM_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_IN_FREQ_UNITS": [ { "value": "Units_MHz", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_IN_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_SECONDARY_IN_TIMEPERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_FEEDBACK_SOURCE": [ { "value": "FDBK_AUTO", "resolve_type": "generated", "usage": "all" } ],
+        "C_PRIM_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "generated", "usage": "all" } ],
+        "C_PHASESHIFT_MODE": [ { "value": "WAVEFORM", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_SOURCE": [ { "value": "Single_ended_clock_capable_pin", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_SIGNALING": [ { "value": "SINGLE", "resolve_type": "generated", "usage": "all" } ],
+        "C_USE_RESET": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_RESET_LOW": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_LOCKED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_INCLK_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLKFB_STOPPED": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_POWER_DOWN": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_STATUS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_FREEZE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_NUM_OUT_CLKS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT1_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT7_DRIVES": [ { "value": "BUFG", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW0": [ { "value": "Input Clock   Freq (MHz)    Input Jitter (UI)", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW1": [ { "value": "__primary_________100.000____________0.010", "resolve_type": "generated", "usage": "all" } ],
+        "C_INCLK_SUM_ROW2": [ { "value": "no_secondary_input_clock ", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW0A": [ { "value": " Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW0B": [ { "value": "  Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW1": [ { "value": "clk_out1__25.00000______0.000______50.0______181.828____104.359", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW2": [ { "value": "no_CLK_OUT2_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW3": [ { "value": "no_CLK_OUT3_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW4": [ { "value": "no_CLK_OUT4_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW5": [ { "value": "no_CLK_OUT5_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW6": [ { "value": "no_CLK_OUT6_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_OUTCLK_SUM_ROW7": [ { "value": "no_CLK_OUT7_output", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_OUT_FREQ": [ { "value": "25.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_REQUESTED_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_OUT_FREQ": [ { "value": "25.00000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_OUT_FREQ": [ { "value": "100.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT1_DUTY_CYCLE": [ { "value": "50.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT2_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT3_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT4_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT5_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT6_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKOUT7_DUTY_CYCLE": [ { "value": "50.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_USE_SAFE_CLOCK_STARTUP": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_USE_CLOCK_SEQUENCING": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT1_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT2_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT3_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT4_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT5_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT6_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CLKOUT7_SEQUENCE_NUMBER": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_NOTES": [ { "value": "None", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_MULT_F": [ { "value": "9.125", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKIN1_PERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKIN2_PERIOD": [ { "value": "10.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_CASCADE": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ],
+        "C_MMCM_CLOCK_HOLD": [ { "value": "FALSE", "resolve_type": "generated", "format": "bool", "usage": "all" } ],
+        "C_MMCM_COMPENSATION": [ { "value": "ZHOLD", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_REF_JITTER1": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_REF_JITTER2": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_STARTUP_WAIT": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_DIVIDE_F": [ { "value": "36.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_MMCM_CLKFBOUT_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT0_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT1_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT2_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT3_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT4_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT5_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCM_CLKOUT6_USE_FINE_PS": [ { "value": "FALSE", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_NOTES": [ { "value": "No notes", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_BANDWIDTH": [ { "value": "OPTIMIZED", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_CLK_FEEDBACK": [ { "value": "CLKFBOUT", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_CLKFBOUT_MULT": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKIN_PERIOD": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_COMPENSATION": [ { "value": "SYSTEM_SYNCHRONOUS", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLL_DIVCLK_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_REF_JITTER": [ { "value": "0.010", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT0_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT1_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT2_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT3_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT4_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT5_DIVIDE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PLL_CLKOUT0_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT1_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT2_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT3_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT4_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT5_DUTY_CYCLE": [ { "value": "0.500", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKFBOUT_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT0_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT1_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT2_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT3_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT4_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PLL_CLKOUT5_PHASE": [ { "value": "0.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLOCK_MGR_TYPE": [ { "value": "NA", "resolve_type": "generated", "usage": "all" } ],
+        "C_OVERRIDE_MMCM": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_OVERRIDE_PLL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_PRIMARY_PORT": [ { "value": "clk_in1", "resolve_type": "generated", "usage": "all" } ],
+        "C_SECONDARY_PORT": [ { "value": "clk_in2", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT1_PORT": [ { "value": "clk_out1", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT2_PORT": [ { "value": "clk_out2", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT3_PORT": [ { "value": "clk_out3", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT4_PORT": [ { "value": "clk_out4", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT5_PORT": [ { "value": "clk_out5", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT6_PORT": [ { "value": "clk_out6", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_OUT7_PORT": [ { "value": "clk_out7", "resolve_type": "generated", "usage": "all" } ],
+        "C_RESET_PORT": [ { "value": "resetn", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCKED_PORT": [ { "value": "locked", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_PORT": [ { "value": "clkfb_in", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_P_PORT": [ { "value": "clkfb_in_p", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_IN_N_PORT": [ { "value": "clkfb_in_n", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_PORT": [ { "value": "clkfb_out", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_P_PORT": [ { "value": "clkfb_out_p", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_OUT_N_PORT": [ { "value": "clkfb_out_n", "resolve_type": "generated", "usage": "all" } ],
+        "C_POWER_DOWN_PORT": [ { "value": "power_down", "resolve_type": "generated", "usage": "all" } ],
+        "C_DADDR_PORT": [ { "value": "daddr", "resolve_type": "generated", "usage": "all" } ],
+        "C_DCLK_PORT": [ { "value": "dclk", "resolve_type": "generated", "usage": "all" } ],
+        "C_DRDY_PORT": [ { "value": "drdy", "resolve_type": "generated", "usage": "all" } ],
+        "C_DWE_PORT": [ { "value": "dwe", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIN_PORT": [ { "value": "din", "resolve_type": "generated", "usage": "all" } ],
+        "C_DOUT_PORT": [ { "value": "dout", "resolve_type": "generated", "usage": "all" } ],
+        "C_DEN_PORT": [ { "value": "den", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSCLK_PORT": [ { "value": "psclk", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSEN_PORT": [ { "value": "psen", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSINCDEC_PORT": [ { "value": "psincdec", "resolve_type": "generated", "usage": "all" } ],
+        "C_PSDONE_PORT": [ { "value": "psdone", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_VALID_PORT": [ { "value": "CLK_VALID", "resolve_type": "generated", "usage": "all" } ],
+        "C_STATUS_PORT": [ { "value": "STATUS", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLK_IN_SEL_PORT": [ { "value": "clk_in_sel", "resolve_type": "generated", "usage": "all" } ],
+        "C_INPUT_CLK_STOPPED_PORT": [ { "value": "input_clk_stopped", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFB_STOPPED_PORT": [ { "value": "clkfb_stopped", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKIN1_JITTER_PS": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_CLKIN2_JITTER_PS": [ { "value": "100.0", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_PRIMITIVE": [ { "value": "MMCM", "resolve_type": "generated", "usage": "all" } ],
+        "C_SS_MODE": [ { "value": "CENTER_HIGH", "resolve_type": "generated", "usage": "all" } ],
+        "C_SS_MOD_PERIOD": [ { "value": "4000", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_SS_MOD_TIME": [ { "value": "0.004", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_HAS_CDDC": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_CDDCDONE_PORT": [ { "value": "cddcdone", "resolve_type": "generated", "usage": "all" } ],
+        "C_CDDCREQ_PORT": [ { "value": "cddcreq", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUTPHY_MODE": [ { "value": "VCO", "resolve_type": "generated", "usage": "all" } ],
+        "C_ENABLE_CLKOUTPHY": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_INTERFACE_SELECTION": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_S_AXI_ADDR_WIDTH": [ { "value": "11", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_S_AXI_DATA_WIDTH": [ { "value": "32", "resolve_type": "generated", "format": "long", "usage": "all" } ],
+        "C_POWER_REG": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFBOUT_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKFBOUT_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVCLK": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_LOCK_3": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_FILTER_1": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_FILTER_2": [ { "value": "0000", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE1_AUTO": [ { "value": "1", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE2_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE3_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE4_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE5_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE6_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_DIVIDE7_AUTO": [ { "value": "0.0273972602739726", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_PLLBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV1": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV2": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV3": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV4": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV5": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV6": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_MMCMBUFGCEDIV7": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT7_MATCHED_ROUTING": [ { "value": "false", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT0_ACTUAL_FREQ": [ { "value": "25.00000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT1_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT2_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT3_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT4_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT5_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_CLKOUT6_ACTUAL_FREQ": [ { "value": "100.000", "resolve_type": "generated", "usage": "all" } ],
+        "C_M_MAX": [ { "value": "64.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_M_MIN": [ { "value": "2.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_D_MAX": [ { "value": "80.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_D_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_O_MAX": [ { "value": "128.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_O_MIN": [ { "value": "1.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_VCO_MIN": [ { "value": "600.000", "resolve_type": "generated", "format": "float", "usage": "all" } ],
+        "C_VCO_MAX": [ { "value": "1200.000", "resolve_type": "generated", "format": "float", "usage": "all" } ]
+      },
+      "project_parameters": {
+        "ARCHITECTURE": [ { "value": "artix7" } ],
+        "BASE_BOARD_PART": [ { "value": "" } ],
+        "BOARD_CONNECTIONS": [ { "value": "" } ],
+        "DEVICE": [ { "value": "xc7a100t" } ],
+        "PACKAGE": [ { "value": "csg324" } ],
+        "PREFHDL": [ { "value": "VHDL" } ],
+        "SILICON_REVISION": [ { "value": "" } ],
+        "SIMULATOR_LANGUAGE": [ { "value": "VHDL" } ],
+        "SPEEDGRADE": [ { "value": "-1" } ],
+        "STATIC_POWER": [ { "value": "" } ],
+        "TEMPERATURE_GRADE": [ { "value": "" } ],
+        "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ],
+        "USE_RDI_GENERATION": [ { "value": "TRUE" } ]
+      },
+      "runtime_parameters": {
+        "IPCONTEXT": [ { "value": "IP_Flow" } ],
+        "IPREVISION": [ { "value": "11" } ],
+        "MANAGED": [ { "value": "TRUE" } ],
+        "OUTPUTDIR": [ { "value": "../../../../game.gen/sources_1/ip/clk_wiz_1" } ],
+        "SELECTEDSIMMODEL": [ { "value": "" } ],
+        "SHAREDDIR": [ { "value": "." } ],
+        "SWVERSION": [ { "value": "2022.2" } ],
+        "SYNTHESISFLOW": [ { "value": "GLOBAL" } ]
+      }
+    },
+    "boundary": {
+      "ports": {
+        "resetn": [ { "direction": "in", "driver_value": "0" } ],
+        "clk_in1": [ { "direction": "in" } ],
+        "clk_out1": [ { "direction": "out" } ]
+      },
+      "interfaces": {
+        "resetn": {
+          "vlnv": "xilinx.com:signal:reset:1.0",
+          "abstraction_type": "xilinx.com:signal:reset_rtl:1.0",
+          "mode": "slave",
+          "parameters": {
+            "POLARITY": [ { "value": "ACTIVE_LOW", "value_src": "constant", "usage": "all" } ],
+            "BOARD.ASSOCIATED_PARAM": [ { "value": "RESET_BOARD_INTERFACE", "value_src": "constant", "usage": "all" } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+          },
+          "port_maps": {
+            "RST": [ { "physical_name": "resetn" } ]
+          }
+        },
+        "clock_CLK_IN1": {
+          "vlnv": "xilinx.com:signal:clock:1.0",
+          "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+          "mode": "slave",
+          "parameters": {
+            "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+            "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ],
+            "BOARD.ASSOCIATED_PARAM": [ { "value": "CLK_IN1_BOARD_INTERFACE", "usage": "all", "is_static_object": false } ]
+          },
+          "port_maps": {
+            "CLK_IN1": [ { "physical_name": "clk_in1" } ]
+          }
+        },
+        "clock_CLK_OUT1": {
+          "vlnv": "xilinx.com:signal:clock:1.0",
+          "abstraction_type": "xilinx.com:signal:clock_rtl:1.0",
+          "mode": "master",
+          "parameters": {
+            "FREQ_HZ": [ { "value": "100000000", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "FREQ_TOLERANCE_HZ": [ { "value": "0", "resolve_type": "generated", "format": "long", "is_ips_inferred": true, "is_static_object": false } ],
+            "PHASE": [ { "value": "0.0", "resolve_type": "generated", "format": "float", "is_ips_inferred": true, "is_static_object": false } ],
+            "CLK_DOMAIN": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_BUSIF": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_PORT": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "ASSOCIATED_RESET": [ { "value": "", "resolve_type": "generated", "is_ips_inferred": true, "is_static_object": false } ],
+            "INSERT_VIP": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "simulation.rtl", "is_ips_inferred": true, "is_static_object": false } ]
+          },
+          "port_maps": {
+            "CLK_OUT1": [ { "physical_name": "clk_out1" } ]
+          }
+        }
+      }
+    }
+  }
+}
\ No newline at end of file
diff --git a/game.srcs/sources_1/new/TopModule.vhd b/game.srcs/sources_1/new/TopModule.vhd
new file mode 100644
index 0000000..a757503
--- /dev/null
+++ b/game.srcs/sources_1/new/TopModule.vhd
@@ -0,0 +1,256 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 10:26:07 AM
+-- Design Name: 
+-- Module Name: TopModule - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use work.jumpnrun_sizes.all;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity TopModule is
+    port( clk, btnCpuReset : in std_logic;
+          btnU : in std_logic;
+          vgaRed : out std_logic_vector(3 downto 0);
+          vgaBlue : out std_logic_vector(3 downto 0);
+          vgaGreen : out std_logic_vector(3 downto 0);
+          Hsync, Vsync : out std_logic;
+          led : out std_logic_vector (15 downto 0)
+    );
+end TopModule;
+
+architecture Behavioral of TopModule is
+
+component clk_wiz_0 
+    port (  clk_out1 : out std_logic; 
+            resetn : in std_logic; 
+            clk_in1 : in std_logic 
+            );
+end component clk_wiz_0;
+
+component vga
+    port ( 
+      clk_pixel, btnCpuReset_v : in std_logic;
+      color : in std_logic_vector (11 downto 0);
+      vgaRed_v : out std_logic_vector(3 downto 0);
+      vgaBlue_v : out std_logic_vector(3 downto 0);
+      vgaGreen_v : out std_logic_vector(3 downto 0);
+      Hsync_v, Vsync_v : out std_logic;
+      HCounter : out integer range 1 to 800;
+      VCounter : out integer range 1 to 525;
+      FCounter : out integer range 0 to MAX_FCOUNNT-1;
+      FStrobe  : out std_logic
+     ); 
+end component vga;
+
+component priority
+  Port ( 
+  color_prio : out std_logic_vector(11 downto 0);
+  en_runner : in boolean;
+  en_back : in boolean;
+  en_obstacleS: in boolean;
+  en_obstacleL: in boolean;
+  color_runner : in std_logic_vector(11 downto 0);
+  color_back : in std_logic_vector(11 downto 0);
+  color_obstacleS: in std_logic_vector(11 downto 0);
+  color_obstacleL: in std_logic_vector(11 downto 0)
+  );
+end component priority;
+
+component runner
+  Port (clk_pixel: in std_logic; 
+        btnU_r : in std_logic;
+        btnCpuReset_r : in std_logic;
+        HCounter_r : in integer range 1 to 800;
+        VCounter_r : in  integer range 1 to 525;
+        FCounter_r : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_r : in std_logic;
+        color_runner : out std_logic_vector (11 downto 0);
+        exists_runner : out boolean
+   );
+end component runner;
+
+component background is
+  Port (clk_pixel, btnCpuReset_b : in std_logic;
+      HCounter_b : in integer range 1 to 800;
+      VCounter_b : in  integer range 1 to 525;
+      FCounter_b : in integer range 0 to MAX_FCOUNNT-1;
+      FStrobe_b : in std_logic;
+      color_back: out std_logic_vector (11 downto 0);
+      exists_back : out boolean
+   );
+end component background;
+  
+component obstacle_S is
+  Port (clk_pixel: in std_logic; 
+        btnCpuReset_o : in std_logic;
+        HCounter_o : in integer range 1 to 800;
+        VCounter_o : in  integer range 1 to 525;
+        FCounter_o : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_o : in std_logic;
+        color_obstacle : out std_logic_vector (11 downto 0);
+        exists_obstacle : out boolean
+   );
+ end component obstacle_S;
+ 
+component obstacle_L is
+   Port (clk_pixel: in std_logic; 
+        btnCpuReset_o : in std_logic;
+        HCounter_o : in integer range 1 to 800;
+        VCounter_o : in  integer range 1 to 525;
+        FCounter_o : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_o : in std_logic;
+        color_obstacle : out std_logic_vector (11 downto 0);
+        exists_obstacle : out boolean
+  );
+end component obstacle_L;
+ 
+component collision is
+  Port ( 
+  clk_pixel: in std_logic; 
+  btnCpuReset_c : in std_logic;
+  en_runner : in boolean;
+  en_obstacleS : in boolean;
+  en_obstacleL: in boolean;
+  led : out std_logic_vector(15 downto 0)
+  );
+end component collision;
+
+  
+    
+-- Signals
+signal clk25 : std_logic;
+
+signal color_sig :  std_logic_vector (11 downto 0);
+signal color_runner_sig :  std_logic_vector (11 downto 0);
+signal color_background_sig :  std_logic_vector (11 downto 0);
+signal color_obstacleS_sig :  std_logic_vector (11 downto 0);
+signal color_obstacleL_sig :  std_logic_vector (11 downto 0);
+
+
+signal hcounter_sig : integer range 1 to 800;
+signal vcounter_sig : integer range 1 to 525;
+signal fcounter_sig : integer range 0 to MAX_FCOUNNT-1;
+signal fstrobe_sig  : std_logic;
+
+signal exist_runner_sig  : boolean;
+signal exist_background_sig : boolean;
+signal exists_obstacleS_sig : boolean;
+signal exists_obstacleL_sig : boolean;
+
+begin
+
+pixelClk : clk_wiz_0
+    port map( clk_in1 => clk,
+              resetn => btnCpuReset,
+              clk_out1 => clk25);
+              
+vgaInterface : vga
+      port map(
+      clk_pixel => clk25,
+      btnCpuReset_v => btnCpuReset,
+      color => color_sig,
+      vgaRed_v => vgaRed,
+      vgaBlue_v => vgaBlue,
+      vgaGreen_v => vgaGreen,
+      Hsync_v => Hsync,
+      Vsync_v => Vsync,
+      HCounter => hcounter_sig,
+      VCounter => vcounter_sig,
+      FCounter => fcounter_sig,
+      FStrobe  => fstrobe_sig
+      );
+              
+priorityLogic : priority
+  port map ( 
+  color_prio => color_sig,
+  en_runner => exist_runner_sig,
+  en_back => exist_background_sig,
+  color_runner => color_runner_sig,
+  color_back => color_background_sig,
+  color_obstacleS => color_obstacleS_sig,
+  en_obstacleS => exists_obstacleS_sig,
+   color_obstacleL => color_obstacleL_sig,
+  en_obstacleL => exists_obstacleL_sig
+  );
+  
+runnerObject : runner
+  port map (
+        clk_pixel => clk25, 
+        btnU_r  => btnU,
+        btnCpuReset_r => btnCpuReset,
+        HCounter_r => hcounter_sig,
+        VCounter_r => vcounter_sig,
+        FCounter_r => fcounter_sig,
+        FStrobe_r  => fstrobe_sig,
+        color_runner => color_runner_sig,
+        exists_runner => exist_runner_sig
+   );
+   
+backgroundObject : background
+  port map (
+      clk_pixel => clk25, 
+      btnCpuReset_b => btnCpuReset,
+      HCounter_b => hcounter_sig,
+      VCounter_b => vcounter_sig,
+      FCounter_b => fcounter_sig,
+      FStrobe_b => fstrobe_sig,
+      color_back => color_background_sig,
+      exists_back => exist_background_sig
+   );
+smallObstacle : obstacle_S
+   port map (clk_pixel => clk25, 
+        btnCpuReset_o=> btnCpuReset,
+        HCounter_o => hcounter_sig,
+        VCounter_o  => vcounter_sig,
+        FCounter_o => fcounter_sig,
+        FStrobe_o => fstrobe_sig,
+        color_obstacle => color_obstacleS_sig,
+        exists_obstacle => exists_obstacleS_sig
+   );
+largeObstacle : obstacle_L
+     Port map(clk_pixel => clk25,
+        btnCpuReset_o => btnCpuReset,
+        HCounter_o => hcounter_sig,
+        VCounter_o => vcounter_sig,
+        FCounter_o => fcounter_sig,
+        FStrobe_o => fstrobe_sig,
+        color_obstacle => color_obstacleL_sig,
+        exists_obstacle => exists_obstacleL_sig
+        );
+        
+        
+ collisionDetection : collision
+    port map(
+          clk_pixel => clk25,
+          btnCpuReset_c => btnCpuReset,
+          en_runner => exist_runner_sig,
+          en_obstacleS => exists_obstacleS_sig,
+          en_obstacleL => exists_obstacleL_sig,
+          led => led
+    );
+end Behavioral;
diff --git a/game.srcs/sources_1/new/background.vhd b/game.srcs/sources_1/new/background.vhd
new file mode 100644
index 0000000..0e5416c
--- /dev/null
+++ b/game.srcs/sources_1/new/background.vhd
@@ -0,0 +1,61 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 03:21:55 PM
+-- Design Name: 
+-- Module Name: background - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use work.jumpnrun_sizes.all;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity background is
+  Port (clk_pixel, btnCpuReset_b : in std_logic;
+      HCounter_b : in integer range 1 to 800;
+      VCounter_b : in  integer range 1 to 525;
+      FCounter_b : in integer range 0 to 4095;
+      FStrobe_b : in std_logic;
+      color_back: out std_logic_vector (11 downto 0);
+      exists_back : out boolean
+   );end background;
+
+architecture Behavioral of background is
+
+begin
+exists_back <= true;
+
+-- Draw background
+process(VCounter_b) 
+begin
+if (VCounter_b > GROUND_LEVEL) then
+            color_back <= x"4F5";
+    else
+            color_back <= x"49F";
+      end if;
+end process;
+
+
+end Behavioral;
diff --git a/game.srcs/sources_1/new/collision.vhd b/game.srcs/sources_1/new/collision.vhd
new file mode 100644
index 0000000..756c1c8
--- /dev/null
+++ b/game.srcs/sources_1/new/collision.vhd
@@ -0,0 +1,68 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/28/2023 03:34:56 PM
+-- Design Name: 
+-- Module Name: collision - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity collision is
+  Port ( 
+  clk_pixel: in std_logic; 
+  btnCpuReset_c : in std_logic;
+  en_runner : in boolean;
+  en_obstacleS : in boolean;
+  en_obstacleL: in boolean;
+  led : out std_logic_vector(15 downto 0)
+  );
+end collision;
+
+architecture Behavioral of collision is
+signal collision_cnt : std_logic_vector(15 downto 0);
+
+begin
+led <= collision_cnt;
+
+process(clk_pixel)
+    begin
+        if btnCpuReset_c = '0' then
+            collision_cnt <= x"0000";
+        elsif rising_edge(clk_pixel) then
+            if en_runner = true and (en_obstacleS = true or en_obstacleL = true) then 
+                -- collision
+                if collision_cnt = x"FFFF" then  --overflow
+                    collision_cnt <= x"0000";
+                else
+                    collision_cnt <= '1' & collision_cnt(15 downto 1);
+                end if;
+            else 
+                collision_cnt <= collision_cnt;
+            end if;
+       end if;
+end process;
+end Behavioral;
diff --git a/game.srcs/sources_1/new/header.vhd b/game.srcs/sources_1/new/header.vhd
new file mode 100644
index 0000000..ee61bd7
--- /dev/null
+++ b/game.srcs/sources_1/new/header.vhd
@@ -0,0 +1,62 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/28/2023 01:53:05 PM
+-- Design Name: 
+-- Module Name: header - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+package jumpnrun_sizes is 
+-- Framecounter
+constant MAX_FCOUNNT : integer := 65536;
+
+-- Obstacles
+constant LENGTH_SMALL_OBSTACLE: integer:= 15;  --px
+constant HEIGTH_SMALL_OBSTACLE: integer:= 25;  --px
+
+constant LENGTH_BIG_OBSTACLE: integer:= 15;  --px
+constant HEIGTH_BIG_OBSTACLE: integer:= 50;  --px
+
+constant GROUND_LEVEL : integer range 1 to 480 := 350;
+constant MOVE_INCREMENT : integer := 2; --px per frame
+
+constant VIRTUAL_SCREEN_LENGTH : integer := 1500; --px per frame
+
+constant OBST_L_START : integer := 1000; --px per frame
+
+-- Runner
+constant POS_X_RUNNER : integer := 100;
+constant LENGTH_RUNNER: integer:= 30;  --px
+constant HEIGTH_RUNNER: integer:= 50;  --px
+constant JUMP_HEIGTH : integer := 200; --px
+constant JUMP_INCREMENT : integer := 3; --px per frame
+-- 1 sec = 30 px jump incl. landing
+
+
+
+end package jumpnrun_sizes;
diff --git a/game.srcs/sources_1/new/obstacle.vhd b/game.srcs/sources_1/new/obstacle.vhd
new file mode 100644
index 0000000..0aa211d
--- /dev/null
+++ b/game.srcs/sources_1/new/obstacle.vhd
@@ -0,0 +1,110 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/28/2023 01:35:16 PM
+-- Design Name: 
+-- Module Name: obstacle - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+use work.jumpnrun_sizes.all;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity obstacle_S is
+  Port (clk_pixel: in std_logic; 
+        btnCpuReset_o : in std_logic;
+        HCounter_o : in integer range 1 to 800;
+        VCounter_o : in  integer range 1 to 525;
+        FCounter_o : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_o : in std_logic;
+        color_obstacle : out std_logic_vector (11 downto 0);
+        exists_obstacle : out boolean
+   );end obstacle_S;
+
+architecture Behavioral of obstacle_S is
+-- Signals
+signal pos_object_x_actual : integer range 1 to 640;
+signal pos_object_x_target : integer range 1 to VIRTUAL_SCREEN_LENGTH;
+
+signal pos_object_y_actual : integer range 1 to 480;
+signal pos_object_y_target: integer range 1 to 480;
+
+
+-- Constants
+
+
+
+begin
+-- Logic
+pos_object_y_target <= GROUND_LEVEL;
+
+
+
+-- detect Frame Strobe
+process(clk_pixel)
+begin
+    if rising_edge(clk_pixel) then
+        if FStrobe_o = '1' then 
+            pos_object_x_actual <= pos_object_x_target;
+            pos_object_y_actual <= pos_object_y_target;
+        else
+            pos_object_y_actual <= pos_object_y_actual;
+            pos_object_x_actual <= pos_object_x_actual;
+        end if;
+     end if;
+end process;
+
+-- Draw object
+process(HCounter_o, VCounter_o) 
+begin
+if (HCounter_o < (pos_object_x_actual + LENGTH_SMALL_OBSTACLE) and HCounter_o >= pos_object_x_actual and VCounter_o > (pos_object_y_actual - HEIGTH_SMALL_OBSTACLE) and VCounter_o <= pos_object_y_actual) then
+            exists_obstacle <= true;
+            color_obstacle <= x"D33";
+    else
+        exists_obstacle <= false;
+        color_obstacle <= x"000";
+      end if;
+end process;
+
+-- Move object
+process(clk_pixel)
+begin
+    if btnCpuReset_o = '0' then
+        pos_object_x_target <= 640;
+    elsif rising_edge(clk_pixel) then
+        if FStrobe_o = '1' then
+                 if pos_object_x_target <= 1 or pos_object_x_target >= VIRTUAL_SCREEN_LENGTH then
+                    pos_object_x_target <= 640;
+                 else
+                    pos_object_x_target <= pos_object_x_target - MOVE_INCREMENT;
+                 end if;
+          else
+            pos_object_x_target <= pos_object_x_target;
+          end if;
+    end if;
+end process;
+
+end Behavioral;
diff --git a/game.srcs/sources_1/new/obstacle2.vhd b/game.srcs/sources_1/new/obstacle2.vhd
new file mode 100644
index 0000000..939d380
--- /dev/null
+++ b/game.srcs/sources_1/new/obstacle2.vhd
@@ -0,0 +1,110 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/28/2023 01:35:16 PM
+-- Design Name: 
+-- Module Name: obstacle2 - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+use work.jumpnrun_sizes.all;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity obstacle_L is
+  Port (clk_pixel: in std_logic; 
+        btnCpuReset_o : in std_logic;
+        HCounter_o : in integer range 1 to 800;
+        VCounter_o : in  integer range 1 to 525;
+        FCounter_o : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_o : in std_logic;
+        color_obstacle : out std_logic_vector (11 downto 0);
+        exists_obstacle : out boolean
+   );end obstacle_L;
+
+architecture Behavioral of obstacle_L is
+-- Signals
+signal pos_object_x_actual : integer range 1 to 640;
+signal pos_object_x_target : integer range 1 to VIRTUAL_SCREEN_LENGTH;
+
+signal pos_object_y_actual : integer range 1 to 480;
+signal pos_object_y_target: integer range 1 to 480;
+
+
+-- Constants
+
+
+
+begin
+-- Logic
+pos_object_y_target <= GROUND_LEVEL;
+
+
+
+-- detect Frame Strobe
+process(clk_pixel)
+begin
+    if rising_edge(clk_pixel) then
+        if FStrobe_o = '1' then 
+            pos_object_x_actual <= pos_object_x_target;
+            pos_object_y_actual <= pos_object_y_target;
+        else
+            pos_object_y_actual <= pos_object_y_actual;
+            pos_object_x_actual <= pos_object_x_actual;
+        end if;
+     end if;
+end process;
+
+-- Draw object
+process(HCounter_o, VCounter_o) 
+begin
+if (HCounter_o < (pos_object_x_actual + LENGTH_BIG_OBSTACLE) and HCounter_o >= pos_object_x_actual and VCounter_o > (pos_object_y_actual - HEIGTH_BIG_OBSTACLE) and VCounter_o <= pos_object_y_actual) then
+            exists_obstacle <= true;
+            color_obstacle <= x"D33";
+    else
+        exists_obstacle <= false;
+        color_obstacle <= x"000";
+      end if;
+end process;
+
+-- Move object
+process(clk_pixel)
+begin
+    if btnCpuReset_o = '0' then
+        pos_object_x_target <= OBST_L_START;
+    elsif rising_edge(clk_pixel) then
+        if FStrobe_o = '1' then
+                 if pos_object_x_target <= 1 or pos_object_x_target >= VIRTUAL_SCREEN_LENGTH then
+                    pos_object_x_target <= OBST_L_START;
+                 else
+                    pos_object_x_target <= pos_object_x_target - MOVE_INCREMENT;
+                 end if;
+          else
+            pos_object_x_target <= pos_object_x_target;
+          end if;
+    end if;
+end process;
+
+end Behavioral;
diff --git a/game.srcs/sources_1/new/priority.vhd b/game.srcs/sources_1/new/priority.vhd
new file mode 100644
index 0000000..1323426
--- /dev/null
+++ b/game.srcs/sources_1/new/priority.vhd
@@ -0,0 +1,67 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 03:21:55 PM
+-- Design Name: 
+-- Module Name: priority - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity priority is
+  Port ( 
+  color_prio : out std_logic_vector(11 downto 0);
+  en_runner : in boolean;
+  en_back : in boolean;
+  en_obstacleS: in boolean;
+  en_obstacleL: in boolean;
+  color_runner : in std_logic_vector(11 downto 0);
+  color_back : in std_logic_vector(11 downto 0);
+  color_obstacleS: in std_logic_vector(11 downto 0);
+  color_obstacleL: in std_logic_vector(11 downto 0)
+  );
+end priority;
+
+architecture Behavioral of priority is
+
+begin
+
+process(en_runner)
+begin
+    if en_runner = true then
+        color_prio <= color_runner;
+     elsif en_obstacleS = true then
+         color_prio <= color_obstacleS;
+     elsif en_obstacleL = true then
+         color_prio <= color_obstacleL;
+     elsif en_back = true then
+        color_prio <= color_back;
+     else
+    color_prio <= x"000";
+    end if;
+end process;
+
+end Behavioral;
diff --git a/game.srcs/sources_1/new/runner.vhd b/game.srcs/sources_1/new/runner.vhd
new file mode 100644
index 0000000..d490f2f
--- /dev/null
+++ b/game.srcs/sources_1/new/runner.vhd
@@ -0,0 +1,147 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 03:21:55 PM
+-- Design Name: 
+-- Module Name: runner - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+use work.jumpnrun_sizes.all;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity runner is
+  Port (clk_pixel: in std_logic; 
+        btnU_r : in std_logic;
+        btnCpuReset_r : in std_logic;
+        HCounter_r : in integer range 1 to 800;
+        VCounter_r : in  integer range 1 to 525;
+        FCounter_r : in integer range 0 to MAX_FCOUNNT-1;
+        FStrobe_r : in std_logic;
+        color_runner : out std_logic_vector (11 downto 0);
+        exists_runner : out boolean
+   );
+   
+end runner;
+
+architecture Behavioral of runner is
+
+
+signal pos_object_x_actual : integer range 1 to 640;
+signal pos_object_x_target : integer range 1 to 640;
+
+signal pos_object_y_actual : integer range 1 to 480;
+signal pos_object_y_target: integer range 1 to 480;
+
+signal fcount_edge : integer range 0 to MAX_FCOUNNT-1;
+signal FCounter_17bit : integer range 0 to MAX_FCOUNNT-1 * 2;
+signal runner_not_landed : std_logic;  -- after jump finished
+
+
+signal button_up_shift_reg : std_logic_vector(1 downto 0);
+
+
+
+begin
+pos_object_x_target <= POS_X_RUNNER;
+FCounter_17bit <= MAX_FCOUNNT-1 + FCounter_r;
+
+-- Draw object
+process(HCounter_r, VCounter_r) 
+begin
+if (HCounter_r < (pos_object_x_actual + LENGTH_RUNNER) and HCounter_r >= pos_object_x_actual and VCounter_r > (pos_object_y_actual - HEIGTH_RUNNER) and VCounter_r <= pos_object_y_actual) then
+            exists_runner <= true;
+            color_runner <= x"E2F";
+    else
+            exists_runner <= false;
+            color_runner <= x"000";
+      end if;
+end process;
+
+-- detect edge Button UP
+process(clk_pixel)
+begin
+    if btnCpuReset_r = '0' then
+        fcount_edge <= 0;
+        button_up_shift_reg <= "00";
+    elsif rising_edge(clk_pixel) then
+        button_up_shift_reg <= button_up_shift_reg(0) & btnU_r;   -- put current button value into shift register
+        if (btnU_r = '1') and (button_up_shift_reg(0)= '0') and runner_not_landed = '0' then  -- if rising edge (btnU)
+            fcount_edge <= FCounter_r;
+        else
+            fcount_edge <= fcount_edge;
+        end if;
+     end if;
+end process;
+
+-- Jump process
+process(clk_pixel)
+begin
+    if rising_edge(clk_pixel) then
+        if FStrobe_r = '1' then
+            if ((FCounter_17bit - fcount_edge) mod MAX_FCOUNNT-1) < JUMP_HEIGTH/JUMP_INCREMENT then
+                 if pos_object_y_target > 0 then
+                    pos_object_y_target <= pos_object_y_target - JUMP_INCREMENT;
+                    else
+                    pos_object_y_target <= pos_object_y_target;
+                 end if;
+                 runner_not_landed <= '1';
+            elsif (((FCounter_17bit - fcount_edge) mod MAX_FCOUNNT-1)  >= JUMP_HEIGTH/JUMP_INCREMENT) and (((FCounter_17bit - fcount_edge) mod MAX_FCOUNNT-1)  < 2 * JUMP_HEIGTH/JUMP_INCREMENT)  then
+                if pos_object_y_target < 480 then
+                    pos_object_y_target <= pos_object_y_target + JUMP_INCREMENT;
+                else
+                   pos_object_y_target <= pos_object_y_target;
+                end if;
+                runner_not_landed <= '1';
+            else
+                 pos_object_y_target <= GROUND_LEVEL;
+                 runner_not_landed <= '0';
+            end if;
+         else
+           pos_object_y_target <= pos_object_y_target;
+           runner_not_landed <= runner_not_landed;
+        end if;
+     end if;
+end process;
+
+
+
+-- detect Frame Strobe
+process(clk_pixel)
+begin
+    if rising_edge(clk_pixel) then
+        if FStrobe_r = '1' then
+            pos_object_y_actual <= pos_object_y_target;
+            pos_object_x_actual <= pos_object_x_target;
+        else
+            pos_object_y_actual <= pos_object_y_actual;
+            pos_object_x_actual <= pos_object_x_actual;
+        end if;
+     end if;
+end process;
+
+
+end Behavioral;
diff --git a/game.srcs/sources_1/new/vga.vhd b/game.srcs/sources_1/new/vga.vhd
new file mode 100644
index 0000000..2674f22
--- /dev/null
+++ b/game.srcs/sources_1/new/vga.vhd
@@ -0,0 +1,144 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 02/27/2023 11:46:01 AM
+-- Design Name: 
+-- Module Name: vga - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx leaf cells in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity vga is
+    Port ( clk_pixel, btnCpuReset_v : in std_logic;
+      color : in std_logic_vector (11 downto 0);
+      vgaRed_v : out std_logic_vector(3 downto 0);
+      vgaBlue_v : out std_logic_vector(3 downto 0);
+      vgaGreen_v : out std_logic_vector(3 downto 0);
+      Hsync_v, Vsync_v : out std_logic;
+      HCounter : out integer range 1 to 800;
+      VCounter : out integer range 1 to 525;
+      FCounter : out integer range 0 to 4095;
+      FStrobe  : out std_logic
+     ); 
+end vga;
+
+architecture Behavioral of vga is
+-- Components
+
+
+-- Signals
+signal counter_h : integer range 1 to 800;
+signal counter_v : integer range 1 to 525;
+signal counter_f : integer range 0 to 4095;
+
+
+begin
+
+
+-- Horicontal counter
+process(clk_pixel, btnCpuReset_v)
+
+begin
+    if (btnCpuReset_v = '0') then
+        counter_h <= 1;
+        counter_v <= 1;
+        counter_f <= 0;
+    elsif rising_edge(clk_pixel) then
+        if (counter_h < 800) then
+           counter_h <= counter_h + 1;
+        else
+            counter_h <= 1;
+    --Vertical counter
+            if (counter_v < 525) then
+                counter_v <= counter_v + 1;
+            else
+                counter_v <= 1;
+    --Frame counter
+            if (counter_f < 4095) then
+                counter_f <= counter_f + 1;
+            else
+                counter_f <= 0;
+             end if;
+            end if;
+        end if;
+     end if;
+end process;
+
+-- Hsync logic
+process(counter_h, counter_v)
+begin 
+    if counter_h > 656 and counter_h <= 752 then
+        Hsync_v <= '0';
+    else
+        Hsync_v <= '1';
+     end if;
+
+    if counter_v > 490 and counter_v <= 492 then
+        Vsync_v <= '0';
+    else
+        Vsync_v <= '1';
+     end if;
+
+
+  if (counter_h <= 640 and counter_v <= 480) then
+     vgaRed_v <= color (11 downto 8);
+     vgaGreen_v <= color (7 downto 4);
+     vgaBlue_v <= color (3 downto 0);
+   else
+     vgaRed_v <= x"0";
+     vgaGreen_v <= x"0";
+     vgaBlue_v <= x"0";
+   end if;
+   
+ -- TEST
+   if (counter_h = 640 or counter_v = 480 or counter_h = 1 or counter_v = 1) then
+     vgaRed_v <= x"0";
+     vgaGreen_v <= x"0";
+     vgaBlue_v <= x"F";
+   end if;
+
+   if (counter_h > 640 or counter_v > 480) then
+     vgaRed_v <= x"0";
+     vgaGreen_v <= x"0";
+     vgaBlue_v <= x"0";
+   end if;
+
+  -- TEST END
+   
+   if (counter_h = 800 and counter_v = 525) then
+        FStrobe <= '1';
+   else 
+       FStrobe <= '0';
+   end if;
+   
+ end process; 
+
+Vcounter <= counter_v;
+Hcounter <= counter_h;
+FCounter <= counter_f;
+
+
+end Behavioral;
diff --git a/game.srcs/utils_1/imports/synth_1/TopModule.dcp b/game.srcs/utils_1/imports/synth_1/TopModule.dcp
new file mode 100755
index 0000000000000000000000000000000000000000..20fa75c97f7424a88a9c20eb25782e289e6afb6b
GIT binary patch
literal 116545
zcmWIWW@Zs#U|`^25NVGITfa7C$udR;1`8Gj26hGphLq$2y^7qN-YK5>iw$Iso}cWW
zSoliDt8vnj5YJT&liRvflU^1%@y$49e5CKdl9SuzFYUP8dah&k)9?4*?~nNOB5l9s
z0lw*?i6uHKH!0oqsZ!4Fy?j5~O@sY=y{R*k-oiC9-d!dy|4Pi<`1Zh&?$}~62L9X+
z5xZn~LLYlg)$99ZQ#R+xRh26r7-yJj8Y$#71RCgbehB6Zk=6KBdVj~e*9Te!FNpYB
zCr)eRPu3Rdsovx)$-H~9UiO2W1@qT){MTHw;>n@y{_P&2BJ;w|PoGt%7u9tm?8VuW
zsgbb{CNp@phOy4OHs|KP$mf;DTMM+*+vAJvw@W;&_#fu1;pm%hcvSU~g>aX~_J-3;
zALmS+eZKSf($_cA40z`qsV$xuaY3kBnU(EgV)dLdu^WB$vs(glyyC6>wc^%4iT$jl
z(|scQ=D~s+<~`a^-?G|G5WDbGKW(n)U5|%JyXI`wDB9{4eW%x;`P&}e1CjT1|Gn6H
zxcZiEc6HbVKjB%^?tWsNv+Z$e+peYWo$h<@b5`MX`WL#fFWBZl{<rU!O6wm^7T3M?
z^OeKTU-Mfo9{oMv;N{nU45(50m!a=w4g&+jG6n_)eg+1Hko*GQ{FKt1RK4QLypjy=
z4{2$Fi3tf05(KjPIjgNI-<fGD_%n65Hd+|#@6^~~<ZrLC|A4vuQWN!1eOrCk-%H$A
zv@d^WIsfxP^^!v-e)IURTRg9R_QYAOjUnGnO)%}wu|@`Ef&5ICjT@xo9-KYJ7I^H$
z1D++d2WO!<EPdKZwQ@EFhEF0447?}~D@sY68*wx5wwuV>y9z7S{kr{xw}?(~VvSnD
zowH6zRoPd|JM2-!G?|m<F0rz>_AOnh$#+olq*t@uH{)O2e_Qu`oBr$C>4iF%yPZ7w
z3pqaCGk$(2H!Y&LCHZ<}%J%H3yUzQ$t7zq{%JAJ_@P4x3$KwAr-Piaccbwm|(7$N*
zi@0Vsb<;zc`Wv>_9=CnD$?)M1xnBxPk{^Zt72fmgm-;u&zjwom|KD|&|770y;`&Pe
zS?3sZq%%T;3u6-(2E+x<XG?y{Dr+ehToQWSy!0ru->DVve{%K5q)J?9eC+0PGcWwx
z+jDuJJ(G{$TOV?Jt?!0|yBn50d{vauzO`B8ik-vDA14n5T)h_fxnOy&dDPS>t~~w+
zJbN~q+)4Z@G-D^rjdR7jZ{4zAvE{O1byrxaXnuO*#ExHU$_3X4tuF1`c78(vcZTSP
zJh4lnQD5&?on9v!Ds|Ou^{(lQzVWQc+%b){LU!vCyK}};$(cuU*1SGh8fSj_WBaWO
z&sX%`+@p6z_a0-GSlt}f@>Z!I%Oj5(EKN=5lWL#dl$XMuwQNU-^vOD%^zV;LBLbo`
zYj<#N@%d9Cx#D${k(^DHV)chT-0%0O7s{@c()w2@xuxWXq1)@T4<FRntP|s!lv!xG
zEL_^o&3H@BmXN6hRu8;fT7<uLOeooUNqenI_fx~DiyyA#7`$^c5qY2|bcf|!o#5t4
z4D1T$4xLX^J|kBC_eRI;%QF^RZe}f0Iq06@di?3ljLjl)Z)`1RU#-%t4m&S0L(aBB
zdW+<bL^cWDsf89D|8K5)uC?*f$<J!?58E@29=Mj%A=wmT#xdFH`e|>ms$Fw;FF0~!
zzecT@f|rEloLyf0UUC0!_OvmEKk+<xZHjM1`lb_Yc@op&Ze?5$F50qKOfkDpUt@<a
zzoeP?;XIj2dp*D6iI0{vDg-|GvCX??KJ!Ukg~$WXcqS?Ed2C92BE)CHT(s&-=i`YU
z&;BY#nTE#9xo_U1(Y>NVc`tXy(uS))SLVxK<!}6;tPpc#=}+;uhbFES-<bH|WUAwa
zmAXn2LZUt__O9AT-vrjDez&alINKuc(8{W6WzIeQr=5r<_mbVLN+oN~zPEd2dFdl(
z)W%KxPT?Xkw^mNNBfZqM==`o2i*^3zp3E+6Klj$wc7peJt0>Kfr;N0M(sz1_o$9_|
zA-vH0yU_}RjS~+lo31+d`M<<kv5*-%udlC3G|PCpNwM^Rzyinf)8@)ge<ZWKPg`+E
zO`6Y&8Lg}<3m)E_6=t$LY6~mlp$rALJABJ|y11Df<e1KPPMX&zdBpmL5`!?Cr%B>v
zL){xCVvHPZ%r}@~B#u2->0$Lez`u=IxbT+9(wSE)Y#S7k9dDM@7I%Jq$U1k~!aWKH
zmZ+u56~E^2Z0;*yIsdm>`0nkGbM?Aq9BnGEHCLDau2ld2-M3`*HOmbP#Wr^4%{rPS
zx#)lFzg(lN=)3njw5LocoPE;$ckf)8n2_1Gw*7LKvv)A(b>6JQegFLa)|=a;^Rtil
zanDxasjAr4e^89aw87kKSLgx$XHD$W!!ulu&dquKsO3yeo0P(Tk;#EqCpGeK+WVz5
zd|6`Prat9GnN^i#E^eJRvK|gkPO1L!{iiE$vG4vYo~<T7emHrYt2wo?Uw;3IZ;Vb=
zk-C|iIll@Yet&R7$d(6A-=3;&{QBxh(B@qs9*@~hgy_bae)y)Ed_y5JMcsbp^<#Ru
zffF*`?&vv{%B>eWJ$a(U{IkC`AMEbYOA<>u)4g$4<4-;1&Y8cZ)|Nlk_rIO9M_Oic
z<o$X7_B39b%=h)lbFDpnd7+0BYbuTeT%JE?vCM=MN8ew5AaUO@Tdr5Zro`n#b%Et>
z=bw2G+)^Bv9{$)UzhH|Zzwng)i@y@(&n=NV&u{tBV2*wKVrhlO$IqoFI~%U4_hFvY
z{(sIw{cYRo_-1UV^oXhQ>HMBur~L2EwE20je)eAIbg{E9({&FHefVkK!r9VMau)ad
zI<BZ_-VZPjHT`~FYuD^+nfx6Mo@YLWzvyOc72(|Ozo+YYY1`c}kA#04UZ{R`k=L6o
z9aY2l_2$cc#_OyZrMZ6Ie*Qu)#;d=n<BLZ7B;|XI-7NjA&o9JYf4JnNOYMu_zG2=j
zp4<B`%v#go_eA~1e8n>lf2xZ9HGAk{64Afw)7jcDn(v!6ty?#W?_bZMa95T~Dc*U)
z?<p?7zlQC3CR?)FyE<XU0;X0qL7`IlPw!NZOfH|mKV#{qyK;((70=%-xNVS@tH5CM
z^FM0U`TJVQf<H_Q3}HIxHB@;@+TEy|d3OwWYTjRuSmY{OKe<A8fj;jAroaErU6{>$
zkS&*`R8(>{YnYkooQsY@PId3?>uc+O1>P~gDp7J={YBWD^u9%r`U{VlR+a8ryf*6N
z??rm+D#A<oqhmg(9C$VVw}_~Tja}q5CC8vhu|IdGH^1VNTj(KTexg3+#eL4X{2$-H
zj92OZl>d0W@*ejYNpIIG*Q9?nxUN*!@?E!WN7BjDT7`4!<5jwQKI^+)I`J`Hvb^oc
z-oy10Hvdn3l;8CG)#rDU6${O^HuaTs+El0&{+;su|Afluh%aaN_VQSz-4A2?`zc?$
zIZkrN(fa)|cOLCc7t8y2-<*HD@r$XQw<W)vI{KEUD)n(0+ul!w*3EH}J6j#^&$)Bp
zL2cNv*9i{u98QECOc2<3fr(>Zw8;yNr^d$;n-_E47q52y&AZKdHHU)E`5uPX`V8yl
zNHd8&YTDVniudwY1^$PEe^+UWsu?d0KWfhK{nOGZHxks1IVG~sy?j%(sd0{Le*m-9
z`F-bdj$b(AqvZ2L<>J97r#!e<=N5^+shSzrdYCyV<*(INA?CP=$u<jTn0-85cJ9tD
zzF-GqJN1(I<asfIuN7S~j8`$7dGY2(+wL<;S!tyT5)8teKcd9^)}9c&($Th<JLZXy
z+<u#4hNamXb5gf_Iw>e#;5DlzOk(@a`XdRO*P4|*wmos>)R_|dt9(g^U%h#`Iq<09
z!hKhh17{yUZ}Ph@s9UV6DXPQGX7Rk#v<pvHyq&hQG$Ux=yxP*eA-QQ~drb|*X9`*y
z?XTMX*3$9KzuC7wzcJc+BE3qhmnW`I%2|GSczLm0dbnu(yU^TcCPA?~uKAu}`j(d0
ztI~Cx^U9oqzjl6=`0(2IsAcv_m#Bv0k%D(`?3(xSENAcKJ6y_{!H=Kp?k*H~(4%~L
zzKn8sRPP>b_Lj9~Wp(A#S_GakHeCGn%rBXHzokOF=Y2tSyP&VLuI6b>vexLVdF{b1
z`><;Nv26h<A9w3a`g6!|>*?v%n=IMhD1_MUI9v7e{oKDR#nkmuw^w=Aqc!9LkDD$I
zW?*3GV_;z5Lutrm<|P+#pFDH+jCX&zr;cY&`Dy*G?`ORAyw3Wb)%HA7c>1KizPGN?
z6=QRwAPqm=Q$A--uJO`2dFK4)O<p=)=T7K&hZ>lK8w40ICn+3a>kX`sxRf!08_oK~
z>nBLY9ARMaIKsfdgJOL}9s|3>kNpuj6=8-Ju1*RH3Px#ZCdLX13JS?4#s--ZXCj3y
z1D@}ilO-c=f8)h(7sE4d(zyp+!%w8Yo&LA;ysP!>-Cu1D#H24WODt<U+r+KLwdu>6
z^yT}jr#NzM+w$aWCWHOTjV1AKt<n-5IL;|6eU{VsWjy2g2A9R#P6S0Xr`;(!y;NoY
zyM#qm6Q-E$`ux*4aaJ(Dk?{?Y)u{<}$DaGKWFKaDpC`10tx+J_otZOQ<f!D)ti2EB
zWTXYkzx%v8yKwf8YYU5b=CpN+h`O!XCiFfqQt7~pztv}^m~SxB5c0XTe@W`9-DkbS
z6z;G()*r5YEm@R)Vfy_TgYdtzGvrOqzOkDbaqC&5hQ;Y4J~oAk^R`Yu({G@kyCCr2
zQK|Z-8Gl#makX6*{(j$g(p;I_F%jqY7PhvZ2(oXGKN0!jmGkS5Io~EfxqHSW?D!Rq
z{mq3xvXmIs)<&wkEoQq>so4FOd&*nEdp7Be&-$7_ezo8{e`e$5-FY9L-l|GD8~tj-
znrfCu9ImpPPR^beIAv;3!_w{r%ng1qD{_*IwYQvqGxhP6y}pqXI-VR;NR3e2u&hF$
zJC12HTU!+0#r^Tl(;A-dm|}J<xi;a$1fA}yf7QS4S#rj7)zvz?`?o)>xt!%Mzl+cB
zz#`uZvA+Yd+{F$ncEl%|eba2bo)Ujvu6cuq!lTza`z2?e<h~gg-}z=$+QFda8%mEd
z3z}9uo4BL=VUNWWpSC+U+yb|Ma^Zb=Zqd)1Q_3yX`*b*7HA`AV+;5F)Xa6p6Mxmmm
zyGAwfVTP;-Z|H#l-Z(RX)xQ`0k1jTt^rC9*>CKb2ZVxKVUHXhc<R=fqpYq~uxpVtv
zO&NFg##eYYt=9W`_G#wT9p}XZaz&k{N{8NzKX!20wKuBmr8yzCNpUiPyWYN547;)_
zB)WFW6yZ5dJ#*(d{cCKyC)pU^QM~2d5v#qf^B&i9`Tnt7P`^iLnsba)=dpiwsk?%%
zJnBtf_-JKz)`{ik{fcj$k!xIeWWUX_vtsjprB40MS@-UZ(y2TDC&#SbSAMbix#T)M
z*;RIJk#BbXE}5XcQL1JBzg3*w+Bce2Hkc?yyzt$p;N%xHSMth_?{U`Oyejl1*)r!=
zZC~)RxUco<9vz{VOwoR`<!Uz_eRTZI=WQD!EPrSG=CfEHl4;&y9{S_lJu_Yp1Et3G
zUyc6usRi3sCf$>~y5J$t){iP1Rxa0ickj(&-%6Q6t?BZyFFs0K;|@}q&l_odM{ad^
z%j7+CukkxyX3Q0-PyN@<Te<b+2g#I;zk(C>e%j9!3^944xb*sU$yh^=C4oCvpI-ke
z(xxhh|2eDT+4bp1bLKdGY<#~o$urAOCnfXbZIAXlOFT1_b-#UR=J?aizb%oK@!zAV
zr|q@)teY*e%#$^4CasiO$ucK*vF!KQ*o2_3ZTt#1<G)wW`S|V=8)x&5>86`+eO~V0
zAgN$^{e<MBbU}L&zP+3FM}4&sesTV--;Ua2-QU^coOL%IIevk?_jvZnmw%6(h)i?g
z*eoCGWw$Tk1Iye*mem!nWWzQeli`*Vk$%!NQ(<O<{hBkoOcX92-NfK1$6=G^Yt23N
z;f{09Pj#%dY2`F;{(irpxJ<maa{k4x{hBUMZ{4j>3+Hv%x>LL$W8ZwCl*hLZ?UNQL
zzU2Amr-$X#uGaqDrAtb-JLQO~U%i>Fem$yI?eo>&ZwvER>Zo&m<bTZ)ow%yD=Rxd)
zoy+p|__mi9_s@9z=}o-X#7_3Q>ccS^4+2(iV>)q7<a@mPqz{v_SiVFp%nMi$mOV?`
zpx5%?GnU;AkJl)#di-tix}?%&_kEAeE{r|>A}))&tgv!@V91XnN?(r{Po6lBPc0{A
zUFgA!@<%zRuHUo#n)bX*S=meRtEMV;{XUd+F80x#cITQyl`>ttJYqXte`YRP_Jsev
z&4uoYJDm>gS0^rv$dk-`plv68ORcQN-duU9nCjb_(}x_g)xU<GbXMPUJ59O9^5$&?
zDQ4X_{JfV7wx0Tw&%~U4<9<mDdstJaa)90r!|gL(RMuTRB*oLZT8M#LI{eC~hOXHn
zt7pCbxou9nh^DK{3Ck;Yociq)ch-lVKN0+-^c!D;aZ==Ji{rDOo%g(T@z$=yf0t78
z-!aACd9ruDtkAZgsJCrb3o1*eKYWn1usJeZl(+iLpG^upNiQZCOuGAgS;O^XGd5Wi
z91b^I`trEIu?^3J8`8R)#gc9AScgXR9M}59;?TQ__hZt(pto6^oLyG?lJ2Wo&-GpL
zA^!YQslXlHymI>%9lTP#;g#pfum3L2*}W*{-IbZH7w^1@@nKz`@v8cu$>YB!i7GPo
z*Ve4HK6=PyVa1_|l`dUZw`=^`WwrkOCd+T%H=Wp!Zai)BM7J+eA*)+MZpP>CWo+Q$
zci8Dv-my-{Y}2xx-GOO0*)CpfDfcv)%J*;M@<aDojAb@OJQinK-DJUR9u?WXPvG-6
zyYIP0nW58ow@H1S#J?u{MqKM|Ctcmc7rvDBrXAFsu=v44?>#@9-wB?PysFQy%jWt@
zblT46HBHgl7t78VEBF6cJ(Vv^IxzKRpypQ*%V?K85zpffSX$!+es4{=*~)fPh3ERx
zNAGwi3Mx<F<UW4*$DxGP0h``<wJluPy<@?~t>^1PEzgMCJD>b^Na>G+`>Ujzw>wtG
z9gk%{%A#z3r{liH&07Wfata50uI)<X(n?up!~EtK|B+5^@%`bm>nddDZq8k-YRsu0
z$;jv|u%Au8%>0RIV6)QlZw>yzi45xb2QNQ8F#qzrm=Hte7aq%+I~d;VUu?X4sj=lU
zovE9>Bz4*}>qQSb#%^19%(QFSCbjpot68f3ObhvaSQvs%8Ll|j<8mo+>4#tJOE@<~
zdK5C4UioyF|HZZmFP`u%<}+LPCocQ&=OaqrMcqs}D(~oAvs60J6Liw$<AdIvOA2o^
zBt-K?$}XL#ckh!`*>Scb+rwfCmI>tTJrNMa+<)kjOu*A;+CQuAZk{E%@qvN7=BfRW
zuPsFQpKN&j``E?9D+BtD%C9tcx6vxMdO7KPh;`$Utv<hxXVveJxUaWr_1&K=%{3|k
zx92S>k<~J+D)&EVwwp6C`*qQrx5ra9wogcU`=pWeY4TMQj<*8qAIB_M>shy9PGB%=
z;FhANdLJ*nxxe?(DgR=TJ*z*c1gGEN$hbJatm|r1<g?X}Uv#YB9$4>`#p|(0Zt6zY
zaDhUu{Cj&=e4O@0F|YZR@P!)@B`NAX3|EisV*0%D$U?1{Kl5j>`t5qRWrob@soxJ8
zYcD(KWj$SNgCTdmO8I{kgE#H7eq}W=|1S7z&6@N!nmyIY`pv7T6t-Pl>PxpZ_38b;
zF>SgFlTWpD>&aHm=VFGU@0j^m9l8G<y!VcEA$Q1)1%bJ&oomDdx;&TVIk0~+nJd<P
zNS*hN`t<C*^OSntrbdSL?7r%-F^4O#rO!j=`~E(++f`z1GwqGemUoD3;NntyaVtb(
zkK~PTu?8VC)rIq?e70)%+hcc2|MjUix1|fyxgIY2nb9^m)PzG*s`}~b8M=Mb;<pQm
zOZ~Y~-OtE#>_(~ElH2Pp?3Q?umKHpHnR0Cy$Ae9~n*66dd^Pv(@>Sng96e`mw_f^d
zz_c*_CuMnQhIUNVvElql(ylVq#rvkphiY`FvY+1P`YN28k5_s2g;V~E3h#Y((oZSM
zU2AaXYwhQs(wha<BYouq_Wiqd)p54VvAlirPfj+M`NMe3`c<;K)%VK*tG8NypR@U(
z+__qt>?1-4B9Hi4w=R9Y&()fFYlgwu8yAzx*ovf@|4sPWBEGx2&3(gppNBgR->hny
zzecU$6yprrn>!4SG`AnUe=j6OV%|b)MdeJse3cIQwVOHhkKElQ7I!G-aMz93S%)<@
zu(-cGnQ`>&`A1WHXWsvsq#nR<EJIIRe}_k^@VtAEvvlNluzFam{GYS)>D&D}tf`Cn
ze#_sMn;iE@{=ev~ef_^TEEeW=y}!|7>AkjH@mmERu8eqZ`u@Ajyxkj4Pf1;RzEA7Z
z<Y=ic$s!SNbWJ`6-4@Um|8sGULz{_!!40pscc&lP%D&Ode`T*qMr@a#Sz~?dX0gSQ
zOgs^3$u5m5*Kb^%)1+zc{y2O}n)BaJ7i@!qH_g&aegF1o;qoW!*I8c)7`^stzF&Ux
z>DkW{<BHWQU$2UN%iVL~eCX$J{l`D1&r|uEu}b~Y&EKn*=x`<~Nt#LVIlVRCW~pC2
zW9RLO6HM7z=CSH;-*NJN$$IOG0}iGqShwWnO>?rkvxap>R;;$)G^=EmrC)Xj&YOAW
zOhc5z9uvM8#^v&x{MPKe(6RsN;r!`e><$F!&(r79=rMb8WMwUv@!~u3-=d8Ecc=eT
zwUO#-D~jo;_`FbUTFsm*`{s3@ePbzL#E@;KC>klVVdtr;15X7xJ&SY74=CSSQ?t+`
zm{n@x)x1|tpZ`m$XkKPW5RU&ev*%>3dqm?So06NqelT_3JihcmZ3Wx1+V8%5_|4Zp
zd3UlhyYJcZ=k~{)CAk*%G=&zweX71%e^oYPTf*#!MXyX(m<Z&aKNu{jz_Bn@?dmGg
zggK$>11sIvvp*J9{gjZCFneW(&DQ_Q4HdUo7|qIem`9&c&U{^Rgwf$vx;Ll$2|3|#
z$v}(KUdv7<u>FoW{Cvd$wM?t+Z#N#XfBor0z{AWGTYrZaB@X##oGWi~B`w*Nx<78x
z!t-{@s}%02o&V1Fiu3Xcs~=ZnZpPh}ZT+FuzoF|@T>bJ0{|33_u4_AMpEEFa<sa)U
z^(Z(bvC_u$Z4~RRPR%L%xD^D%PQJ-9<vHH{FY($R_qDu}B>2wmZLjG*7yJFM+2ptH
z?z%^1Se3rfYq`bbV(k)~@I-&@5#Mc9l}FR8E5*vBzD_h)b0C68Jo)5g+ng%1xmkz&
zu1!cTX<!!l$2QY2KT=D0?!uo5^AlsFrJ4f{x92`jwOD=Tlu3YMo!2p5`Q8)z44$r&
zw-uhpzpKGV$GWoqp2F8>QD-gNQ+jlqYM3$_UhlnEzIa{z%RJw0+gR+wESL(P2u3fT
zw5qP-aQe4@TXu$6ocAi<;-IkXzV+KpB0CCBDrm$O=j=_mvuqNBR<J?GfsD&{mVYli
zQTRB15}VAWc?r%!*Vv7^f6lm6Yv*uyy6VBWX(3vR|FX+WT4r;1Px-%p|6?>u<9W+`
znWBzPzgJjuK=WhJ+fDBlFk}n$Kj?iLFC}<=xt91f(F^{*RX?6s%~;5i^d#y?UzpF8
zqTQxC(<EQ@c}>Z9v#!Kg?9_+-?0y^a3#)D&usHNCQRBaZ<i2I6)Dufm-}ydDJGN4@
z_`nw7`EwIyxbYsByDrfXvDG_Qo7sSu@B54eNtf4Lz3p6E?C|~EI<wm=op%M4?{V<$
z-yWg=iTQ`><gfcu<KI{O=C1o8(x9{KVbA%+?q8!8Tb|jzB6MwPit4{drOO{rUF}}t
znVS54`M$f&>g?+_FaLIO?!jLt-|=krXDLgu2}_=;Wj{TB_Z|y@WX-2f1?F9~{<iVt
zp@dld^Wop@L@l=V-C4UsGBck)<5uibd)vx#|3;_pamvYS{^V~|o}ppBnfZ@$4#VWX
zty!fDWVwQCb}fowm;I<bgG>FwCtcoOTMsU82%GcDcCpafb@}>-zZ5JI(amzPT$MEU
z+#0^w9O3tZ_U>1-_Fr$cru_f)WY@~-fA2S)+`PH@li=j1l@9LH_N;ww-PV(6&D#?E
zQ}M5nWX0ZR@=nS87nW9Z-#Yj*iD$uO?i*7#T4eIKE|#A<)$YT-R-vM0&gBN&cA4x-
zPwedNb2MJJG*|nuf4*YA^f<e?9^1-<>nr7gZcG2t+TD_8CH=#NW14p8!pN%T1ku!=
zR`b<_YYunE9a>Ow*48ll_2IXF?+W-@2yqx4&}Uk<?pJMA>zZBORejdC76fztY1ZfW
z*eJs8F|XpX!6Eeparu1vk1DlBsHmJVaeA-zO=THN%|=aG5hKIz7LxZ=C!Z1uUd{Jg
zj@j?tnTJtRKh$2nd@Sd^6`#*yexLl`ocp&)Y+f(=V!0~Ael0$eqx0INLvFQRzf_xX
zXGWXEGUla5ch+y{Hv6x5Y{SNb&t2}N)mUG-FD3T9?TF_cM(uTPrmC;vW!pCG+=cg-
z9_+YgQqlji?r-wMn2mDk4^331%Gf9KEs;*#;(Mg@yU;P_M-_V)&2%~3C(pWdUITwW
z+y8H2PLm#lJ#`d*ve24!_v@SQ?zZk;@c-tTOfQy4C%)ZdnvzsM|NoW9pSdNMjv7yi
zp7!#>mEV3hH#mNMv6nO0Ls(slYw;wd{+_^pbKjlM`1d}#q`6?p|Ml;Kl5MtmWzKtd
zzdt8!vf1a)>X&wIVAirpYr6P_^T7R@qHXat=LK4qT1@oW`(^2b$7}z|zX~`N)3HWS
zbF1p+`zc?#CbBI3kuigPm+V&Ygo9J#B0uE_o$J!uVD|R)GXv3@YnN~Rbu;<>l4<9i
zjGyP0UH-Rt;m7$qi(O{7d`sq@{Z4J2N%V84$+h!)v-@A!MIR`SKh`NFGpX%gh}_D?
zKWAlv{(owCU8$c_<Ib>ORODjFni8KNm9u6GH>HOkzt}fBdHc1ao9<4piQai+M{$;f
z9oO5X6J$4L2Nb6`1?v1hBrvVgs?gao!10iD;^TXa`inN5`x!iGYLMT9hwEN-$}zuN
z%KXOei`6HICr3)dS((?Hip>^L-~ZyYJ-Zdxmt}M97Jr$wOh~x5edi?Aska<AhE&+B
z5zm`Y;dAWvffast_paUkwc^%JO%*;9F|qgJ0lX6!cR$=AxcJ_o)d$)mzb9KawC#`i
zRm`~Q=xHP2Wo$dj3&oQdPm60TIJRbPtIfS7=|5}?zxciK{W7`Cc}j5&OXB&3CnhIE
zRejpjv_|dWEv6|R<^Br;RzH}o+bpTDN2f(l|N7EBe<V_UCtTnB=hrLc@4Jfv_O#8o
zc>IOxJdagnt(X7U94?+S)9ly+`71ANU2jY`JGpqrJ;}dw4Y|3JXX-4?uHLnx#Eqpr
zeJN+Q$LfR2RtfytuJ^nC@LK;!;js6wvaB+f{CadWK9$Y?QO^HfSuWAokbe#SO`kJF
z&HGnH?+$;oYIc`~!h`;(l`D9nLLVCMa*2C&BJ=sBlMkAX3fV7dnlks@(aAQ`ib`jv
zXdJwGA+7q2!tvbd7rCjfhnRQHdo8x|;nBa0ocm`?Uu4d|r&Cu;x8_oWq3zw&nR)jl
z?%XdpaqWV@eM>o&-Uxf`v!VZ%8{c2h^udwG*;~ncg4Conk!;(}McTwUEWXWhr8nic
zs?F2Fd#wqdqhs&=;O=1FpcVFYfzQ>#eO8k#i#&h4^(a{+`A+lbinn)*N(<(gt(;_c
zOXBs^zS9q+gnTMaZCurBW33@-)fQS6wf%d^<(GR!tgo(Lu;jRM>)*5q0+%IzF#cse
z#>Rbr;+`Fsz8n)=!;`ah%Lh(3A3;^~VAd^MyKboHx-EV2%EmD9QEk;(&*gz@UPcyG
z8!ls;eb~_F?#(9Ei(iu;{@ihc^VG@oFL`FO!qQ@czpG76HokawzK(aVL-~@UGZoJ$
z>COyyy=wSwmF#4T&pPW;ygqXM3H(zR(Z=VLa>02=x0A-iHc5#I(Ua74&zxVb!|dY0
zxNGmRWfmK1#KhBHN4)&$GXKR!hKS!M1ZLd}Q_!A&y;u5I*8$dxE*~;;%bQ)gw->(F
zQEAL|TIrnJXx7=c^}+V#>6`D*`01k9!ku%|edm%DnPTbgALgtzvA&&Z`QjN*>p$m)
zTkoex<oQ3Fw{sgqO-8{RO{>-uisoVd*Us?xNMzixzLi)uN5KE&%8Op6)832fl-ggv
z?S3lzio=3}XP&C&T{^5UcdxWc+5S62-6q-B{D#F^0S*TSwg_vs1%dN7m45hjqAYKw
zwa9wi<I~r7+UELpzMr{6_~ZW6zRxa4U2Elk-uQ9gn!?dP3yj3Cch6#-WumZ8<$!LB
zhpI7Kl;L*H%j-2QPc|g~Hd)Mg(d|=?2=lha7mKg`4)U1wGR|vrT+iP2W0vNRp9L#T
znXvQ5{zv69w<_0`l<uy*)}?yM+r{sADVP0eh7*%K3+{X_dHpWbi1VavMCRjTFDn*o
zW#IYNUZPeZ8|KJ3E5@eL_C?vBrsTJmZpocJE44YEA?fo>P6s^^Rau7#cQtqQJ^a_U
z^Blt(ruKWKE2dviZ+8jcN^w|Ma6f9R#=Ff13zha3C}#R-?%fy|zOh~QU-D${*!N~8
za(iTMWlZ!*Up;;Qx(D}P$fvD%-*SOx1Al1JmZc`Qx&xQyS7dEnS&+P1>DH`+?S0;Y
zo{Kma|J*EMXINu;I8tlf`lBT!#|ulexvww#9-VV>?uFRi0ByBo?-}xUKhAH7Q91hW
zR(ACI=l^ZD?$z-Vy!mYY+G!c7m!2It<@CO;&Rwiw>-3Kc)8bzIWZt$USohc3pV7}m
z8RyNIZms-CZ{qIdwMSolJN)5&=<!!#44J>A6E5+~{M@%XG&|SC<VV%Dc|5Ze-L12)
z9oBGhKAfDqe!(fX6_bC5hjSd+A9k=he(I*!8fkrnVuOyOv+g`F|IAS*At_$i9<;Hb
z(`L7}dVTsjgVrhjM|Z|g|MR`9=3GMht3Pg&8!Ggl8A&uVPMOFN%6>Y^W_RSPIL(<B
zAD=D{>YSq~u|L~ZnM?9zV|)1}Ve3!4PlV>odVL{(QAyXGBPZY7z17b5vFDfcg8b+;
zCWYS;?0?%nNPYCKpeR}V?%GY7Pc|hptoifehtEU5gWqTKd6dM5`&M3vh<;!{DR~yN
z4STY5{O6~7)q#&aWEd6vpL^|@^ZWTq%Ts@gUvT`Ll(t&d>%fCA-ro{AmUon#@%Lf+
zYx`tMPk{2V)CEg!{$x*>z!BzWENc68y&J=v-s#?YY7fHF9~3`2|G2+@&Z!1b#qDAj
z|6EVnQ-3|@{o3^N0UPR<ZK>YH-)ZsY)qnoSDW@*I&aKG)KD#oYO3^}h`)0E*UaJ@F
z_F@icc4U-0p0(*Ao8Wu33Ayiz$~WBcyjd8%M0rU>t@O=qxu1?Jt)ExRPh^xY)>(ew
z=C|F`x6WMnZ@co61E!mwyuT{Ome94}<jS@C=5(CfyI##^3r9k}_)TNykfU-s<y#L;
zD_tB|bB}$7?Htxwo96{9ERS9_SyuSMuFr90G269%wthMu$(8t@b?)rjFMYl3(^F1$
zE^o?J)NX$GL43076@9rC{wqq%xz?RoykqVA=h{yM_$)8Re{+@n_-^BGCJzh7<aKWw
zkL~K;y7_2L)inFr-`72Gp4gu_@0ds0KIOC{TX-!$<+z!5FP$_YbETZcmDs+>Emd;;
zvP+KcTi}#+yE4V{@P|`3YHs&uq$CP6E@(EGENQk;b!z{b)9FUiW)ZQ>0vFjI)fG!y
zi*#;Y&bDg8x@V?smxHul2CsOo`k!}V8f){@OIM#AdbXffGllKL<Z0d)-=F#Qew!Bm
z-sNAO7ijIW{Bm)}hg-YP|84o*W4h%**LmxmB5F0+eNjKlGr!EUHmeLzFc3PfX=WTz
zu5|ADpI*Zxqjfutyp3LOb7GQDw4Ud9_vrJB*QQN+;5TWXtoG)!dH?gdgsLYjoprx+
zTV2&{cc%H1G)?lWd`hIbD%5`4e-#e(z0R+4e9NsRGHGf$9O}D1zHGTQW3TFN-@Wzh
zjlOB^N0xrr?_m0@)v@?n#WPOf&<Ne9A`j~}f0TGT`x?jo*}t~QvSrvGoy5C2`RL*)
zM^2Y)UN9j(h;_OxQ}Z9j-R=kUtT@WD)XOp*?zsG0^hmHNqR+s4_tdYuzS|z`vz>Ez
z2j9Fahn>_T?lt?b3iVunvVYGKIiA1jbHCn9RVe$hVoLX0|NGyfm%lpg7}Vr&Cgg+b
zomKB0gXW){<-Tsg&(C{zbDm@~?pghJX2^f%BZ^AzB0`oiIc?hNK0VOFB)R*LDNEDG
zdmPf%!t<~9lyUg$N9$WQEA)$gu;g^jdE=CR`0WbbuEWiOeS5EFmN9nUSY`gn(_Zqh
zjYi<=JIlNo<1>>Zb6wo~`PF_sj}3YJjJrn8(NS=zXTr<ddbixy-Vb8Ek(C-^vqqrg
z+Z{!%b*Jxlw;D;DS2cBZsAbF!Jub<ZYCUORz+$uM`*cGrj#dc&n|SAzR~qwAj-6d!
zuZfv97y1=9)=Yjj$-vmttK9halSMbLZ|C=U`gK8(*@o~FDO_@n&wn47da-jo^97SR
zZ-1`Z-xJkX7&}LP{(&pHZZ9skMg7#-|3i{j^>3nJZLLHzb2W=Ef7NBV`e3hp+Oe;>
z6kd829&?+vW!I<gT+)R<mv`;deyccFwUz&zre1u`M&BcDJI@{bqF=K&!Z<kdg8hCz
z=fi8*KH0HPP4#zNv)`lk15-xo*SUH@5en-jJ`ddJCUS(=Qmib%UheJQeHo#-i~_<J
z=KVCCvz*iGmRN4xi`tw8=dY#jJ`*T(%le#WqtDrwvN|Has*(aNIo$39T;8<zc8-iG
z*Q(Zq*HT?KcxX5-Qe#WG;PA|NYPeSKTaN2Hx~56aV+>vI@L{TM;Pm(c&(boH2T6{8
zaltEM=N?@rCA(cw`K#8mU!^*!4?^?e^k4XVk`ohocKipc8JF2K^_k5_Z1zb;n->37
zKe%3_ru%92m4>zLiM=~+AAb`zZD+RdYU?M>>l%^++t%-xBj>$)3$sDDyOVXp<+WzJ
zC%*MFV7PFlXQu?~4zCJPR*TbmN2=2=eSXS2KdQXc@T+8R*2mW7bZ6^wW)=0;Y5Gwo
znU_4>d#^WSlPg=tnX`=BO}5#sGoP#1Zc}*R?ZwNH=1$YILwb6{lC=(7e^GMY=es?x
z{o<<+OJ`3DSKt1rO!A+E^x6LU^nmNn^^SguoVZseD(0%UY0T3FZ-v^Xs^6^1_H^a_
zUo_iidB+RWZIW+tFJ8Sb+2FnZ&y>!<AcfA&Dvq`7;!8K@R5rcle)h>umBCvyKkj&Y
zE7v+Zmw%7uI_S9_F6XT|?c2V9ML{4Tdc~%g9oHpxXzXugj8R(s$9w1f)h~>Gan9-e
zo7ic7RFk_UXWfoyw;duj`#-ofGaqW;b3OO{!{O!=uJ-$lVtembh-7&vUSBLLDODT8
zD68<?<mAQRU9(z+r|n(R6m(Fvn~m3Dr|<eDcaI$8DqGjS+38iqi|JP3C#DAJ9JQK$
z_w2sy)9d6-TVEf1FXJ+=k)ikv<M)b5+YkO&H>YIRo9Vyy#mq80n4p-KS{3=h`*-o>
zEt;O*cj~q~oDs~}bV1<J$<L2}cURY5U$9~3{;O}(^ZIx5d^q2wTp0VC%i-C_nI-F_
zHkbaJ@3!>%X@QrTFPAc|d2M$50GFA}@{r4dUFR+>u6mhykW<{MU$L5V(iHA}t6cN9
z$|aTG+UfPpBI?;TlcL3~Hk+QloKW(--rSs1+i&S6UYT#^3wM;vX!{WSIHb*8N#tx)
z<m>+y8{Na5JxqRVR@YE|RU?v@t9fhhqQf=6p5Bgs{i1n?<2|no&nV5<lJ3UjBauZR
zfv;oB)+Bz9P4Kyx+M#g4`DaMYhU;ZDU#vsA7>vC0w}|vEdUeoHv-tWM!3=?Xjz#8M
z9e+fsy@^!_=|7$K`z|;CG+V#j(_g(lW_YaAYe}!6fyK+io1`jjiaz88M7rL%D9k-U
ze##T|g5c*_F(0Z{J^$FIs<7m{+4T3XvOil_oZ^1`VvWj|nObXFR%)~d+AM67oqxCR
z&qR(N<>r5+9j<k9{eNiQ*uEuqa@8SjslFxq4o;u*BP03J&kLD5uYB{`pZv38_GHWM
zFHhp~Lxs;ho8QIpHHk4~T^L`E?5cA$in(`OEGmBd_I9{#bwj}Mcu{v<rHh-K+_dB%
zrf<{sRM_tlf4iA=)0`>FZ*#p9BG?PpzE`b&dGd{fY^CY*_lqa~J{-B_<J3j#=iLAE
zr9d%W{co)DuYblG@>Q$cOV1rS@^>fuam`7d&pXfT&vi}eD5{wA_U<u>)w9`-{=a|l
zW?@Quep&@*qIA@n8Iot8ELGU|_`VmndCJ)ceu<i<^@-tSOIkMiWHT(7ZM^WOkmb&Q
z>a`qPrb(M!XYUZSIM95<Ni6($?PtMv>N$IB&3i-V1UOhPOj^Y;=OXhxkAs;nHO~kB
zTz33h-*c<$IZm?_{u?$}KQx*n9D4JYv3+r>;kl`+$`W^!6$#%Eykma&l-~ZF#dFg0
z6QA!b?Vni}k@!0{XwR$%g<PAodY7iHak{@|`TlDvJq?Xl95p}OcqD#}>xt3Vv-P}t
zS3Z->UQ`lsH7PG9>fnuOp7BB(U0ZK|e)p=Exxd}QV#N+EZ}yJqvPOTe-`ZQhvWI<X
z)Y1H#u?Z$^rZ>LM`u<p?Zy~2n=I^FAdOKVnE(+v7@TTl!{`TgixbsQD=XWtCm(0k$
zXwgv}nce7?;hyA}W7pkt;dtc1<#+Oa$9(x~>*kbJz39}r_cu*){=Zxx=-^$rB3OAN
zpTostx^kNDH2-A074x0@nD5!Eo(q@1P4mnCvr?2{QQBR(w^mD1)dO#=-69v*GyCIi
zi<^gEtIG0AanE7B{#Q6}=0cGxyYe{<1*;O2r$092uN0g;`Tw?0{O5(gRf}A`6=uP9
zUSM78^w^!&@_TlDcjMvm-<`I4)z0G+`C<e0&C9HpnQpquF_HIasoZMGHB%k9->XgD
zb8p40fI!`|T@NSiy1BW`?c%~lS@ZhpKF_7~J=b0&Z#%S$`!35q#*oY(mPcpJ5Siqi
zQ@i0_5ZBkgkLwQUN3zWAp8WLNTh6aG%7;!T|8{p$etAdr_l^EpOs}g}=sw@FT2pZ?
z+oZDZ9@oy@e!9N=)b?9EbwN^Fm%TTzd-~+?#|P0qjeESJ)nXV^136#x?YyhT!Lw6o
z_GT@QDdtQkCzZ>08yANk;9^@BEOX`dZta6lGi?HQt=+2WoUyYZXhU&n?2EsvG|ks5
zHt(q6Y+v-+-{Gvzg;d*1m8%;kcxA@#{g}5^m`#2EU;oXba)-BXTskk*^lH*>J8N-~
z+5f}W3i^wr^380_YrUR-(n<Mk{o8K_{@zk^*GToWaBo{TlQ(S2)}u4l%zt)FcV5OZ
zAx_;kjThSsbBZSTeAK-%>1Xkbw-J$-U8ASEZIx1H(EoK%?H^lXY5imIJiE6i8BDh<
z(@gT_GI{X6_IJ75Q{RblK2;m9=L?>?dv(KpE}mz0auK;&Pp2j)_-J_4_pjV!#=<4|
zh3i;?#VPeS?OcaVdlKuXzu|cmk+xulLxNxE^&hSs`*T+GT1q!7&b9vTF8(Z<lZ)|t
zM5~USnv`zrl+WjtPx9*?njQIJ$-E^e{=Aa=Ib+wE^)Dv5v&xHWreDe4J?rHJ)@6nk
zuimX*&F-?rea-ECAxB?^zOSD2yYfkr%7On9YB|r{^GY80nuirNf0JVV`g}o)wY!7!
zkvUV^^6GA}%FO8f`nURr#_9F)N=ynTl6!;|ykFJnERa%Pd-98{;UxWIR=at63ptN4
zKiTx7IEX7``Q@*F;^bcbEa^2*4*Ig9$=0sKRK`WR_Uinj1wZazYc|!{5y2R|JaJFc
zixk#I-_F0cS+-2~(6YQ$zAZ;(t!}_ZuK!lkCfC^fxYW_}GFiOjgd<11kelGe5A|z3
zCc0lwcACG)NBQjQm6sZFKR=tz`PtWE#g(0}CO&(v)aPEDm*)0jO`}rR7q6bpoWCq{
ztuKYDan#4%=|4Gn!=$+vPBhzHe|Er~cgEMFYc1AJi{AHlVTRDnMe9$#>`5>>$)H@T
zxjikKOR>!Ts805lA9GtejlO?6dCgz-?-qu;JV#jD^DWcP9b3cLm$#Su<7#6akrl;-
z6)|o*Z)Wf+WnY@Xo1Kz*$a1pw<f&mt`*s>l)IRp~>^Gk$ed_|h#{Dcv%s(P!{l`ef
zut4qWiL;AWoe-P%R_Ij^XHA^{@qI_C)#k*?)i0kmnW4K<(z(eX;)%(P?|Z)Sg=Rjh
zt({RYMP%3Z+;`S9yq@XR)t0whxHtdpnoZ{q2yoAwW*5_+HTe(2BBmc@kEe3nF5=N^
zPCx!|()zBMd#)}168cy=Tx8p=HKz)uoG~jZJ0RGy>_^01NzJllW&ZPDl(Cd;(|)hY
zWUM5?#$H@<LHwipm-Dx0$p7BDqU*`~S(1O6ADP^|a$iMh-+Rl4bJy6;b%~i&n6N`}
zYqYJrduZhO=vw7<$)bu{Au@ueZcb#b5xL2;_nG8rssHku)+O!JZ@IhR)VzmxRDOOv
zrC2?`>BZb_bs6*5N%*E-y{G;A2EXM0MNGc){LYG;S{|DBA>H(rNA{V%=$Ji{FPt}D
zyx++uRLDOsI!iSC+3!nURVP(MytvnTyYF^TZ#rSPAtLB+oW-&@yQj5RJrDFs`n;=O
zGw*=h_TLLXe)F$<zodS`?{#xJ8F*LiYH)D3-xR%d&W`<dQvx4c_PYFVq9oJw4mD@a
zeb@h_JX(G-?xUT2Rk7AC{Y5H2bXC>l-9P6qIc+(i?}Fd)8~!XO%B>4Na8^&5uaxTb
zHOOci$BTUozh^CsWbgX0o~KjT>&Mx9WfNmwCiB|xYftF=5bl|hxiwxvf4gUapUugu
zrQZ*nRQsWHV%;w(t`+M<8R!1U+``qiX1dSzZ3zj7=hd9PB5Zys;>oR~o6G+)u3i7n
zZ`%gGIX@LW9#&7-$6;qRJ-=aQZEu9d3GH6n;@8|hlD)Yb7MH!6P*Zl@v(`zhKxNwf
zOTp2?qT(g>pA~=CPBGZrm;LyGNweLO@88OJ9j2$A-5z^vzCeb>EIZ|2OIK$6(u<uD
zGs8N_{rjUu+iWDXa>Z@`ReHNi)-L5gq<ur>$fpl8@2YIjEWLL3h)A=0-DY#E^f}ul
zR?f_SY<7l!MwiT8nQz}?*w0T8e$_koNAHRC8B#SS$Le?2)$@pad1bgrrC;gu_q3h5
zGTJkvQcrN%zpkw|EM4rNA7VTIy!&BR=KJO<NjvpT3+8_L!L;M(2Z5^AFipi`+l`;a
zBWLqnSlQJse?hl1)%9`O_e)<dX>S(NzW3cT;k$Fj=^CZ4Yt%oV&Reyq;hn|(w{Lt?
zy>^z()w{LSP4f7kTXo{aB4^s){7l-N#?yE1NJ!6P|4)<abo1ss?^^OJ_#ngX9IgKI
z91WMg3Ofn2y(zXlzh?DqgS(8o0+zI`Hd8fySv_S|r1y(JSN*7s7RRj4+?4reej#PM
z&8K?T`4e8{r1WphnBBR2k$ZGSil?fM(`nt}wu?$LCa&(;BXptfRo98B%TC_-bA5*Q
z<YgOEnE1Q*2b~Ie`Bypi|CWX4Z5~$`%T?~+Vm$l3r-0MXa9_03&qEfDsnh4};H|#j
z?`^kZX8U28!;A|YBtGVZy9Zi&NZC!-FxI)AQ9mPbe%qC|kKd@gF;DSRVZU|ix#qzM
zX`(0W+PHR!{mR`wseHk;_WDCDiLTDc_8<NEwRT;ppE6OU`Sk@i7USQOQ;d(rG|jni
zt}^X$&$)+T_tqJwdh-AKTisUqBUkqFrmqEa-KNascVgPHL(;I$a`iNW*wsz<(i)B|
z+PA0iRYl2Fk=Grru1WLy#s8f53P0WC5r2J)r-;=7FR_DZ>LCobzpJPF9hlpa`sw{5
zpUrOX`OI=y*UVh1zq+XL-${qVxAIkXR2*XPUVr*dR9VVNx1t!P<#8<s52R*Qi=;hJ
z^=6ALk?&5MHQl|gAj40bPrZ!6>tM)aPLr@(;yl|oEV{h4_eA>bgb>O6C7-6q2^|tI
zkrz0)=xEely{A96aCpQn^u7=`y}I~u7R%I*q_=M`^(*(P1_;klIx*Y8wn^IHfL&(U
zqoosbUaz~IcT3ciL&=_{WODh$9EtNq$DZw|b`0YZkj=c5_^B}KhxO7stPh2D2R+~F
z{J)3$_rv+=-(=5<u9oD~xwUmd=P8vtC-3f^V!*>3+V(I)f`@+^XX#3hS(7*QW-6|1
zx_gRmL%7MpgS%M6UK>y3&OP*9(y>{&L`0GA`2GWTcjWiPC@nty=(X0Y8S*?A#h=ZO
z+ZJPWnBiM%n%m|nPZ@PGfB$gk?hvqA=kX##;EC|PXseq`ewTIiew%&9((seY32}XM
zgH@*|EauO>_3MptZZgZYM=X2zFEE|^zpKUMftbqwd-m?Gg7#{2%%A6J&t;dd?d5%R
z!!lMQJM(SZ-**jcFWxV`Ty$vF@!E%>C67HSOsc+VOt>;t#j|qSvKQ;8Mm4%jmR<Pb
z<+N$ng5P#0Y-PQ1rSVzoH`|-<O;-vR-=1o;eT(*|?)ob?KC$e$&mhuoeQE`dh1u`2
zc=0Fe7!{cv!vo$ob;YUQDc*Uu$KdhbPhS6v8!VI(CuDw#Zc?c!n%(oLSwpTPBjVGE
z!r9I&vu`rKE?)ZBID%VtZ?Hq0Qd?+ag@u;=N)yl7OJw35{Hu<v>^2Y8`E$0qac^T?
z$$@!did%hj*xw0Xn$fQNuAHq?^<jaY>S{4}#iHr^5_sG;tqt3)Ifdo9bK`{b!PZ^5
z>HDH482%6bapGEKgLc`pN&WMuJBw@R`@Py|_(0tAY0j3PKd<IY4p8J{64F_`-1OU?
zDgOhNH%mvZir3_p;d<-Lrna}_t;se`0p$Qo@lEAdOkSN(pU2+SqFeUP>g)^I=bvNh
z1cVPv>|bwX;x@OPU)*Nx&pe0AIuTi^2maeQe06)&Vwdx?N#w4sO<Y2KNxjEq3F|V^
z813eL95dym6XG;p?sYf+yJ6?K&(p7cuG^MWaq{VhprXYeHa^+u@~!sDy)S1)8Ra&<
zUcPdNQlq8h-sE-8&g!>5?LE;ukHbOt%l6A16_PBbHzU_%U3TSt@Ku$0?%eaXOAFr2
zd7+|Zof6i~_Pw*RHfqJnFJ_8=M9Ze{yp<ZQyj-%l(z;W4*NGWFtPe&OPx$3<_2qK)
zds0!tr_*>kiZ<AIIo_VQB-iw4;@x9TE^?Q=8$G7`Ry|meHuYro&)Xl@8l|tc4coXv
zoAK68@#<rG=N1NJZ*aFV%S?QIyi7YH$uQ;1VV&t73^GpFR@{`6c(eWb%F5p^(JLp)
zZod*Y{Yg_v)g`TIKaV6i%s=<tjp@4J|D?5Qz3%ZHAASfGdgssNKBuF+?X<&{6Ze<i
zI>`St;$hmvlRBCQ@7p&04d!@ay-r4a(U!+^e*ayNT_pHzzlf9O#H()~h>I7>)~(3W
z`&;Li^GIg?j<T6kh4*OwC|Ggdq0;aLlZoWh_TPdUoIfw_X}a-tqqcX#mS^RX9a+)c
zP5exXvZb#1!93P$xtsL7?E9u46Hw|d6<_nEO4{P!c{82GbsUl$De*n8OxNcY8s%xo
z80uX-&2Qex8L%u;qf2P5{$Ea0yGd;i-iC*7O<Vbyt+D;U(ybal46ie8dz#8p(Y5iN
zV$742=Vc+^bk0l<WpT=kbz7?1Z*yAFcmbQDVB7|$>B`qrZd4jyc59FeOk&8KZF8h&
zgJNCt#gg~Fde7R;S2#{i`m&@jR?V3sE%4BC8-;r^tkxUMx$pPRS?U<N_tZp&ZfUjq
zhn1~1bX*bsm8tdC{#wRewto)%$JV>`?)%F7`1IS$5lS!BWTrg$u!O7Ba#7;u@Xz@R
zF3p{G?%G@3w)3v7%#2IYHgB-_zUgee?HYp>UMF*d_UsFadi}cDvLRHX{vGo}`TdS=
zwO%&c*1ZgTZOrb@YkvCZ-&^|`zWr(5bH~!E&D)d9H-5{ZJu{6DpHXC6w1Tbu{ewGm
zeB$i=69m>BspnZ)!oN{JeuJltMnau_QBeK<r?T6;qq2CF(&ong+?ju1!}OyE<y6WV
z>+_G~#?`g{n{@wLbGrQZg@MM7KVMFL*2WcZd(&+hGd-<Bb)%UI3G>u5FXU_1M}$5%
zd1Gvy*gP#n|7GsRFH)Yhan(PKcNE<E9L3tGVp&-3<<9<#(d0~6!4<O~HODta-R8-E
z!XunD&Cqdi=#<q@j!t>=z+&oA(fi5!RxZ4wa_PyLXL5SywdeesnXy@9-~G6s#!B24
zU*G(j!mN4ly}fzRmeSI#Ue}KtsoV6M+go|2q`PRYw@b^>Q!^rpnBF!t#>htS#hiH{
zzOw#X;-h=UO=eg7bu{m#_$ZiH_*^`^>FmwYV$q1M8T%vc!X6s*Uy9G{Ke~PTx&D(X
z3Lp00u;-iIerw^r35HwRRX!~E(Xm}%ZNSX3`Sx45y((TbR!m!U+EjRIRl@VWWHtUP
z!oSmgA8K0^@g&E6!HZkX9Y1B3rTDL&HtE!Tj&C7}DtGOzC(NB4HaW0y)}C`;dV1e)
zo%ltlIpNf<YJtV8su?YF%w=}FJ#W=7>^*C>@^Ry0{*TK;kFu%HU9v}GPom)J2G{3{
zf7UttTHUgu(`~2ukJU4lA6me&nRlXW%(;n%Z>N5Kwz2JO)t~JWv;JLFX4`F=!qn`1
zYO&D$+fTm=F?1FDGmzx^Fw^hLhFRhZ%d`rD4&B{a)9iKMYsd8FRX@Ep_U)6NXRF4!
za(epnw+n5g;=OCmtxZ~e{`JQtJ4~_|Ipi0w`S_Rb(8I;|7+z=FhaJ_ddfVBdvG-s?
zw!}TpnUzI7(S`fsv)9j#d35$$VBDj=TZ|R9hqJOyeBLJhE%=#9mMzDHm3jaCPRd7z
zh;LYECU#@NWgceZb@suTEn?0at~4Hg#q=cU>)X9~+XFZ0y~(p(<JM&%yzHN#mg<YF
zy#;kX+>+WYZvqzcJT7+8O*t3G;8s1alVks$l~-OeP1wtNMA3LfNqxk6nIAn>`D-3z
zyw|ZjbtX<H&S|;w`(=4evfl1@T#VP0TSs3zm3iEXJO1DL-Li_yRJZ)#kkCt=+<VI;
z#4vo{rzb1^tt)=n!(sd(^-<8w@Boc$qYllqX95#K_euXezc^~;ih>HAg6O11!!=C@
zGBzK}KlbsG{L(3h45CE-Cx$8|FkWoEwC#fK`3GXQuk18=8zu|yVeoz-TW>Dja!)_&
zn>9o5QSlG%84+JT-l(i%uvfjdy1U^}ba=`&1@+jb^c3;f!w%01ELIEt+WYx{ulrB!
zj`v3UwJ+V6G{t-CYK7Yh&FYe;cl-5muZvgNvBtvq;*=>;x37MY+QJx-^W-4It(~q*
zzV+>%ALiM(V835U?xD!~Lv6QQf4`X}^&xrr8fG<1KE9tezNf7+O++W_XQXYuE$3bN
zDf4SnTUxZsZ?U4dk8k&{T$FcYLQ}AT*Vokfo^$fge~+=#2>tpv+(P8P_~tDgH$}A9
zhRu+htn*?P<JNhpvsWw(PU-6P(&8{?clo&E*1!3exhI{plXml}{#CbQ<1_oR$-+O^
zzMQpr?n~3HBKOuGpQ|GCP3)*kls)(Czvqnmb&EMaYjM2DcWVB3gwMD<ZwbT9`Ti5R
zk8M_LP~l6rULvI=`(;i-ny!)46R!&On&a6q>dmjW9$$A$;QvH@myXwJAF9o2+G3va
zKfQAA^2BVVmEj47Uv=wmEo}3#QOLM*WS%^`<+CfI->xz}Ul?gFr17IZY4<^!iOzP5
zdVWn-H`3vJ>o7+)X!Wv9x8yv8AJ4yb?0mw?(|UH6jV-IV_`7^$UUysF&Rq4c=j!&l
z{Ot23XI^CH)<zuudeYfzO4K8%KHD#6Zr?o5k{!<{_xAOg))b+|h7RjZ&rd#5cBC}v
zr1_I`sf;-eWvf0&->en=bltjp`+w)7rxxm#OO(31SQ&Y_ALp3gdiL5<6~U&W=4p-}
zd^SCw*Rn9-QTVYytI77~{9V@hn4L)8WX`*4<&<f+-nC@qeg0+Ntibsu(=zq!u2}&M
znis16s!tX+y?iXR_-+4{vd!Cr(t5koPXt_TV(j_(^~`|-{QnkB`Vbh?dN|%S^X;-l
zAO7p<I83)xR=*bMRq4t)@!-52NhJ?CuPm0z`8Ct_ne)*FUUK3Kv=a?drc{(X-^>1E
zhfmqn4K<6qOxzNFOns>Sc|*Uy=?&ehOJ2yQJ5GN0^GD8t6*c@Km%sYx@;_OtU7fgS
zUZxF;qNbbU!lf6_6<fX!%h4BZm{8BUT599@(2Lcd6lZH}_~e=QZee?*W$(q73=O@_
z)Am2`J-B3|!#<y6o7mVdj~i1@Wbd5)+ojfY-@LtyQj@3e{d8!Tw?osy@S5iMja_^1
ze)7p&S8_dO#mz|jut1rmOW%C;dBf5A%J%aaXJwl@Z#I?g<^2{tQYRL^*uU!cUEP@Z
z-r9Ph=IR$CEN8lA&U0oH5kBH&=a#%Z+2r?xzfUH&SL9sRxZ@T#?Zd<wRvVjIWF(Fo
z$sf4H!|XdtHL*A4^Nv*ucHg!>@-wSlL2&!D{l<3$E<SAxTHE0C^X%&P@@W(H)>Qf~
z^!aygOJ~fDg|laz5O*>^Dz`+uJNQBJ3WgUIS9<nrZ2!~u|I5*9$9@T?$TqI~WV<&?
zQ19EO0|h6mC7A52og%dgUY7O0;aZfZzjT2!gIPdquhymuyYiRE&os!txl8m<bHIwN
zeJ5;ZmGPt$>Pa6km^@K9ZRf|ToO2<4FS}Vb1*oOW(N{hbF=^iNs;}aEpLp>!FQ}LA
z(cRJHUViFC8dFh_?FGT|r0RXH-#pAN-Q!-f=dcr3zRHrym5F)gTlHN$3OsF3&E3^y
zykePqy4h(%Hld>vr*Gu@lt1IyUfuW6AM6*s-8gZpuGi`P-&XIQF0K6hudcR<!S;mJ
zj&BMtA1KP7YM-}5$-U@HzG;3W&wkadN7Sxf`!t8ewZ<X&o?v~;^4qb6Yoj-tS-fe{
zd-eARe_*&>;zsWe!iI+ur$%|m+lZb^4|z4i{!G9l<?lPzv-hZ~KYSe4m6160K^@n;
zca0vK&3a0h{;)-Jz4|NRGI>Q$iq$;XM7u_wA6lwf;caedYiri*X4CrWzR7R*qSaP%
zN7gZG$9XgM{tB0M4qB@F=J5MD#ev0>BqjNDAIucfVL0z9_K2l!+oS8xMAe;+Z8UhU
z6f@modfI}mj+cxD)H&~JasQ}2m*`QgDfYALQfi09q<#GN1sl&qp10rrZ~vQh8W#!`
z1=E&G^4VzeYChK8ez(~>awlWmddF&8>G~-Bino?EZ?AXiMyO2F*&xWb?|EtWr^zbO
z{wo?8wCklq|9?51lcN>I)cZ^<^MBwp7Q;WP?Au%v>Wo?LGz&}qTNb^xN9eZf3$2HL
z@2jNrwBIq$D&&3{*E?f-a9;AGWpAxMOM9AJx3S&5cG3BBGgQtNncRQHJhx!axjz-#
zqS-Q&FQqYBpZoo?B>6_{u_bmKbC!PVc)$|$>OjbxPd<159GtH4<j_w8mLHQ{Bf7T!
zy`=MJTKN0=3!i)$)tA|rER9Spn|wL^sdA$>>-GF~FRv~S^`4O~JvmJB=XW;7+06HU
z-;5T%)^2t^&iTceb8~(@vq~*XtZ@F)GG$fc^7?;U8e<i1Y?|SGsKMdO;;Am%w#cg~
z9ld;G;%41dEPMaF`tmx};Imq$`Q>eS|5Uwpe$H*Zv%7OqV=+fnmAyyIB-MAv7ru(F
zlWp6=?)*LeK~v@D!nOlqYckp;((~K(f9&$CP=0>>Xwr!<%CG;%{8iC<wnZXQ#AH^{
zpUNVx?u5T##}79;%#Zl~W9xj$-n>&?iFV&(s|3z|v%FqAGhfd$>&c02#`DijGMZ#^
znD66r<*=X^QLDONFg@?~=Gg4JgTbIlV54u;j~SU~V&mjnZqL{rAu(IKDK?(_R$0Qq
zzdNk5%bTKCC$>vmd>DOlTJ9zVQ7;?W`2El2l>#}W1!ni>%{lG#WtV^9*P8z<;W>{F
z#jpG!yEg0po@o_=>x_!kK7Q$#eeY`47MU{Te@ZUX{gzwkiy5}BnZD0Yc-_6Tx9nf(
z{#kvbB6bH)HecgCE1T!xzrXYrc^#OqdziuCg1h|k?mD-mIX0VLZ@W~w<YmO&wKi1~
z#FN#ITt4!8rjAM%$3!>py&CWP-1}E%*e~Gia=f|bxqo<<`978cAz{n*3$ltw-`Ag8
z?RD?z&bD7ETG3g%{^)qz3Xfmzct%(2^{kDz4@X>F_pqz1(5iB+aN@E2hTMJY8LwQE
z<cT=e%~yWz_o^pd(@$O9YRdTbd+Uue3+L{dQ7dzPTlSq5lF9+f;n%qmr^U`<-0Z;e
z>4Dke9P^j#@3J(O&Ds_?Wub;rVLMk4PjveWjrCqz-j~S!{p0@P?nZ%gKV5>hF>s5U
zIB2_z=a-6JeYW3>Z!Y5w@A_}&ryu^|-TA)xiAU<pD4))KQ?y)Oesy#Vi~BewQtYyv
zea^=%PcOWi`e?<^lQXABu_e}P>CEswHRorT>dWsxT8@7HcI^&R`UwHUi!OgQZML7%
zEC0o&Vaddl;}NrpW0P`JH?4a8^UQMYdgEt}c1M|R^{#m~=ZLtryx6rZ+fBBH9=3ab
zqw!kw(u(uXyr(2KAH2=?Ot8}0>!{x;oB1z;LjNh$KE8hWUAynjy<1ZoX9yhRJoa^i
zu)9gvasRq=>u<|){*CAk*vE5*IYv#Om)|Ap@9F9fn$c&kKV$ElInBG&!NG=8b<RD(
z-C7M1LEC~Q)_ja*Jbvxd=XoY7ejKHXIK3uK<@;W9^~6QDtLM)OzC1Z!tflqQ<m*?T
zdi~F2JA10!b6U%;-zOF>>dtit>?pW>tZQj!Zlp-8L@CQh>4(!lp2=daU9fM_$?iY~
zPKR&%1Ji`=y+6J7$$EjUG0zRRZ_Ii0bIsRR_5queFYUQ|??Qt4y%0Ul{OHY@Pv`B~
zA|9_9UVAR4T7GrRo$J#(ILw}Vl;_Qz_OEa2r$l+iW7S`c^yLm1ur7a;@0gpq%~1Ki
z$C(AIe+n_*Tv;ae<B8;gs;PVDRMdQXKPl6-TJMMXg>KWf_Cp@xUcY$^r1Uf7eomJA
z*nNFZJSU^wiLLyv>-@RCsCOs}-8j0rY?I;X8<+0I+Up+YD_VRn<x0+uv*JQFixPf(
zbTQNB_HCH-cCPIs&eP573<AA$w#o2cykM#8d_wB1S*4V!XUsy^O?%32I$g-vdRs$Q
zqu)z!Vr10~sV}p<6DBv6?AUQ|i}#WB*Bd1=awkqM;ozFMz24JBGI_~**7B<v44jM3
zFWJY~{?TcZ=~R7Y`P@%t4_EKyV@X~2OiF>rM$%6_LeaAA@6HQ*_C){4O<AePwav&a
zbw;DJ$eC%H?(SKqb}CMOb3EYpJPq9)Z@%B+Te-eHEcol*lgAY6{9mst;FyqEaPvUJ
zArVfV{qaE-vEe6Y?O4NGU}|;E>VK>2)O*4DhZV!5#h-Xi`Iy2dUAVA&&Z@7v+ow9;
zU7>N7UE+8g`yn%rlQHVkmp*jOb<aF@a*Jxlsp-+GOjnmi%V`AOIMQXmE&Yhqd#mrN
z-d#^-{FPlJ^mE5lwwyrzmRVCpBr~SIyyBjHbMoywQ_fvjck{`i2iaWfj=lZscIoW4
z`Ch%t9v?R-ovu7%b%x9e=O28Fj!#*v@y#p!aj4|YMRtEy&u@HSa^Td(y6v2OadLGF
z16C(}lPlm@CYf{IWNGbp9j)RbU&Tce(~r6Il(h=2{=VUZ4cEH(&}SYx(bH~PPqE08
zd}eb~ulds+?$hTcavWfMc;wcVwujHWFQ5N>#%QB%y7x8Sp3N8d--gsFrg&Xw+kRMl
ziN(2Jy*#ePIyx3ijAvej|5`4Q->lDYSAO&F%)rD#k^O1eAy&E3dY`%*-gf++=%ae6
zL`?p<nmWUEzQVbRv+qrn@jvv;?T&fQ>yLB7EYGfAz0Szprbc;Q#QMJ{GFYEF-FomR
zXLj2c>&gbX9(Plj(sX+zhiz8>sxMopw&m5k87laQ`!)aAxv}>1k?R{x1GDmadX8kB
zeSEah{P1tJ_fJfJvhLQEEK#|&WlhuH$E+Xw?#EAA^U9F9TDi^sV!@|{?AM;BJ$kq0
z_I<;94{v*Pbj9f>GdFBWwqn^W*s^Dl>D;w{7VQaE=4k!B^8JawJ~n|}Ir1-;2JKDS
ze>$;Y&*aSRg<pF!zSO_AEKo8Me)n#=!<4&C-`&6NeUW?5vwNC^x^9s~<&#{6$Il*R
zxEB4LV7F6u%8!6pXHhBcbnBfL*0l)g|J5(M@1HZ(AhXKYSnHXM)dEqgZEI!MyiaaC
z_vmu`Ce<!}lkKbZkL^72nCVvUw3%OIrI~rpUbkaD5LPjNMg6q}r{Bp+Oju#~XRVfw
zinILxzu&mTW_b2D1s!4E*V;B;@Ac#snRm_3{<oUNB+Uv|ZQJ*yGTm9v#8BH<VfK}6
zr{`?^8QnEC>`lj2&GUst3y=A!M&zxCZA*N&BDPoX$5}4X)V8~i5-qB%PR&Wq{*m(C
zu07q+J$x~X#FeP+X&<;GJF~C6-;s0Z$m+;T1rCgPE`DkP^=$t?ru<Bh=KuPiO_uc#
z<CZ)F(XNVVYb$L-ejYw{Gv%g&?XIBW4{vl%hbh0@Rd;38@4I59op)aB3Fp3V>g{cJ
z%d@_HX8dWD3ofP!i}=pPFS~tu&hAP(otgIv{w}!OD>%2Lp09uBL`KCm^4#a&O1iDw
zBeG4!tNpg911p>QN!<;nFDdjSZGU`8)9}LK*Zc)bbF0m==CiJuBrvfrrgP4Dvz<45
zk2{GSo$!nI_p^hBT48IaF~%I)wTP2}fA$WC;)lPZWj{PV6*D#Y#k7K)r{!@{Ve+3M
zc<f%=-9I_u&IYYtQ4GI(rGuR=Ut?Hxw>ns^H)6{3br*tInU56c<r}SN)chXxs(S6_
z9WvWnb*IIJdGvX;ZMt2SrLh0>L7%S83w+twcdifjO<}T;?w@x3))k4K+*2k@@7~Rv
zuN^HK^{A%%KTBQx;$QO$t~~x0f4XIvT8l(<>RRr$f4VwZ)l;`E7kMGu+$C|Qw?O$>
zI(viWj=Q&V1Y<45r!W~eKU^os(Xm4%_@wsSxsE9-^Z6$1*IWN<?eT)y;y3@wv#tF*
zm*u5b?*y&ttZ2gpd%|P$l8<O8UT^T>+;EcZUip{ro7~P^+vd3A+RIxvCLVbBkweR$
zzp~&F$Jt|t!rZhc6y;Pa&(NCs(u8l}+f+W@t;;VSW<CA;xgp=*&fcRc(+{>NzyAJr
zrmoqA-I>=|B!5keky|qNcX&^<>3rwlDb<p`P8my=b#$G&duIJ)m6qF5+Nn{CzZ>4j
za=cyn%d`3QOv?miZbg9=7p%OWm8Vud-oSd&^m*dr;%)8g3qQ!Q2Od!i@q3Y=VYe=a
z!MZ10;jC0x6<<ra+daK#hNUm{j%2Zlyl45=H`D9SmAm1-vF7hp&K_X$&NzRY#Y_Ho
z)%`E~+MBD+tv^?{)BFA#nPb)Wnr*@j=dmTtxc*eB;7j-`ldCc^A0FK+t2L@)>Al&!
zMry{aDaP~O%yE1uEzfapx=C+}%i+}r!d%t352luWp57m&x#>h)z$Cq0Yu2|%b*xO5
z&t83=)yvlG+@YNCRSxYXdvD$Sysm!fc{3H8aKF|(`FkH7zvnEwv+wZx2k9YuzAnFW
zNT__>;|_&Wx8skzoBMk?%SLOf$e@?3{`L!)q`lVeUKF&ndUaFzt1D;g*JK7b9JbhC
zC+)($HRwd=0r~#C_ebVSZCZ56aLetv_X{RR>8@Ykc<$5wS$0W>ADB3Mo-B5nAigB5
z{km!53?rk(qIS#IRNGohE0=vPapFDy|C&Q;b;QFy<DBH}OZ%h*3K?td+qTDho{!kI
zHb3vG{LdwKZ7Vm;IzM46(`wo2D>m#D`<W`}kQ`#eD(+)H>9Dnch_*k|>wiJtg>wbP
z1>!fQc=)JSuh99yE_AT^gfHhRRsEgTH4JK7c;+v4SlKlv|Hu32UDi>z-+A^5dCzXG
zYn*eq`J^{XMn?3WDxF9Hdw$WieQ6dOc@MQJ-p=7aa4u#g!~1Xd-xoxD+FJ4C4#y3J
z2{-eqcfLM#zi&^~wUFP%;>Tyre|#bG`jy<XdvAPijyh!e#B$&Cr|MhhR?XYZVAHDK
zyG4B(yWo;j|MjNlzEF-Z|86e&>*1`z*U8HHI)5!Vjw#J)vD<fXqtfIZ3<Uy#M<olV
z#%(&h<iej&Dc`E-HzLB%Pbi(<BNk_Q>FRbtMUBlK&qa-mwbELxPBGk_GufW?m}A`R
z!=D#+%{gp#%2P!5bY0pXCquvBcl(>Z&Db;1U+Rt@e@#oR+?vckYElvVtK)x4>4kLg
z{w`?ej{DH3FjeZ$)Qwlzl>_a(ciSwF+~CY}>aI^=Owy_SUCQ5Vj6DqWlFd0DpMB5B
z?B;Un&4>0+Nelm6>?mC(yVU!u<_A^>yRutmZGS6jJdaeT8<-mIGX5i^^ZShb&!f|}
z8F^_qFUfWZFRnZw@#OJUmU%90%XV$(mb<%u<|}232REDfKHL%zOrECnU+xmyEB%L#
zPm=dCPm$o0S7^AdKP^`xhm~#iDepyY$15Vzwg<N!OnEjpmov$2r%be|{j?9(yqzwS
zHdl)}l{CdH)An@UdidJI;Io_G-%H?F^XTfC-+QC}2*2)`__a#DRK868m(M@n?hm=)
z)-oqnUf{JiX(<uirEyt`E$Hn($0m8}XDgMqD$d;Z=X5;hEp|6=n?|=U{I{I<F6|Ub
zy+6I_?XtVAdG%iE%ch>z^nO~k&NS!A6tB56?%1|YKV$vqTs*(?e*J6TLVjp69pB&}
zd3Dk4)x268k6dK&Ix+t-kCsWgpuhF(Tl0>rd3hx2q^v5(y36yegwAhixb$tYM4*4I
z;ian&Ll!!Ug|jnh8pLh4+BSRYSwoMltNM3%RagB;Gh24+2<uO?zn`woc5RUVH)k0)
z_Y1RA9b(0cK8Z}6w&bpn&UqWwjmk5FXEp>Wf7}#tX?pC=f_06S*1}x|0{VACEZ>9{
zoj&fgj#a`vz(|B~?}Y_wUvx^Z1)H9g4_FfRaN&9WsHBTJZ;q~LkPWK}bdbCI_u2fI
z+?rPIz^cS!6$jSMahH&)c{epc?`Zu>#(j>{%9^v7a@;o-yyX*%UeDz>W&QEsTdZ4S
z&OOUobSf+1b=JBK=Qmwg)@HDLBL|D@=GfEmi!1}%%yk>4_As_f&Od2<;G$dUH{Smt
zH%_I`kNcwgb4A4O)b|G;?^a)QY}bpZ>HVQk`qx`?$Z&HqF3S1AD9pX=a`VCP&Eb!x
zHj3Omxwhhckei#ylt+o-YKzyjw;C#>aBeIzw0<U$|NFaDjIe!bY|?zUCtrF$JUuaa
z(ajr;Q)I2v7j3*Un>$ssGW+qSd7(4^{r+8(EmW5^W7Yga2R(}>Z(P|q;lhr+&*Hz`
z(!TlOy^_qA?}`tL4;`PZGJC=^t{w9ZttjKr^IddotEOi2&L{=ub3f)UVvFM{=iuc`
z`^EMD=pr8DxyL>{a4-4!_>5=+vmcj}`-{>Ujf-~9{kMMW)au=xK4P0s?XR2T@V%PJ
z@$6Hbjfc48_!>@jy}P*4>*kLuOO{vq7Ph_V&tf~7dZPa4+$aI{9}m^qk}kX7xjOTj
zzoWYIg6F=0fgikmwJVoo*k9^6*O&eL`R)&@F`w+eF|yp77SL8Ba`ir2da&pOj}rps
zE0)~bx=7PIo7?&61W(OC&gE83SB}jW@SZUHhKXvNWLaJ8w9-8`G4Ziew{`5QU9k3t
zUD5TQoSold#g#mAF6}tocZoB9g>S(Rcag{$M}AgLcwTcOd25qn<<u>aQmcKINi~Rb
ze^|g`qxI@gF<YEs&c}q5!&Z}75BO^yn=obCKV=#3zC)`P=;*O-IQz$WakAW@m~u(8
zrxnRbSLBmSS2|yt(spFO`R=(jDs%1kNI3C4m@r@S#`kqEd?r;Cy*euEUt93V=I<W0
zjpn~UFO?{&<i378?^4-Wr#0`I4zK&YLwke#rN#Rfb)P?_v3ysEBj;M@$A5#JE%G1j
zn6gjZcSCewcF5Fq>+Y5&hy3WYt>md)C3d{-0OQs6pdhByCXM;QtowE@yjU#xBxc%u
z=|r_3Gh9Qj9geYW+xuyPpRxKU-GzO=0;gs6fB3V=&{Of<2FF*e`}b@8w>l=aLf<mi
z`Wt(Wl+c<BNsYcGiud28P5n6Wvha_}OKU#)&41ace8_r_Rrt6084+y?j+XzdTIQcl
za(^_PbL*W8&!!6P_3PZxQ)1*EdV#5mcgmUzhqMwF2(g}hQ-5HcW`WEGRo&GGZ|pt8
ze66yE?ekL^nPtL0b@c`|uY8(=ULE)z)_lcGL_cNXo=dkc{h!-$;_}4+JC|EcS661W
zaPu<0bG@;6k$4}2yZ6JXg>463Pv}%$?zKi;=^01eqVN9;4&)_-?v1_5Z2f<`@5aNr
zXZ&3KCCoX?UOnBI?YG@~hpOrR>0YyZbJEsc)?N6{dg`vEC0s?19c<=pXRNeoQO)F^
zb>dW_kw@PR-NLDT>$dOC7K=O>^R{@#gh(~@9dd^QU+m@)y_{s&u`aA}ZvBM?$91`0
z&i^Lh*D`JUx-RGCFHKG@)Z6s_Qdr{`@fA7>zbo0=itlW^zjmwNW**B!(>%T_+*Nw%
zsrkP1>FdC0VW++Ry^24(ecP|6&fJo%0<Iwems70g1gtpSe)G-6txSDan>|+5T=RPC
z&=mYZ(Pzfmw9c-H!hQaWt4?nA2xqrv3H|$}%K6eJBeVYtCT3Qzcy@i3#NCBDR+E`@
zE{VJBV4N#|!S=C$<-D}SJxum0hfA_EB&!~8=E*OVwEDGbQN*>JeF@f|JdTz7+g02;
z(X_Hk$aL8vk4XE{NbT!<`hGvnirYDtEnL6wu3g>DDRE|j^K@hWSoR!Tl+FHo?SZ>-
zJdvC)mfvKHn|?LdF2K(x|5^3Q^$V6;-a4H#fAXu1ePVaiFT9s3oSLli@U6GP9Knkr
z4T%aeG0#3cow^`d;;>K9w=28F&aByFydkT6b5r{V#njL1uV1<Fbo09nyNyp~XfAkM
z{NMZ2{K8+m^{0xv-Y)TUEL(nMqHpYzy_dAO_ivib>>je%=0{u(m)R4)Spl0xl8jE+
zCF)evZx$-Ey)oqj?-G$^xzlfRZ1l}@lHC;eUg}1ndfsklrs4~JpQ>Xn*d0^LiJLz?
zwfI-v=6Exmg3Wr79baDr&E;};-4_?X!drA{+s4z*H?*|dWd1$9Cfxo%L~udeqm7%y
zHWjTsYwN%DnB2pA>DK?gZODsLP2RWdz46BmlYMbr%GrM=?)SK9tmyph_JTlHzDqMs
z`55UJZb^R1a4ym)w}tVywob&-YdYuajI3X4@e9`eeyqD7UV5jk*~UMQj;3fHyHQu|
zeq_F~<KI7R=06sFI3neefBxu+8Ji>iFxNi#8`iUN!l&&~m2%HFdVb#bbI*1?g}4bn
zx-Q(<U-7(c`=M*va_U<po%(X0nur@e?icRAd#ksLZ@*xmceaJm#x%+FzW<+>eiixN
zwR1;y=d?g!Cc(HxuO}a|>j+uMwfor&HP!i>uLaJ!+cCi`{IlSHrmgkmb}R3f@6kKK
z@y|c#dRUZ#r@O|Sl!G3MdNZxWF9!wPYFW-X+k40VH7>sS`&k_RrhVVL+e*HAbM*dg
zC*$m8{vIh-J=^kbgKU9@^qPD=#dm*`wqE>HrO;)$r-{?u<%?w<o8?5MtLqNOO*&LF
zQSkP`Hog*e+g0y_3U-%0RzCN_$ZzSCu)<GU1H4|ZULIsT`)B#2V2w*R*B_N=2wmF#
za_)jv8Am-&9Gg04VSIt+_Gu^j{ue)+)E#rh@bvC!+XJ1_MgEEfc9k<VsB!y#VX+L!
zsQdi;2=hrNh3`fjd%u}&TBKj8x>WpK@uFw*b!LUCeP`kfx_Y_!oaC*eT+CN&y3ZbO
zR6e=WevPAkPfz##$m&I<a-Yk8rvK(&dhpZwFON?oH(XOLZ#?BRpLdxy`{`e?exku_
z`zDBqy)92Y#-^RUT_!~AOH=j((@nF#h!@wb{d26l`vJehZhm9;?Buz#YF<7$XXCto
zL+A~?x4-2#Gb;Fdvc#V2X<FkPw!Ok<QL*f^w-O4k?{Bf@6Y0q5iG9R(-ssqZmG3r7
zm|wTs=(}<gi-gYl2U~03n24RbpqTMb;>pfOEWE9ZF$<<gopLw0a`yJ`O^(+)a?+Q2
z-a4~Y>(ITOzZCU$Nv6H=o3!rhi)~gvTLYs%=d$cAR-WMY(Ab;pQSqIHLVLZ{yeCWV
zpEoZ((kQY&yn2g7`B4+jJ;6$?B7TZi8|@puR!7b|`ZYIdC#Te;$y-H@3fBhAQH}cD
zWyV%j;2lu$$K7++_caC+cPKx<UVqbzeO}u1sG7@3YuTr6jTD!kq5bi=!0m96N;7ej
z+t2^qz4mv`gCh*fSW*HPh<I9T2oFkp|LqCe_m^&8Z>PjB-&Y*q5&Sl5`nUGu{@RSL
z56{%;F-^T1_eOM^!^sU?&nHTht3IA3uAH01JyYhaOH$X2DLNjXl)kNb{vcUt=7jCh
zg~Ic9o}8yIxum%5#0#sA=`kDIEG26cR`!|A$dp{K_;*{Nw%aqMjBU3ylWwnYUMcmC
z>w(8rhVViS(ejP%X)Ti-4!Fo3xVR)CbL;1LrP)%olJC8AXG(W5ZFfB|^$8b;EZ3q-
z@54;^<8D1W%#z0S=+U)ZMw*hPbGv%eBRLin?XbL65vtkxTy&E34V9#ifhX&%4^ErR
zn5y<-+EXXjE64V4{HJelQo+hr?)vhF3uM3At=`|d)Q~0S|KrMnH}8udUHRC5Lg}lQ
z{fWo9*D4N}hKj{&&fss{HOKu)jMeqE3MZ3Gl-=j%&#<mAvzF4k{cESmZR49ab7w74
zxw|^ZG_72_=;O8T%bsyBm+N@qzChE<P=4d0Yt6C|&dJLw+mCqXoxJ+`qjZ^j(vqhi
zPpJgly6+ZpW@6j&8%?}Lk3&rYIuDwtSIlB8c=u1G``2Z0o$f-TH?#9*tPfbNcBZ;(
zSNpNYVf*HvsjNJ)iD|EHK$Nycz4ngHZ-b`ZoH1?r>{xsE`OHr<)`xbwl}Nc==u7R3
z3n-N0txmk^6gdB1q^1SS)Z>8;?^elAkSKY|#~r#<I6a}=?M|e$==Y13t@~8g@*Lgv
zxRNJ3`gL#o!(%#5!PCDmto(7q%lPX}$-62F<;#=1joE){%n=je@%wBZ=<u2Ejkv6w
zW=Q<${0qm9oonz}&-VWLwRef}JP8)IU#DDbzIaAa^M&vy4mXR#Q#$`F+m~Uc%9EF1
zEo@wTS+O+#*~Cg7vxujCviu>cTYvb8_8k%T-PU~I*Mu`W`0vd)@;bC?r910<rD)^-
zhLTCgEPqM9-Tpz+ep2%$>86eO$9(R)2kGVgJ>xuYT|)i^X5r4m+Tq1Pb3S=)iD4~S
z&8Ez6YA;-PO|#$Mt}kWxWPR`6ohk3uF-V;LvR&!<6t&*kNX{bjAbA$WtS@yd4!(6h
z<mjG%_PTbPil!i+sY`&wrX}<K&ze%d^ppVi+vD9<8z$?x?G3$VB3M?X@Gl@q`SRSZ
z?l<LrEjt{a&pqV(*7DJ(wV%IFO*y{&Tl1<1mkWjLPBm>6JUyk}Gqk{5=ssVbNW+KN
z#FcL!2*`5Fl_zQ$HtoC1&8TX)U~cN-p6q!qK3y~lWL;mX*u=E|mL1FIz}I({o%2Yl
zb=YI+Y<xSlclT<`@A)TK&+Pjpa+~AA<UecH9IHQ{yXC3bbc?-CL7z5X7H~1$(RWJm
zx4-heIVxQDmUKT5>i?;)%(0(S?v=ObD{1j39Go%{Kcl~jB^a&x(s0j*p^R};rH<_3
z-~Xm^O{scRlqg#M<^CV}pYLzvzBq5N^W2lbIhK0ATv9$fUF$l@iI<;O_oCgII|27%
zwmz6-*}VNs)8lU^#JUbk<O}!cT*%N$+E6PKk<8ma>1NIUYsODp?|zV4a%NKz<MB%u
zAGZ~M?LQDK+1&L<>h%&YmN)-)x0YXS-!4$GKd~ifb@;#65{Hf{hDxSA*4+Jmg8qWU
zkR&J9U5|hLm72{vX|m?_V+IR??tiH>f91=~^l7fkmA54u);};6R{bD6^X#p*t!m26
zjlO}R<=1RJ-E%Sf?WpjWN8n_94C}Wg?_2!N7qH*uTu{5QD)imC-GcE<WuMI?0$yxs
z{@mg@ed;{#?%tngy%$_J{54Il`qqZ&Z$wYFIa=f^Es)tfTS}vN&CS;gQYBY19%@US
zW}UjJ?YyAEqJXqD0<~G|t5&XFw1_Xjz`v+=@k^mU@wt;<Em*aeE8X4Wse@$AJC%L?
z_63@*4lma2Q=5O!G(_-$=K}epuMy@?qE1z=-t+Ow-mH}l8#c|@T@fQ19sO#WL3Yo1
zVeK+^)rXfFmUS$6KJ7O5gD<JO76~okx#Qx*@5CBaIdALPWyy0Gbt94|t}eKA(cR5q
z=7aCOu20{jnxF5iSjcU*pe@nB{J8AmiKg>QbFX~tzH-*=X9BNLf6bQr&!){u(Bbg2
z$Xvq`_pjYu*rsnr*z~j4#1yjka9vHF^g2mo`c#wJzGXKa3vWDfqUT)p0q@ww|9C!%
z-T!sywcD3h56&`axBi}K$1Cw@`d;^S0-YSCAF_S^S3KIs^2_e&mw=GO+fU?|OGli0
zcjJ%V0fGB#UM8k=M|^m{MNsnAAN!@{cOG5+;adLCB<$vtH4|^t>Kt^FF<7Cp;?T8*
ztoP0z_QiY4M9phtj`=a?d8_`;`o-~^7w+4bu*_!*>+Y?MA!~zfG5=;e+Zv{ly{|Gq
zA^OIjV;U*Xvb@_F`%i4>)jJdOt7h&RuJf1PrYw`}QC7HKCDLV-WpmqDW~vN#p}=3U
zMK>R)m#ub@d$_Vai9sV`&0B%*dwsL#PHmddcgMiO=)>=lyZ7!)K74Y^s`?bG1C|+z
zkDebtoTqqg&(iKwJX<c!@^5d6=F6^}`h_v7%*;yQ?0@&QVq!9PtJmy%?Kn;GM5n{+
zHA<G|8UBU4TS{Imd-1|fxnJPIjf~dw?7Ln4i|+s1_54E9Bs0#W>(PHam6B#$R*_#d
zIkkGi#Fm`u(3YBtXx1{N7q#uJCLPR&N>;K@=E+=?b-l)Np^I>1?4!SxU-G+iPqyE@
z@bLHl7OV8@3R|`m1Wf!?D3h_<{e8~;-<|Tlmp{&$p6+Mhd~=RzqFaDSddSM~Z7Jfb
zb<MXlEfL$pAM)W}&eJQGXXl*@ty-Ng_)6~M?Y5&cbn~_4Pn|Rq3r-1ssP3hzsAp+Y
zqS14Gw#MCAyfPeb7p`|v%=@f)>SDW|r;YzD<D;7`X1-NZ-0l3ga`VJFGG~(0nw>Kq
z9G3f=;?^AdJoHyz<)VK@Hdpm8`q=z8i7elI<Jg>S`<o|iT)1{o$dSz<&mGuGrZ!8i
z2@+ipcCzZz_h)7oR_`wgWX*1_SN2v&`en<?-og@~w}0jP>Gy-<u1({KzI$czt-D%+
z4Jy087T3QMpSxj07u(?}c@|G)+M4~G_PjYHU_4ndBdR*J^5r7&Xp@JnuYz8@``wrB
zmi1-w-W^*P?$<rK(A|mO=<lsZxffoZ`ParK;`}H`R<OB`S57@4YnSEk)XP~Gf@_Wn
zoxjMk!FG>ef7zLohi1<sZ?C^EYHR)Cdexnj=17^i+s6)ml@HF+=QOy%t1fcwbYr%Q
z;$^M8?zH8dXF4V7&!leoYbbU;Yq{^Cj~WRt{)fBYyH&LHD%&PM4$b71+QvN>I4)YK
zSYE%-!0|>|Y5Ogmw6L@5>Ki{de_1;t!|GW{M&<6sy3+!WTF##85PI)+-N|h;yGk7s
zv)=k_*lro+5SjNl#q8#56Xl6F1sTgPx7a_8(fDvj^`GUMPYd2QH?BVO_~@Mb%QXbs
zTv^{~?6JFT@yFuTel3>x@?(WhFaB&g^2$qJHz4D}#m^nrCVe?)7y2f=^IPM=p!vu1
zKDe&Bedfg(qZc&}Z`>;{94-<($$Rc&{HdUeVv{%AHd>z)tf{uPiDxzIx3uH+antYg
zEWZ2n&AqxZi}G3Os>Qn%=3h~aXk;#qz4=%4-9~lCTfcuC@RX6-w|`Yzk>~GNz0b>2
zJ9NsJY&PC_I{%dQ_ULm-XG=FuC}{Lo;r>3$ifNXYR@cd^-g$YU+ZCUG*c5x}bnD6$
zk}{I9Oa<*Ht=I6*TOD6rbI01@WB1wVsncRI{!80W`hMEfA*XSw+P6(xdD7;q-B{}v
z*snD6&ur`V%Im8qelz@9%^UorG(l_A_4w{y7Ea^I)4Pkr+ZOzO%n_BrHC@AThKL+1
z_bzXi|61v5_OdRYJu6pg+Dg_588T~+{##_0+%tRix8^Ubp4+l&r2pJy*>Lxr7iX7Y
zlwkf$Bi5xS{~2%P&3xP?_N7;FQ|yk1VeX3qa&_~}cU|`ipDJZI`_@-BmF1zS(d#A@
zitN6X#KUT<a5vS?QIK`(LhIXKtM;4`m(eOz5Y6BFuXkov+L;>3XV+(LxpQo9$^V@a
z(=9nYr%PqY&K7yK%j3!QYJ<{E<}-F_D%AKF$GtcG#JyVj(D9S)hrRvSK5?DZuC~1&
z_VBm@pZbCQo#InoJMG<kVE%*|r>|Jt)d^>Qvnn|zN#NJPcWYiRlMQfmv|us6*xJi5
zaq*4gAFgq!2J<YCw^+yBvRnAlU(Z)+jGHwc?_pw|Cv&I9bBcy3%lrfVk-5>=oetz&
znY!cb`RxfZbAPH@9s7{_e%a2DwE~^*Z|OHmf1c@N!)&?M<*h}IX0lh~@12>ydX>1V
zGin^$lpctgJW#P*?0zQII7Hp8>F>MSx@!&C1uG}Ld%c5sjk&4}vt0j8&C;)&_qR!=
z#ce&%S9oBm#C7Fcr+Q^qi}rn7pJi}XdCkPRTW4nWI9r$UMddNX2HwaliG6=C?8-}{
zrrr9wE`MiCKk7Bl;*ZI@a}k|sw(sY@jQ_s2^MJyemX54tZw%HZemm&BbY@-uz0ke9
z)*VOU4@)<jU1z>?YrVxgw%K(BHWgp$Qo5MkoTR^+{AX*MyC?t2YYu&hrd{jzFJ8OU
zkuSWF+wpP7MMv&g_20!E5|x)M-St=E%Ayhv2K#gK+EXVjI{5I^eZg(HH@bcWpZS{4
zB;rzku=kMQ8so#>dTOTJ0hV7}Y8`IPG`lo0vvdb*r`lHG1+$*;>^|?<&+5vPwoE4S
z)?C-mU;kVd54&%2mtocyVXk-9bzRest|?m?vvY0<xAvz<fv?3=)9NNky<2-x_O(WB
za=>j#*B4j+*~PwVU&ySne#Xie%bgDcKRuIPyURqyKQQpz){92di<W1rpW66(wq)3m
z1D|7#oBdJrJk>3?R#8o>(ue;7+oGGQ%zrXeuW1KbHHXK4RGsvDQq^9+^hYO?bUw!v
zF#O^72siiJ^2umjXs{^9j#V<w!I}&QSEcWY`Ek0$<$m?uua8_m{kg2StEs6&;{BD*
zM=pX_8!l`4Do=IDl!)ASv+a5n@6<(K_AnjnE}G8xr&Xrl#7xbwNmj26*f*xXc%W4r
zz_sME`)tV>v!ibKdMybHy>ejf(Ya?*9%+S3|MQ&uqHpzF^=}jYoVDnh*S)Dm#Vc#+
zw)f@kT0(tW<R2T%{Pa2XaMIpe;q6yMuVxnbEE2u?)v(!Z{sN}$k&C)aJv={~ilv19
zYI<e+CHL0Vov8||44v0RG1Lg1xM|6rF7hh#u5R|?hCa6x*?$?oE56FiYhmXPxo4G_
z`YbKxfZU7^Hv;ZRFBZAjS?cj^eRkW$Yx6aZXs5Eh+^6d`FYaSd@N1DxEDLVAoj?AI
z@3pi_+4IRVO0qIKCKJm`zW?(}IJ~ms$bl`DOj5sga`ArgH*Wr*A!063u31v%7rt8b
z|GAql+b;(_)l~R!wn<3J@mOlaQRex}r#m!m3uLo2_&NK4$2$p|g^d-j)j}@Sn`_EW
zIseeR!r1TYrGPJ4h98)@KX0paToJY^&|yKd-IcTdq}Imlkeyp;l5MB^rYQV_$$I`f
z$I@+s-^pj{{<@MHSaW85cN>3ZNZ`5V>71+B-rKDIx58`R{7ZU4(Yk9p6`wZhuWk8Z
zIK%CCncu~ttw#@f=AN<J`lLegzqiHS%QEW~uQ7?9Tjey{yZKdKvTk&($#J2tbGC~X
zR<srdO<rK$ZhS%~|7`M~<@Fo*Hn8kI8nvWJrn)JzVR7)nC1;=K-`unMS0m%rwxqiS
zRtw`FuYdK<tB`T3HT!J8N8fJLB-%}Tf9cXcrVGI<ESjIoF5JA`lJQwZ(ykTfrX}3(
zu_&z8d$U*if}7&igLn4%>9uVx{Nr{{kNJ7|ugNSe=6hmvRjwND6-#w~<8-(=;M$pA
zo4)MSWVNjSw{;bxdf%SI_b1<EP<wTJ>lfC0EH~GR?mLtov-$bMW}BZU0u#?px?9k{
zT>I(rzh(OS#GdRry>`nY)wK&14GnfZHuC+G`M2)tjWn&`msbvb+!*~;UQ6VMz}LhB
ze2-3PYBiea>epQM7I~1ycHyq)!i13ISiZYf0l%E>>vmoYlCz8aXLI)Y?4xJnRQ|Sq
z@U(W2X8M0)-^qBc&$&HIXZbp=*y^C)prw*6>ijb?hO<lXs>z&|4c=1PpOf|X@82C=
zTYgS1;r8X#=U3ZL(^<y3cVEb(GI<yC$mpo@=Z^35m2xlKpDX&@q~9yGS4i4ZrDwkJ
zJ3e+hGehPFzl5#$oGkj!SU<k8;lxb)b9sgVW>2*gxF%e(&z5sMnl$6cVXi}eqeG8x
zmYZJHrr#4SFip9{*f>Nq^T4yMU#ips?|X$Q@2}mJa-?rfmZtZDwwVqmPT4$-Un61{
zFU!~3_F}?9y-DjXU;lF_Ws~Qw{VkJJ*SPWL7Zq({-Qy|D==}JFPG#T4jR}fR%UBMq
z+4X>-rCKfI_tP&Ex-3OYyOszB?f!N6<?J<U6;x(FF{}*M<Sm&Iv0C~pU&#Tr6<YU?
zek+^wjjPqgvEk_Q!Yuo|2U=6F9Gsfdo^k78*yelMi@6M+?Q!Wd+E{4He0<Ver+F9d
zp1;w-vNCt!v#BQY`KAOo9pt!brq>^+Bw)j1^VqudedP}p8RnhL>%$~VnG2T-1UPxO
z7jZb0<m!di7s-?!leqsS@XX<sd3s?v2KH&L$2w=S3QP*VDkh-Nc5$Qn<U7urPrs_+
zU!MQ)o51~@8)6^6Za(`m!SC`*waJGXN{r`M<-7fVk)wX;wd5Wi#~0FH<D3dhX3aS@
zvu|c+QJnjchiCJfQev!RiaIYm`lEK%f92Iw^Mr#r8Cf$YecFFen2oI?Z`V9EjxfGs
z+kYxQGW(i!ZhhrRsiP^XDvCj$3PffZpDxv3ekw-6^sL|35FHzly~q4rz4R+DOq1ae
zZ;{bRynIuPdDr9QX$v187rH9bbR<PgxNKwj-y3y}>qKtdSa&q--5sIAyT;M1EA0Nx
zbw2P-QgZX<yLA(FE3Bp@&i``tH3z5a?JjQZlsk`adb<4UpI%YG;UyQi-RXvI#*@0F
z<VQ@Cx^}b*+*eX%FQ{Do;7wY@L!(1GA0L{iywS0B$=jHKNGqci$Bys0vir}?`|8b)
ze_8CaWzX|peM8hSGsXXQ;}yxty4Ft7y0hnr70Gn5$l58STv~W>joR+-+ZTO*Y1xtD
zB);ZB&5Y>>9X?FcReKpBm3GUxtTb>Q%S=CkSMH`)j96_pE`R@a_3VW?6WnH6KUgjC
zcSo#${;A4blft{VT%0u(jpC*q=C=0EjI3TbefIn1B`;!MUtInFnC7}Ddxfm`;@{Wq
z2r0D7zQJv9&~*VL->L2$)0&TFhpN6|xL@#xJwBUZUc_ZLm+<uw&c7|Zwk+JLc}Tcu
znbXb3VQX(^9afc%I5AslkLKS*;WdH$4c80}{LZC|m|31GdhPk)PxBjvRaWcmJGb<_
zUGV<-OSY5QKKpzay+aMG+^)98UFbfc*D!hRhx^G-#Q$D9S+lQoQ<dzrIjXLX)28w6
zQp(ajqqu_UmC*BD_ngibPIkYsJp6+6MZK~U%g@?tH(xGj|E)aFLHMi3_6^myn79AC
z6Qy!cx#8|{t&7ZcHzSJ<d*9-9Q%Uq{yZGbYxp%G0OSnBaI8r|yyy7_H#64f$p7USj
zj@KXO=rX_LfBJ{7)%+>Py_CNyd}F=3O!$t=r-!HPQXAHWOtQ{BX6h)zQC6xLoO4#{
z(4-ZiMLVZ^-1@C}a<YhgLg~IPpOl6cM+Szwj8hrJ!sd1FlC==|Rn&1e)qS7#CsBU4
z3rqsuJ5tPN{(rtHdP7@FM|N-4;>iqrPeQksd!)v*O9g1PtDS0pyEQT~KWY2t(`xg!
zbMKqf%hucY`i-Kh_dKPOS0=n^{^03xPGI5*uFG?KkJ*~6+EHMZwBrWnCzi&)nc2dv
zPg*C0zdWHf_r=|&re~ZhE<CxtqriYaLEJlN-_7TBMq$a9AIhvcbgAH`tg~BQpOy%N
zv#qMqOn>9KtTVEg)HUB%>fSBzg5|>N>zC~EEyC++;!R}AUN2pglI_2K)~%#9x97`M
zKbq(4y)%1*T!p>%OP#~5ssii_?4GRR;(a&o`-usn=N1JX+<H=ZQDYgKTgcqI*S2ob
zbB>k^iu>#Hv*h>&&*x^Pr}%r#5_cA-mhSz{WXL+*@4n*vsN*|#doJ}>lzRG4o@-5k
z$Aa*PJ3DS1S<fQj|GQ(xoIBSqeT?9fFA_`JmVaVVO4q`@KFb^X%T@m@YB=HYYU0Fw
zpUP%_Y!fP;)nUML{6*=z{~8Bcc{LaNO}w*N<H3E+z^2cff&wbOXa7vxd1!sAe!cQ8
z>D#|k;x#l6X8wER;ITNS+bwGL&y?VZ0_#NQfXx#+rZDjS>fG{I*8KkqZpD_@mFG7r
zq@ByGVz6RmR{QzAIrS8iz}3$W6tBepWP8D%!@1gkuPs@o{^Is8CsRK3H%R$rwr>(Q
zI5YoV>?7`~MM|mFU%!^>C^xWelitqu)6_qM@$VyMO_pm+kJVNOA5dL*bJJEKQH_nq
z>la_-{j=qOu>rrw?Ce*w=D&=)9K7UdSBku|bfCi1)q7$a(-nS{pPg>z{b%1&Coz>G
zak&Mjo$miTRdzo%JH2xO2bcBrZ5r$Q-#`9(Jy!ZoVu4qd`o|0<Uamk1b^W-i;Mos;
zeviJp!Xs^ewAvR>jXBR)U&-YZ$UJ->xodq`t!eUU8NDBNpKkq=v3&Sr=FU}YlUe%g
zD(`LCBo%G<=+r0XTP_*KjC{<m&l;Vaul82q%!bq5JKB~%ZCkl;-#7l-9co^y9?maY
zrp`Ij%phDU^7q%ifR>46`+lpbT<JXGQMj$EO-Hrgn`zpbz9kh-v-JY+Yfb9cjF$R(
zzKC<d#M{Ri9m^i<e%h7xZ`p;PRa|b8(J$6<HSV5xr%rFbO1@8j2S<?Sren%MoLXW9
z+V_Lx<Zd<I`{A4X+I{5<-^pjQE=^nS>hm?`qW}9VfxC=1oL>^m_ksK65$BT=jwdq&
zy54%vwLL5T(exswy1A1kD2H>cnW>)rHr1Yep?%6PwfBGcH7{JbSU%N1hlBCa8UG7Y
zj#%xV+dacr`0JO6Pupkx5z1_ytm<~bw)X1(i$dGw>kcVSQ*+v3w{>^Y)}@zIHyiTL
zt~k9qNy<BUy~nmoYr>BdfAM@{I7c|hz(RJj*qYakyr<IUy`LM;z&Eqzr6tejS*+2L
zRexqByZ0<|dS@>AYP+^{tN~MB=lit`f35W%otqZ!@H^N2!Sk2brps0YtlM2Gwkc%s
zrfmifmVe@CIcXcF&~Z|U`-!P7`_XHCJ9hGJ|GUTReEG^30g``j=6UQnwlrUR#=Nqa
zlm2R(qgobgwK+_ge=~<MdZCqbfptrws>unxC7IjbT8p<|w3{dYRo5<*ee)Lc=j>fx
zI(m2W|EPxuzEOTRal(XKnVfr$Ec4jd(VWyQ)nab!HT`N*o_N~tCk?t)yJu_&+M^e>
zIV}BerRmq{OuD8^Z`*8T`pEP3_46bh7Q06}`QhJHkA79W%Q)kTlWx}BMdFFo9hufb
zj!q}Db2(~NAKb3lGws3G9ff;dth;R`_x|fgD+|N?^jT4x8aSA;-Z6cc<ZAm#@c)N6
z+n*KJCVM!Wy$EpkadB5-x45ja&~RPN(#2o3be3%>^FJYUyY-dz$<oK%-)l}D<^S}u
zrFbXz+`W<aawD%_{=JE3y}03rmX2koW*aCmzDtXk-{%nbyQG$PwnK1SjL@4obJo4N
ze~0sA{GSi+^W?hNr>oDI=Bo6f#-x7o$|q}cRb;<@*!APdoZ9NG+v=y^HBz&eHIq6M
zD&XtgUKY0cjZyoTy>mSq|8n^3@c8(5W2+APmx7G7mXTh;&vX8lKhJ!0k9py|$yb|V
z53H7-c!%*-OM2tWEh&~JDrPI$0&mavFUrkqE6`~C<*g88!`orK+2g>$r*p408tk?U
z(m1U(KUr<*OFlW_<+XuA*|$?$GZxGKDAAvCGgVD|+8o2pcM3a%_S)Ym{_*t0lD=s_
z)>W@g_58=tD_XAIF>waxLw4B@+ZCiFdJAu;RxW?Ce`{Wr*riFQ7nB9FOkT{{z2!`4
z$ehD@!cDfdNBA4c?NWE8+z3(JG~<uL|82ANFTR$n(tIQ`w_ID%t6TFwpPTl<IQAzQ
zd-fQ<@{PGI{^Dqb<=yk_{RVG##jWW2xOZ8i(A!s>M<iH`ZqByXF)mK?d~WqA^q|VU
ze8cF?+(*reInJCm<a@{3ymY5kSAyefV}{8yTKy-ly=hm`SL*39yCZS$BBklq>?Dr9
zWnwz}`ANu{HB}*<pUmIQD!9C%CI8rmLnTV7ANQ_KUCrUUVj;`=l_?i>I9ojqH9Kf+
zQ+oDk*J1IB#P6HevMJ`9oc{KXdrJlD`Hbl+81HFbeY)#(<-?8J1>S_5WWBK{hqvQN
zT>dQe6V2%fp6(r{{LImTZb#Bnr>pGk_h#BrcU<MHL*U&r|4y8c`Fmt$y=+M4zVDAq
z{J9?<z4g#xOT}%+pXKX6{p?)0({bbZwo5<KJ?*2nZpdMAd;6C4YSrUk`3(+2t<L7J
zq8^+L3j5vrQb_Tq)-j>`OxZ`G9gcDvMs}+3Ob(yy^5!dV<m+QQWOsfrvpu|jar5n~
zCm;Sl%4_HO=i6kL75YC+o(IhneY~-D_ol$N1v`q&xOQlCO>dbWd|5O9)cKPO_nX88
zdPlCmecgVl<ZH)Ap~=Vdl+9&}&gBHYv&fJ>$GS)2nB4z&Ynl12yE22H^P0XYDW4Ja
zJL@7>;Dalz#pjCG-Cw@&w_ACt?8Jvow=5V>o;(!rZ*I!Z%hGQTMawwd{T$eKiuY~7
zy2%S&J(T!1l~ymAuydQVfQEXjSo-S()7Xwh`*vJ0=Q2^``EbQ%XZzczY{|c`=Cx0Z
zI@v9jz0z1z^7n%$rE|^}@4OQ*>%bPd^RkT`y~{2i{Zd-Gk5Tr6Wnn;LI?t4k#U5c#
z=Few*DO{woJYmm1VckPfk2h}bI-At-qbB@D`?U+)V(S@SPW-hhKg;4W|EIt1Y2nVX
zE)V2h?k_&C($!GqzWk4s`rK+g=86r{tP`$Ozl&ZV;&;_@nS*N#_imSsij20?HP-h$
zIeyaq?j6D1x4z!mY|t|~?dAR}XO@Uf6FKvI%Qs!gBLa5I<Cn2V$?v>A-DXXr)4cL)
z#@P?0_GYi%uWT{%*%$LllT)+i{Ci-r;>4;M49`?{dP!H#eQ;TbzvPj1Q-jyR+V)i$
z>$jQ8PwKxd%F*b&N;+ckp`Qyq8HBkPA76K@{8s<W8BUvH-JJWr`ETo7UZXMhY-i{9
z$<h6SkM9>+dw54r;nBB0$jp9JUN(G}m&<jR2Q9sqcRNjPO1m{t$|8OJC6{j#oX(c_
z{CfHCny>xRO>IfO_Ivy#_t%@qxaZ2L@vU!lpYc%fUrWoa??wx>UbS&;D}HeEYi*VC
z%jw)xUkENrE)?PY6LaM1my%;Y7dlE_E6`Rtb~IVIAiH^%Q$W7zA5Z<mTxpDsH}5iu
z{<e_z=x(3y((j@6D*9^B+vN33TRXdT7Cw4e+~%}Eyz>6iS?A9u2URi%G~~v{+9v&e
z@+FBoGfs5dzP&%C)<5*FaaDAymC4R~uMr!w(<)r>UG$+Q)st%Pc8RTc%f&wXi}y6e
z^`a-2-cogVd3BC(!r~%1ktV?&UIVV^%Iw5rYkodDb=b)==#h_o@@bdQ*UA<1zA`@F
zroR4C=>F<^(~fL*d$zCj!JNolDt5EJgdBFBkz%lU+urk&op@dLeVrXUH;D0MkDcJl
zhth#b=C2ksTfE(~=~$_C-4=HT2D_aX_8flo%Yk94wL-}(a}not=l33QD=%Etxqi=u
zXAO(QR%WE61g!kFKK9Yln!0xl59@>vpPkOJNu)uqN6`LnMFyV|Pi5jEp$IwMKj!u)
zvI8E3P3K+odGj8gkkqT1fs+pX;fdQI`(d-*F`?SGd3oXwmowKX#g$+F)o<OuRcir{
zo%`)wDt~vJ6xtYd*=OJPPen=R`*Lk6eO~Vgom%ol`I_Xm6&Io&AAi;C!+&62)-ImQ
z3${&Jf9C#Lt?mtpY?@joeHSjcH@z`guj=w@$+{}GWT#|Jm)D9;U6HA`a%b-JH2f~p
z@hx<YX3({X`-+qoZD)S8MA_2g{W%peFaF=ru1!yz{=PgOH*d#@`Y9P350uT?xvuC0
zzd2vK^=xAk@0OJLw!5Cbkox-j^uc5)n~G)pYc|*JS;_Cb_r*PZw)0`y-)lQ1ZgKe8
z-QU@xR(ruM<L&%Mxs7xCCyM`hKK=3JyFxy0MiZYu+nBcL;9Td3#DM$d%eg=9z3;P?
zad-cPqZ!xw!X;YR*RhHJv9Rpqu3nvO>MPIC9qVq}%*=PKbrU1oAEk^Mh2-ZiFZq|}
z%-`e4ugNaC)AdH`^R*u(xVm)o6Z+Uf?GzsF$ezf*x_E=poxs}U`vS{1OPfbB^gZ-R
zjdZ`ya`I=@y~gxKS{@S~uzFvff8@D!o|iLk03&a@uY2#*Eq#{)+*PMv-J@7x{5SUa
zjsGr(9#|@R<!|<UR+ILkZU3vi8*Y{zyToc9Bs$@(#uQzpv*p{gTh#PRCY`*$Z}+FA
znvM!ZjC0QBetUF8WKroevx*rn<OJ2bEcKqd94ec=xXQymv!Lcc;+h#wQ&yE9%k%iP
zO66hIZho!2t?k>-%RZf*q%w_r--gQp4YxPUyTpD;>6nUmi^A=|f2=2?9t$(rUwA&n
z?^0-bqqNe7S9_&bc<$TRGxzNb`RX?Dqmqk0$#B&b{hlB9lA||nx8wiXg9Z{WJ$4&x
zj9FbOmi6bL!-R)R85o839iOQeoFR0C-}<ULo3@7eU$4X|=W^7pl)Zobuy)PG$%l7^
z@_qf?D>>sv+v(r6i5ITM6myt+2=+Eeb@%2JJyX9Ls5)tJzD>^B9o2qK8jqV-91CE1
zcei7r(BiDf+pe2M7QKz|Ty7W?AIEU&DCfo%s(W(u+HF<*4%nui(R`i%;?bR%(-|FF
z+YNq)i@JuqxyYcDyvxh|Oip8R-fSV+5P7{RypnxoyNrE3EX~TM1%8w@VD@^Zyy>1)
zPP6&v2fvS`p0u&rvw4Z`#gL38j}w2gx$-BynSNMgY0aALrz9R*{IT5gZqh&bYeuFo
zN}`=zOpYB)R?0lJ`n-ZwTJABAL$&WSPA!c3vUnrY;gtzhK^(2h>-MZ(TKvV$H*(Lc
zj-ba!Bv)oW4a|%C=b*Nfp>VI*&d1Lbm0P6$HR-;26JE%!b5&XV|F_c#ieVpA?CTCz
zt=O<@U%F1-v9*bhCQ3;iRGG2*_`g*W0vvoZVrK|Fi<b&=Ti8*1Az(pO<odwCqt7!I
zabABQr*-dmMP5z$&uoz{^-o`(?ccVqAUJ;pUuvk_<J(geq&N1mw#{C*Rqn}e{g;|Q
z9-qiCJC|PiPy6`6%Du`q3GKf>et)s**UJ=V@yRRxS1h&dTeU_fR)X8#@)Pfr?2YS}
z&)F~Be|DL?RduS8_N{_{EZ@Us&pUWNQ)KpwC9<{x`{oxtUZ|zA?{MF;Lo?!ozb~En
zFiUshL&N7kE}l5Ll<!E;PMZWnx683UM{n%3D$JcQcX$7hYuf~jSZ6C_O6^uZ(C#Yb
z{b9o0HTKqzyw{8LZRpkIRuZrCoX&7`Pya>7^GZp^n|7)jT@QaQu;BvJt%&~Cz${_r
zg0Q|ddBI;+>#BP{yPA?#bh;}sWJ8|5+PZs!<|gk}Ui+{j{q;J<X#(#|Q?oo@Pe`BB
z_q9pxR?+eD+?_50Vk%6}BRF#AdES<=;Mu%{%|iOx>c4w8Za);pH>+z_s_3DWi&Xi~
zRjhlR-8p+x`^ybC{DZe$2zNECx|`kj%&u_DHnp4F@n7EkvY*p;;;kpowOtplDsN3v
zUV2IL%leHqKjh+4=WJSd$87F$H`&9dPhZF=C_2;edWPVoivQbxcrJ9gGlAW@t!w8l
z=Z4fbad!@VpL@e2s?YG})H!>#FG-5uXyxc#c6<}xoE`E;=}M1wrd8cNCBMJ!`}*wv
z`#0qH2+PMrtl54{q$-Y0Qgf4-+mZvZ$7Ziw%C&c{YhTUwF3V0%4@vplIZk<XvIka3
zcZW|rd;9K3qm>rQ*}t9yu9iP_KIlcMnXctJ&R;Jl&VTmgK;(-zTnm`Pme(EV6n4`T
zj(MOOC^dsi=I7ZkY318<G@ATe`<|HzrArvB@-kHuuDGduTOjgYUj7Ux0l5RA>t3Yj
zeT^07Z%z$$HaIio1|$13wT+73=Qmer$l4W8XF0y{$K11i8LjWc8RV+=pJ=?K*&4MW
z{Pds4S@S!Md_Ue|4LrP|;{N?D)1!-4UP|@QpR?$BqW$&?)v{k_Ojfy_Qy1}CS$44g
z;q%(*0Rb6z`%PIk817Q^%>R2>c)s$H%GZ1J^KYMee5clI+uMXK9~W1N3#Dip{hM;K
zX3y1?A6Be6*LUSo%CC@pkDec`jokGwyD9r=kY2afjI;5J^!CiK3)Y+$-O{)9%G*=h
zQ}=e2X<nVJd}+St)0k|{_UJ&7pJEB0e{9n=kzD!WqQPCgt#9f)cSYwdx*p6sGvdv{
z|9^_=Yl>aN-?$cJUlJET^!MkL(?ao+kJR5&SU&aYhJ9cCQ&(o3eVIIWdUYSe6-|LJ
zAO1DOF4=hKiTsy*HdF7juXok*uD{-Ep4=q8&7||aIAh-POUGhfO<nskd55IKHYLSH
zTgoq<_{<`^EbQtf-yES}%l_4VE1MO}o&N-GF-<=ZbWI{H_QD$D{WtF)o|e$F{*k%t
zNA};Z>dr2h5LeTdSyyDnq5taiz3Lx3{NFmPy!-D#q1lF=-`;(FZE^Sgy_2=?Z*e@@
zz^o9$;rmHv$<ND|^YRL^X595?yRKW~X4rpMHAm&+Q}O@PEl+YsDRd<;IW~m3hOhiv
zSHYdveN?_I?s~*s=jr87mz;m4WX9&P=HZ4#pQ3ZMa+KaRaAY0dwT;)QKSIR%+qB2u
zb+t@%0#kWy4~PoAYTKe{HS<EZ^dnc>nkTo*xfAa0_EX7OcVQp5eJS`f0Oy8{rk@*s
z2yE`G3fP-^ii7V7y9~$Cf+=d(4KDO*)rp_oEEiY6!m;`mPk`1*^YX<>lNPLNYhhg;
z>1w@Ou;=d_1N&DmV+H2Uyq{n_Tl%d))=e??qbs#$IutGEs8e=7QPZOzTK@d~ZAYKS
zzgm|(+dr#0u^{rER3EFl#KQgM$J<zr`t!R=+xhu&#CopYxRsqHqh-H9%$58PtT#VA
zX57sar`LU^+BmQ!VD*pU)Du3lM1*vhuh%|cNJ+Wx&%h~he3@}t&#Bv$M;kP_FZmr~
zU=J|9so!}#!&=2Qo$=kFj}05-d|n=#vdfd}?i8&x^-q(3HNL-gKxErivFL-7mDhGO
zN=5&+U8MEDPhwrL`M*;wazV<w-A!(G2&)EMy!`a}ngFhwe;ihQFYPK>^WsB}huy}B
zL75w_&*k9E{y1UBT23w=?}n4#66&i$ls~TyUe6}!dfCh2Z}o}r#yY=d`>c$63;9%T
zi>;sPRo2N`_A|ZdZ^f=6>m0?-HQTyU_x*GKtpDwU-=CtJt`0`Fin@QV{_Faoy=YG8
zLARVs0x}oA?_ZkuZN>i5_3X#3Z2Jy<vOcpYdResGMb9dZ4;3>dOCDS2%9^~MAT$4n
zo}N>e))OPI*1sRqg*Mk|^Ts{w+@NsU-R;1m37M-tWL5q79CEgC-kynH1p{IqoH{D}
z;Z3qg^U29ME)O;*?bc0kzrOy(anHk#PVMkC6}%SsnstN!--?Frwv7&NBc#Gx`@Iwu
zJT4p)kE=?~)p+#dcVStO*2Tg}uXApEjGZ4fl{G$2!pEg0cxsnZ&qt2VoI=@<qd%qz
zf9d9!Snl&DMmaKUT8iCD@sl&-j<09CEoa?)yZJ6B#{t8bKwXW#`Ym%N&bc*H;pL@(
zAKXS;&l=m8*B<D!nELCsVeZj}hljR@SorfWeb0+cy<L^N(&F{x$H^O4>K)lSWt!rK
z0MYjDhQ8UCYC;QQj)+|Q!LD<oUfHbL^T?;iVNaRfcl|uFkafy7UpHkZ{mg3FRT|}r
z`}wxGrXNUWG!D7;VCLhKuf^8sWPeciQ?p3<xJ{$-;-PAG{l8C^_Dzu05~|*QuS8p0
zz{2Zm?E$Y}cg}3fp2d_b&+z%D?b*3v^YrK5*515f<I?jTM^9(JIxubLkEHaALTjcZ
ze+YiVwB*}`(n&H$nKNbA{8#5Y;B3!$^wabDLM4TR!uMD0Fie_1chidPrERTCCoOhX
zH=V++ws;cb-{6Z+`xUbfYE;JTsX6v%mciX;H)kZ>oVlydcs0u<r#XAeW*s*BRbT0=
zkw06+!r)IN2ir@%ZJUdYdbGt;U)+uPe<;~dO3LWM6`iHgk&7<taY?xTH_dSiu#<Wu
zcAdp}M)HDrwg)2j@<$v|x;e?DC11yCp+aj{qPX~v)B1vnS_R9LlxH^DsovJV7#_al
zc4l2v|HNAbr`E>46g>In`pX4g1&2%3-gzViCnuMDT64Mh!vFQVr@Y-Sd3Do)<4aaC
zTh80Qqx9vn$&QA{Hy_bp@#{!4JZSg))y=+3+x0fj<5HGVWn)f!+y3dei}M5rr_@CP
zB^ORH?0d_W^5ojb+{@n>^>$X8t%zZ{c;*Y+njW4lYFGZtoqo$%v5M#DE_d;?us`=7
zJ>IW=_WsR_Wwp$;F8?3eEGh~4y&^exLuO-hE&sHfsvTvyJ^9~xCq^$8WZcAd_=38~
zb=_G@&$D=XPg#FdI{Zyi$-7yrW?bczx3WK?v($Zy>AO7zN7EdmgpX&P`&kuwY!?q-
zr=OkSt?z32X1q%{7*1{MpHs4<zVl*gi~Qei!%e~xwO=(qo4x(`*QDu0uFe7GS10r2
z3Xjh`=fS_H+w78|!KAlLMv+X-ujdEWs+?C`xBkfUb(20na!g!%;gP}Zxn_^9Esl5~
z@pVq;8YMTa#XILPdDn7${c>g2&Rovg__r^+AN~2zT>HUe`uvX6A60_#Kb4BQ4J~Wd
zv2Qt3a3Rt0JM$&?4LwgcB&E0>W!S@Q6s6Zc(|A=yT*fPpP1aWf!nyZ7n<Nl$xNpW{
zg@k6qiEJV#r+nN!{YSQK$;4Cqve(}~XP3AVa{s0%%Rf2qGll<j-}*cZURQPbb->w`
zoGg<MUNN7P{Z>jUX7x1Fv+-{jX7k=+^jqFF!|-hShsc#BwQ1~^w#40>t>)(XOYa1~
zUczcGXX^)lgs%N!n-z9xcdX^??LR)`OYI8qwLI6m_I8K&vdHSMrlC_>|J9q!vFDqy
zXR+3zbxkE_Opb4U|J2nYP{!ZH%F3=)^WyToOBp5=XSFOncKJ#N)5W7%YW>!%>vveK
z7MOX4bDOe3*`EUqvAj3@_%$lNUi_EGF<Zw;Lq{fjn&HFKFTd3q`6|v*J@}zXRA$xv
zIWG<*v0A)*@u&D#QQh~D^KnzQ2;_)n=YP-;V>S~%cxLwdbr++#<hzfno{pK`RC#UF
z!`8+ZZCrNGlrJ80eZTuf*R66BeWjPnwsguh{jh7Cts3xi;VqS)0cOF|uKC3kRyHv+
zc#4S{y`3Q_zxr8(RK$%#!Qr=95^uHb<lFw&JMx|X(TLdJwX-)FR9hTBJ&{rUIrD;C
z&gU#bPj3a+HfhMH8(!YxvCXPyRnE$d>9^`QMba*=UUXr}gM;6<eK;AqZOMsa)dwBD
zGY|6d3G&2h=ewSk(vja`dhCmxm6!Om{({EM1!8ug6BWPLeBnJ^>{6ioe1(wP-1t3T
zUoG7K>7Ura26ZtnmF;r&^+`FKnjAN^Rxe`Yp0|@L#^d0P*mnCzo059DJ%X;M{x2xs
zw6f&e+PTq><Igp}^hkHgRyd)vC0txRiX-ZPon_%isW-wh5-Zady<=U)>&~4woyjb>
zfVoaLwBYiT=2#((ZBA?=uXn$+=3f5j_++NNCTl*19Ba&JKJRjQs^#*wd3pP1G9KO-
zqQ_s6+7>V&SJm!SdP~Gbjte3;&gUN6$Nx;~y3CTqR}Rt(Zr|x)_$9Sk-g|#|icW&h
z=64hF7s-G4{;O3!lgHUoUiWxb%sb=6zX?;dS_R(Lnx3Du>TRA_!hHKX-X0ElTMeE+
zaJl8pKWAAO=aokvp44o6Sk5N0blwUdo5;(1gcap}zcJ>HG~BIv$)al6QhD17DP`Y+
z$@>bLykoc5l=7Q#`d+wM!y@fpYmx6FlcUO;neHZQC1As8^o`{jmv-Qps>NFM%paGW
zs!L?J!O0}y{G)w=#C67LRv()ToVC|j`b9{)->z?LdiJL+QLZ!e#+^I!ix>j`Z$Hw+
zJn4;8*W{G{v+6=O{LctoFQ<BJTiT)Ex}#rsquy`f`7Lu^Z$j<dc-3u1)+<*}x*OZ~
zXcN!rs*i8@Cj5?9VOObnpLSX+?9XZCt~W_;8U<0|i&n>VF)YcmH+!d`*cyCa%q~rm
zak2gar}bM7yX&~EO)>smC@$Ksy=CHy1y7bw5RwbuR(Si-=QWOLvpx3CzbGvea4gs%
z<jB)$8xQ<eFuoMG=(!%#?Zb)NGB(}hSm<-pVc|qhw_c`6-Cj+%H6E&F1w=7^@$2Xd
zTl+tIjl0sH!}>Fqepu(eKjyES>-M0wyHabO$|#oUG+rwYn#@x@C+auj?ki{YcRaom
z=}@)SnSDZmXYGmL?Vt9hvs(r4XF2jhMrYRHvTK?vzFo6sG|I4^Q&+ju&2(Ydmn`MH
zytUgoj(1#1ixCd;o}$pM6t1zF;akL(tG-O4r)2&efB9kUneGiA9=vRr9g(Aww?D6d
z(>ni+&Sy)%1s774HDBye@0k0Jsnn+KPsYT?Lk8b#`1YQx-N&b~{O^iC>plPV9uLYh
zP88mxz1U!RdFLAUry9rX#kXf#M*MgY_E5S1)S*HS>sQYgr&=GXYkVBu%<#tQ(zlz(
z&fdEca8h7K`_}AY*OXn0*^c)=zuLJrOhV+_!l*;CuHkJ<ce<|#ys}hr`j^`~vwlDH
z`(ot1{q>1>)dg3~gI~0ZM4$T0#}SdZOnQmW@`gBp2U>p?-JiaDMbHeVkZBr|RyoL@
zw!h|cXO;1?{wSuix(%xFv05ExHmIm@Smzb(R8f4hKwDYsx1|?Hs7pWR^!&NJeDA-B
zoad;^H2UuFC@DNxXN&fyle$m#&l8o4*l%vmp<-#Qv5=);%2M-5`FsM$l-7$Ha8CYy
z|6%j%fUVEG<9>d-s>pIq;KueJT05jG9{;;~qn2&L0;Pva!DXBCX7J8q*fc>~eG&iO
zlT+gKkEo_RTj*(aV1oPqRklV?jmr-I)t5S^{Xuq$@|B(QzY9$LW$tlFaiUkx(_f~)
zwsXIJ^F?RD1XsbW3oC=gEy|uvdoNIT#@y$*$%}pAmsnqCA9!YZy`oHK663^H{##!f
z*&I5)`kONROSGHLBK`19OPb6!Bj3+|<@a#3A5B-D7<y>UmF(2^@MGtknSMS$H?!=~
z4287$i}RIzryDBoeY)+aiWx_WVfFIzPjcOPllN#Bs45jqEv?S4(SN9W)Gp*#=lhAe
z*F)ym?X+@IxbDU4;Op{n($@V47&e`Fk+|*n-`(!7t)l*f3M?%1JA6F*YCkWh|4E)3
z+X_luZlBq4=5()Z(pJ&`weB2-nXC*e9@jh6ZDtf%Hfztn5Bs?1?-f<xELs)2Xih=5
z|KF0?97|7qytnv_xKzq4A=c88#W611_un|q=lei+!$+mhcNRBXp3w4Sj;L9>(wVzw
z7F%4Ja`t8Jmz{e(R>xeKeNbDSDdXAm<!WvT;{IJveV*MrAzQD(vAVTC<VEQ{q0ME_
zr`>!U7AHMVFa3Mpk?*g#ioZ*@ymp^F=ZIwV|LhAas#~sgT6zBz@YL?%5t%c!CpXNP
zaqIc3^OTFGJX~Qf^7g;w;;3b_ilvK|gg>i)9KOBn*p$V0_N<xWAp1z`LFXMommP;@
z&hm28>?}(;`tklWU$qr#zyAK6P^GOn<4WcadE4B;Wqa8=ZnP9Ps2_Z;pdOSd%=G{2
z>1|8ruKXc=SH&oN(cK~qgIU+Mo1L^c^sr{q4O!-0(hjat6DQA{Y%9F#@6k|$qE}_l
zH_iHchDqS-uH?wL*ke(@pFiQ#{krmTMY`k853|F$RydVEStWVXx8xwx?+Mp$6^hJ%
z{DHr7<*Cg6mdim*_XU6L((rtv`#tSu{*tgy#s)&Raduz-JvP3uPcwEw&acf=XT6^v
zcWv92Jazv)SKmFI>&$#%_6tjEr6~{A%dY9XeM|c4&TzpN?x?$+OAh^>lQd=K$HNb0
z^b_ws;52xAU;01uqAivxZ;NIf__XJUXp_0<_lcDUyJs)*yLWw2!Y0!XX6yV~=g#1q
zouZ>ZX}(r|eK*tBC09H=!Va@}>&UhqJ;DBS^CY1kV#PXDNApEf|5z<oQFmJ(=6~4r
zM^x>JcQtDkIenRY-gITF=svb0zk4P9ZpJH(>?WUxxAUyHtMKO;*FF}FO*(dI!Mj$a
zH-E7%d;N1`sfxv8OYRptdp^0RUcacAytCkQhi@Un+eH5LZ`K4x{m$OEDrnk@AlLc)
z@{I){HdCDnHr}}QYWkxqn%!5;er}Aal&kFa3_4{J)Ou4zSWW+Q+~VU8B^Mv&Oyj?&
zwOpoUxwY)x3+BI>oNHI|H#jxz_%N;hgQIJf$|@!A)vRSF@?s7g7BFzypQ3uN-^IR<
zJNK8~9G=g5HKht%7qc6~je`!}6bSfwX{O5g6vHL=9&-IS?w51)Slx!73f$|es#iZ$
zV%<=7^vqu6g?>NV;)}kE?0KwaF|GZ9fOx&h-?gVa_qru(RE7Q2X0hDu-5)olW2Kf~
zp;%Din(NmW?k;*5Zda^e?6avgTK9<Qw2HvL877;;GXt&|iQIJy={BmaW6(6dRQLa~
z*XyYCG%d}L#*11{qulPvOvtDZ2)kp%e8pQ)b>7q!Gq@5s<0Ov9tT3&;;T$4%G){Zx
ztf=RU1e3%%=U=wzTk@vh)91QvWdiT~^W##lEO0(kP#T<BA)q*KEo0)bIa~c$)0uyr
zo>Tp1jzD9otIutD!}LFC8M5aq4rwWe>^PJCu8QUO%<59xbqv$*|6?v$d0@39*M^gh
zKb>YTa{pjD%~6|q?|cR)cFy9?iirD<y~}U;R;9f(3Z15SI`-P<@UlZep7Do2+g;^Z
zx;)Q1N{l1v!xblKqn~v-PW~pzpIwTqSGr4Zv~qs@dhCSw*^ZR~pS|Tn%QTu_omd;%
zv$1gZ6Gb16_c`UieqLQ-;B>%KE-m@d3ci}!@bqr(yXAJ9R(ZPZzqjf~+=;taJd`gx
zxz{hh^P*4X%*sQrIm#T=`s;i6Z3QCS>nHsSHera%;W&7-Gc{fRJNxe$2f4r1C;L4V
zuvqe<@xgPIhy61pQg-aT-){Tuhm2L4PJn@9M}bF!%904SFE2d5%w%Mcc~|!7UvQsa
z)MK?NTetPBaXFUa_FaT=X5UOJ-ABJ0jNd5;Fw6~E{vuk4@7N*hI|dxlFWQ%WOTWI<
zuzd3GtSF-i*Un2TaM|RE9Z8T}Rh_T3EN80vH@RQwH@)xo2>4c9dM!{rH+oNmv)=*c
zje^eH^@}{8B}FMq^k;<b5@Ocz{a9=J<6ZJJo{gJI4!?Z5a_8>WgxG_tOD+`I+%~^y
z_gA8C*{;hUdb`9dy5=5v5Taw!>OP~O=Xdzx^K&=?UsPJkZ{2Frcf)MQuRi|;x<;$D
zPdBiZT@cti&1LNed3FVjq@>G=Tt{afH`jS}q`>)PmcqU4vi<$98lV5#Cs%#(&z#n@
zYxBdm8BAPzqI!!^{HDuOTK&)Pn{CiwIxdx){;QzwTbP+om4%%Dv$7D;c$0?53Ej#}
z<{{6Ql^2w%wM<>z{ruMp-I+0m&ql6ZsXS5J+4*MQyfbxs0%oduykGun-pxb*{M&L0
z3@x8M_RxN))p)k>)HT-TbBWm&8<wP*FR%{cu6cYV%a7y7qPooukuruK{jB~UO>tYW
zdaF~(oz<2~cJptVb87W}TN@sg_dapYq=MsTCV#dGY<9W+XP4@|3)1mEOQ&6w)6&%R
zI>592j9*Z)b$-Ld-b9<I**_%91wNS`3YdIhp})uJBlk}|oBZS6^~bNoS1dI+wm<Lk
zwEYgbm3|LD2Hg%m92&W^L9b9;+OmDu2Zoi32Sr});Pw&`{1Yw5#+lj87E#l^pzz<9
z0;fZdUG8yjVf;0%<Zt}tb6sq|rFUd<97t%f;h6S)+rNyiqV$8^ENp9b`tk&=nYHr&
z#C6vu|GR&^ZwI4Xrl(cKSNBEB)gK=8Td|<c&wcvsFYA|Gw>yv)v?u+<-KBMTV!g_V
z(HR-F)Bc`I?wS{~EK^CIEk?UZ>%;XG+5sPpgF_3deOdqC51V#GwTC&i=;G4zDJMZE
zSYL`+-WK@6XZyzsd#CQW^=<{rqHdc)WriaQl$!SJS%1GMY1a~u?)C*=&+mws$~)6>
z$%<z6T}O`9y<=Y#*xITdQ}^D~af$SglJB>cPRm)vutoZ2+Kmg2_N*V??7OhM@AZi|
zuZ_nZCgm)O2z}Gu@Vrii<4FD7n67(be@=;M&HCAVEcC+5ds}mlS=~^HbzN7wr`0jN
ziEGXg_ti@lDW@qY^zF#L`RdE&V`qLvYi06ut*aI>-}jfXeAPZrzxXnaFURNK=}%-4
z`BoErsUutS_U8@#UfX8yUf|@{_FcDPmu%1cd)@!vdG~kRh^|UXEq&(BAav0z`Je33
zqRS!m*=&oYl}&R#zJ96unycyU;pblJ?yfH*mxoQfyP>l9;QzTi=Re2wmqhMn@iCWZ
znw-7IU7MrHd_~Cb@ZOI)@;^(o%Cto{xfHv(E_46Z)O6skx{y}R%YEBV^xr;NB5l3?
z=uOFR!>I+|<zMMYwU!jWzAdvgn`>8Pgw6|#=M(unT6q;*ys|w!vIJPHt;BEGUgA=F
z$7|o(X*o@J8K201zYk>_U0E!Dv|j$_tsJZ3&s}^{dAr#E+Ed~pd&1NlJQ#A5nGBVe
zbmxBm!>xMyx1r*ti+wqa2R}JXl9HD@RGM>NZ0dQX0Q-C{#oKlLKkU}HEUn&Kuja`s
zv+DBsBD;j6hYz{$<_u^vU%}frUo`F-@9a{p-7#G2Y<MmxPDy%nGV4RcMvGr|fA=de
zl>hv*;QZA9^>1I~yj1qoHPvns$h&gedArKHRmwl#K5sa8&}w_Ig~6PTUuWeaCcD=P
z|DCzRrC#FWr4?cUjgm*AP2RhnkUhCA+UkDXxBA$rdzd$$yLkS=6>obVqnS(pXIwV?
zsn0&wwD?rtnG?Ghf3WUZZR#v;8h2d9NAX?9bl;ups@wl6PQNH3@}@mH)BE_=qW#@Q
zLJtm{=Iu9f5WiZRI>YFw=3bM{Gt=jBuG#P+c-yM&$!QsF+*5*%1eR*G%wny(pz}vp
zXUdmgANM0#3foxT%JTNMSnj<wBhI!}I7+JbdH1%4f7-Xxj(Ja-7u8&5+|2*I{lA9n
zxy3V_X6DMKF5P1H=bb}qlvST;!e6WRrMDH|%t@`^yh8NuZ!zA}oHY#|n(W7gGZrdp
z3M>o%b2U+u^=Vhyc?J!U<V8ncH_I-U+PM6Q{4+&W!=Fsq4N<Fd>Tj;#WpA7x`TgiL
zv(U<|=O+m}>RR87IKaZVyIzFL{$%41tMZ6hi?m+J?+H1$QTBXpr~m6ynkp@YZxhb>
z{x>Xknla;LLAb(1wM|<hygf4hGTqs{Oa6bDf$DVCLvv@iUf<Yut}6TCr6=rLgClNo
z^h{CE4KG=>vUEZI>L8XkZOogTn0GwSX~?~hC-dyatY_~79FM7$Dk)p5&zY~PuH>$~
zce~{EKe@IH&uT9`efCkHC1<&#s^$%wZ$B9v|8g98p8S59+}uY80#7QeYWej<*Y%;~
zapCoEnD+dRt@$3}yqf>h4DA_WIUAohEUM$JdOj&=l7mhE%X!P*_R8K5S~w@5`<29*
z3!c_%7ZjwwZ`5t=D*U`{`Gk455A`K4+*@3tW2L5+sIyLC`ook<f^+Q}tu%U8Z)>g(
zn$42%=hHDCU(fk7Srw0ERxGe*<TbsnzimS^&yKh6%6BnxX2nl;X#L9bVRE;q^L<vo
zC#yauFPuNS?9e=!ZSNTl7d@)YI@_9i;QdagwX6(hFLW}eGCg$;znvd%yvwS^acME1
zM$B;!E|#*nRc$XDd#l!e{e4#c_t5|z)z~8@2H~5yJ>OrAxblBxf3=OY<-t{-uiaL<
zXUZ~VhO_<FbIGrF+&y6Q!9d^c&!oETmix^!6z+9!f6>_y_amw-D%rg?ZRNt^ImY|1
zRot5YX3wUVRY~C~_n8i?pDxbF^oF@sDz7qQ)~;NYaHjg{FIcxeZniZ|y?OH*!>fOC
z3J2dO@&umu-}U;SPnlg=1CQ9vPfoW=`G1^L$?G#``oQz->puVDN1klYdb&IpS8xP*
zv~SrSY}sxaHsQtONqLHA1C}pVeVfWOfki20P0r;P_oqh1zBRPZKC&{=-Ff9B-pl!n
zFJz7?X8cP$^z_~@8S_B5B}Y^{HPV0PO>q5s|D9da;kWm9N=;xtYrgHEsbc~A|Bc4R
z+MhVAr@nqA*E;Le$ELSIRh2E3f-APfSS=GZaqHfCukKJg@6E03Pj3dfZdnw$$|2&C
z$5GjtKR;$K<nY?wa879Quf5aPuGN*_x=*9SjiX5U$?lN9pLQ=wF6iUCH}6|!VJX*&
zdWD^;)uz!(3L2rBUd8QaZ(j=evp^;2&8^he0Y46O3x2$DRqNp4X6+}34k}-h%4yPT
z3!NEtV1EBM{<O>uE^HTT1U>X7e7hcg&rW0Yf>|#$T<;5i4A*zs*7)3ZVYnMhWn4^@
za{t677nRQ$p7DRMt*wojTeDkSYPxo%z3a&Zk0;D4Z)>sCRI&fNF(I^Vt-x_@7ZvxN
zDvIxf1Leb?2yVJ#y0T0!WXbm%ErpL&?zBlqhB7&xiVv;J6YPr@YKzl2_&tE*ZRDhL
zsnHXc8TN%bS6weNKg&7yK*3qnnCLY^rROKLerLAwX8nF<Dcjf0Mpx%JF<*)-TeY_G
zX79derif*wJCuHWjJ4pFc{9~%y~T?l&EH{FGg!V@8J~N7f#Vk|PnooR>BC9?o!TCz
zYKTT$6YQV9>Xo})`<aIPs-|ZzPA_4q%`dfyPdTgMt$L*@;_#HN-bq(9?bn_8X)5Sj
zQ@no1BXORe`Ru<oNq5z07+24~V!0!a&)OquYW>sLx?k?>c~)HJ)0dh=W}n|UNh2!a
zj@ta9OBUCPz7(vfSynD8enF+dP)aR6LB`tHzWSs1*5>w(I6axg)1(y`AHNrp-)HUl
z{~3$*4TVUPifL6UyLnqT)%%4A@krFD>wZ(Q^ZKmt^^2PG)1_PGPj0`rV*mNjtVd_v
z%Pu;+3i}W_EsgJ?jj&Ap){Y0ymEJyhkr{cLcZTEfjh~!%Ea&1{BfI!F|5CecY6}=Y
z?7p{kYoFe17R{qv$5&sx+cfE>U~|Hsn{QgPWtJK4=6$xR-{fwuQKofR&2HgBURJvk
z@wvx;HD)^PU+NL0-^BXu!>`vncvdYsHbwHf>+GNHskged(ln-q+g&T4(3kmsCzI>(
zsVUbT8B;9pi=JELbL_3W_mnTwOT!tzhpHK!v{=E<uiE%oRU@AN_QJ&xR$CtCdk9pP
z3;6t>;1lxFm*@0Lu087_gSWgoF!hu>mngrnl}A!bPxzrvb*q*h<N2X{?%-{st!5u&
zJ}o=`z)@h=jNYY-u20jC>5W_b>)Exm4J8k))$c|d9Gh9>mtZL1sDC5OWP5p9g!NVr
zrjtueO&-qTf5(%_w(CdbjBoPW^xjN~_S(x*exCPBW%UA`?67y949;_#WCUfZZro^U
zx~J3kR*p-@SL_R;r1M_C&HH6DHr+pCv*Ge}*W)6gtNr&*kvYN`=M--I>iH#G%gHUu
zztwZR0?((loiJZ4^85PJ3&K%SXBO_;vBdNudzk6=uq8W9&+#|(1*R@Yn#AE1U%uGg
zJMrIhhVxq=MCFB@$eZq#v#@9z+q3+%87}HeP9~i*pYlei-uuv&qv!XY3SjiVc5AZO
z2G@-bSQJDh&ugtNjK7e*bipILr+Ye%Zm_=0xP~=oVYs#Z)(16b1?C&(UfC3vQmpgl
z=NXQLDyjk*Y$}y%JeMLm&l&!0ioZYOnv2MH)8`eUER%H-clm8^Tfd|_tm9qXov8l?
zOYKh!)T>|F&l2AzXVg;W)+D=YzSh|T+ZIoKC+@3t_e)CK`J<Uxr?;0&dqtl7^Y^jj
zqDR`3-^jgGe_*}W;?OsSb9+T@8cp-m30-S$?Y(er_iX2oCcy<$o^R~Sc)m|t&)(z2
z@qgME<|*+q7yY-B6nb>`q3)hc1B?IZ5jz#dOAa~D-#SsrOJ9JYge_@Pb3^u?bqmf{
zT|A;}^hR!faNG)(Yu{)8UUvB*mqkU<D?yeyx!Kl!+neG#49{d=dtKX=_wn@OmZ^5R
ztNsUET*P#-?R!Ia(bp^cUhh#=eXF!QM0fG5hf6-3S8JWgx6$%%9;ZwO7kl3&4Tb!m
zAdeH(R?33TO)r;z&$m9%KUX14&sK-mTWimHXNBkTIrEuwmdn4g|NPQv@8z%qWd^@&
zzV0>VQY@d$$?@pllgCP|`W*Rd?;g54>qxTvLOt8J@y}+=)8bJP+fXE#Fn!`=t!2ff
z8ru^j_VU)RyyI-wW>TD8Yu;>C|NY3mT|s4>S>hT``|nP+iJZ1{)tf!HX7O1%%vE?)
zdo$kd>I>)J8+7)}^4S0X*=wZ*M$Z_<Sof!2{1>u!vB#td+oL)syU+hpWY8qNet-AW
z#lKDFoMuu#$YfitF29&7Vsi^`-7=l|(-?j{U0X4?r|OsNWUpm>g8aU^&$AXjh}-!-
zr*F06ZDsv?3!Ux<Zjt5OT&p_Q;lt_eMS9Iyrz~$y-gI$>Z4^geb$$MqU-e(=yYFRm
z+=;xCsq`WC)`H7cnJ;(`U1dnW)nuep99eoMI_}Hsj0;nLiQMyukT2Kyee=}1t0FU(
z*w?Br{dqym^yP`J&bszbPsE)Vjc2?!$(Sf*`}b9pn(65c*B>5U<9fDAVMDO}g5Q4}
zl3w@C$>2Wkz9#EM;ntE@Q)chp^`VC&Yw5mh-M#yKt3;i%gB*86+nWb!>qgIbw6)~T
ziI+}{A#HzyP71qU7h(A|d6of}@pGrI%t10Cn)j7e)@ST6jnI0y@6!8A$9^*Y{x*Bg
z(ihiCr+ydXX|Ze0_{S_f{{<&=wu!K#;k%$7|NfW4m#kkM4tjs-a$x)|^F=q^oIksK
za@x7+=$oCNwoVMKP~2F(e0rby9HDobTK5%i?TKgFU8a+5^V#OL#ob7Y$NH9rMwbPn
z>QgWGe~p#NpJ{Q>vHH;V`jn0Tb(YthG?#vEH~Z*a&5CQm$#Xew-@Cx~{@{nqt(`B6
zwr}iV-<tC#$H;I4uidPwRJL!k{O7KF<>Sg?%WqZtF8tsm7p-4Uq<C0v?2-NQR>Di~
z(93|yrzdLgJgQ#8%r5`s;IANq>kNCGBn3C$b^SMyg~fboknI0W*}=}CE6dJ_tM+W`
zt%=wiTpn}W&d2DphS{>kJ1!XKC9~?>pZ@CWLEY6~&l>AG1nrEu8tk86^5&iNxqYw2
ze4bs&yP|Td>g!yQ;u%TPn$Nb1y*$Na%=%bObBP<%zax^B=Hds0xt~<8Zry&FYXv`}
zPfpz``>OCyehZstSv&UpN-2*~=sAD3ON=Yvjf2w>P0l=C`|$54;x_yXTkx}3fFs$M
z<p$T}C(kum;&cCQ`>P>+_Oa)$<15ZhpA*&n{=(hMYcr-LbR;`j?~g5C{;Y86$KXvf
zgqt<5Evh~?*=lcHmhk7*+bmPODyLmOkkqm1b*qJ1`_Fdc7*63CHOE_7bkDy&c<36-
ziw$?;>}qAAu4<H8m=%W?_&o+~vY4}SdxlNyq?Y}z3nR7va_rdEaP`*&pJRgg+a~7+
z{Z(|~J*+i{Bl^<C=9yw^v;?jud@f%8ntlDA|E@(hgC6rv&HL{e`i^sz=<}|-r~S%1
zXRW>S^Kd|8kb84hCfin}p2?EM@w0x2?ONUz&h>v~`7}?L#}d=-buu-a;tc7&{NZlJ
zqUok8KPp4Iv!vY;eEecRaWVf9^LBr>aC)xh*I&m@Wi{kA&s!P&?MC(?-QQc-wy!IH
zEOK;1@vHiV=KFc^R~P;_p5AkR#*-Z3{Y6*oE+5YQ<t%h}L#DdOmc<gOfoXTDjeC|Q
zzQ|L);h?%nu&ldXy7SnIIqMW$KV~)S<>?+|R2AJVeY0$iU#?_Usllen59YplEBh<e
z_vE{Iw_4Qr)26Gk8%$XKK&z?s<i#p>_hVTJKh<UhNbbq!IJ#b_ReOugk+sTP4?d>8
zV)RjPRQVgzI?3%unn7-FV2p0cjnJE^Kbl_7jpp)-Zh2<ud0y||#xOm(Sv6Pelf1(g
z^C&Aw@Si<&aIcNdU7Ix$4_B><3Ah<1pDn)1k9{(C!OF7Nr#6UweDhK+^r_o?LF>tk
z;zAJzL~>On<=9kTrrK86^qpFCIqOl>jE(V+uCJJ{-n@Uus|S&6UCFBAF;?6kdhK`Q
zOBFu6b$sa>*HmTM*cS)I11s*l3$tD_)$ml(IeFGaH>A{SxenfavGmy6IIZ)BChE<0
zY@07wE&n&!{6yc@L$y&yCRB%hsMgF4U~HK)b@PT>x8Kb)(d5>a+iGwscKU)YzP#03
zR;3G)7pgb&vQG3}w!+CfJb``LA#XP0*ssFTu30nQete#1&pR{zTv_po-S*R$d+^`j
zRb9TP)w|5(`m1uSo%Y5$ORh{1cR1@4cSd7r#cIX{S<B3pmx>1GXIlPfJTmvT>VF+m
zCOvn)o%fbD$1iOZnYu3Ef=sJ*b-Co0r5wLvl&nuqS6|lrcui9MH*FP@gLS?$#L75g
zf9{=9dBM|pW1dHSK>a+MgG>h>NF|o>+wri-whQzKJxgI(WI0jLTj+UIsdoD9p2v3s
zUc6$ORw^BMp!@2(cTcL*UM>#azx4Z}ZFer+EZS~b6L5#en}5Zs<f3z0%<9%G{Zc1&
zG}`uf<zAX|XctFD+bi{uvKNK2$B)POT6rD(chpviUqiJ*+n=3n>6Y#No6np#QgclS
z?c#X;kLAtP4ezF$w7(!HTK4FIjQ`os^YrX}ce*i@ZvAE_a3Q2w`q*4${}xuyUH|fV
zytg@P-sx{Q5fnami&3g^S<tEZ>pwh9n`>6QP}FGYGS$PSdp--y6WE{4U3n+)g!a|6
zM=wJ*WfY06{j}kw>;m@5;#?)Szs{VqXv4~mO?p$M?^|Dr5J)zz4Or;E?Qy@sS+`O_
zi>XVcA2f!9dT%OSV|Qp$;}YK!T8mycotnJIW!I)D53g`V+?dO_!}NOluHv`CRjP`z
zdR05UX0BD<-`MfeL`=HI>J}@rSylDdchVO<%e%Vw&plALoFiEFVSvMfeaA{y3-WwU
z>Jog&oZsHTBA;pb<$2wwk0+hq8%>aId*#)oaO7x@Vlu}!^Nf9A^ZbHagQCAl?>5qK
zSf+aZhO+nVbtf+0bk0b+*2n+kP^_4S*t2F?_H>U6rZMs=_S3J}Yy60vQ+?#mG}-Q5
z%To(@SgtePaI1Nuyzk|%8|CgN&Th=I?@O7iEUa4WWpm9@SNKC(_~zfQ@)u9u+uHon
zl)ce*#jiwx@ZG_GpU=OyYLESNIo(HL_HXWOWeqxbarxHQcXX|f+?jf$uyX010>1b6
zFHU5Cdry15<b%0oN4Cg(n|9^jt-r_r`oAzTb35%|tMo;F&!0G^$=`#Mww^p~qEIg!
zf1<_re);M+y~5fsCcjgUbfb8~u3vt|ILUu^tHiaxI@O|=ubnkqa=m|&*8Req^;`e!
zxA2>@KS-^>=XBZe)@es3NNxG@EB)2M-dPi0PJilU>zi3w(IKW=8h>K`{Ik-fmli!-
z^~Lg!_RK&23Ll%+)KyLBzvR-8f9S`Tyoy{8t%uBd2ig<U(=P5?udI7JmAf~JL(fr+
zW5@i+xVdlncD>`1*>$^?L0*Hek=?!N!_tF~{@>Q<`64D9HsgLT!;#P1U+Wy-<ryGx
zVw=ax@`9B3{l^12;%Ah|8^`fvE!MnT`c<>o;I1R<i&y5CzMaoF70qy`{w0sa#<%L$
z+-JC0ALS@#wdvnqHOE5g@6sjd6)!j^XG*!r@?HO^VRL0u+XVe-P8Dw#SR~AUrFdv(
zw`;*E-K)hHcn*HH-MzP~im~`#%HjJv)MkB%>Nz*{<RmRUp&ok<fyRF(y5&N#CzJka
zTh~uJz}QxEqIm|(XE9F+Eia?(@3*Xr$=d(+a^7RXt1s0ItIlm*=i#J~|H?i>e5dGz
zGaCXvml(|~x!l5ijx}Ss+Xq|4z!$6kHHqC?+x&CY+1yK&CysTt@7VgIEo|?l-hF*N
zmzFchuK%KY^HKeS2b1EZ&opr)-|qQhY3Jc7zI4upw^R93bg!-9|IlP7TzBkBpy6`n
z2?eRr%6UAzp%;#S?Bd;YWy`d!ZPJ2QEq1=<Hkj%5CFty2$<NghzEjg!WCgvCeEm`C
z8@DaHMr-Sy12r8_if5kua8|)?)2bi|{X;v>b=QcN3P1d*d_Z07Z|=f<TUQjGzFGhC
zd!6&F{$uf$%2^)vE7eL%i#Cgg1ul<w)5&@NH(g!&RqNfux8yP(`fa+CKZARx!}1To
zGj1PS`rzh+4S#c0v#v1+d~EP?yL~Rnk7r}_MuBNBL!KUa%j<Jf^5KTSUGW9)*B&p=
z*)N*A_v3vFm-vst|JU7oQep3Kd5cq}$%;qpDp@z@?AMR`%4nD#AkwN}IL9WMf8zU3
z8`sKMG6#FHN<=?=Ar&e1`1|KG@*3h(-D>XfmWD8_^<JjCR9k$e)9NjoV<z!fe+m_`
z@R)7AGb-hZ=97b*HnYTeQoB44Ok6JWoBQAMsw7^{1+k~jaIz~}ST6c=ccIpbX&%>B
zzxG(V_U^+Ry;#YJFuSRN8}_X`Zr8f6$~gSn)$3K4iu3J6%Xsa#UaY#Evw4k={q9?*
zLmvJ+wmnMIY=_mq^V4@uUwfs+%XmS_jLiAJo;ZiCeQj9jXn!xdBxk4n|Fn;O7Z2}V
za3k#YX#vCbjF**FJl}6OnSGF&?QSodb6C%PO>-2xO@&=>L&UiY%lp49SKePHa;Kte
zBHxQ!o}#YP0~lI%oX@@R>f_dO1&@7cH^ibFRyo*ClkoSs$fel5_t_M)-R1vmuCBgn
z^yvD8DvmJTMui=F3R3!$=OslNOe{;XYl->&=(fw6CiTBOrw$)k{G?O0>y9+LWqx+c
z?%PQLlYF1|RjoCf^ebG@M|EA&uc&~E_!CS#SrV4bN54s>p9z_lyxe-ygn!wA+xvWf
z)=O%wik|fKf@oL8>!snn@6O%W7TUZ=w)l{Ypk|h7xm@Wd7TX!qd>eJQ{wQHKWIVII
z&oO~9OVPTyJ}kn)FMi3ylt7(G-ZDw+h|8ju@m-l)4+czbGiZywcZhrEtJuq1<Ce>O
zJ|*$ps%zQJ6RZuJLUz9Oa1qwbyz|FCW3A>gwmsYRSI&5=TkSvR`3~oZ{Rb<USrz%z
zMS~+B-q|ow_I8Iz(3+)dxDLj}-dKF^d70~$JG1}iux|M**j*j4%4JRToR<P!iZhSw
zYVe2>FqB<r!~6Q{72lS_$E0>`H4XDCuuOfi<4{*|nA-BzF9!}R(?1+k-KFIeG4G1e
zb>oNgp0H`^z15d|wrRohEw>lzezyvo`qV>cO4!@VX<`D5dNz08M{DXI-f-kzUx^g^
zyOTw~RJM5CUTwK?mRM!?^bIR2TLrpIs&)7Bu8`GOaQNMA!`*qwzkaSeea=bdUwOsN
zV8J(AZR(cHuW?xP`OU!|k&XZ4`p)k=e6wrTbq1rd!xr!4zg!Bqd3xf1`*rqK38D-w
zp4F4*pV{@%{OYF4?dxM_m$Zt_OWd}@u&a2XrpxWuR_nPm`gDV*aR2<d{E?5}BWsRI
z!Q$WiZT{XNCmD`PD;T}k{dMSFUcAiw(~lEQWlo;m8}|3aEW6xnwF_@f7j|$;8D`Cr
z7tUo0ct7dlL)$YOE^WQknZ+2K<L=A)%zO3G8iop1V?XE5!otV5cs{9+)_k-s@y=sa
zB_F4sQHm#hlFw}WP;~7SSM=UV-lh|kwcp1uTv~BVGC%Uxb-8U7cUx|;+-iAyNBVDz
zd%E0#Jq}g9Y+DXkx!#`hbL$TN^dPThj^p1>a+tlF7HTzB(R<pD^G{wzDo@j$u%*#Q
zwnLF+;oPF#+&&*4h%ueG!4f|E+WPWhHaCa#$BJU%WlKahbIvFzzQoq}-bX0o!PDn=
zI91m%{$Ffsb*?ZqV%3^b#%1;jSF(Nn=tOP$5>)H@%l5y(>_<h${+(X4E^#Pdba<d6
zHuGHZzh#pQ`z74Ju_ToL`lzP6Ax29pqU=uPCU;)9vkSjT&wp{$*;~o^%*zE&3@6v;
zOl3}fwSz(EuDO&6!^dU|+YG)L$`OWF-^OP>>k0fRmFRcA>-%ll-dlT~Y!?XXeJuYX
zz5V=+5au9%OMSg{u^aWR54gSzVDO7!-6gl-y~i!K-LZUZOAa3Ke9^P~&Bojp>X#dP
zCW|~-edhc5|Hp#Z`#nEzPwQDa>B4m0W#(1~f>R`yh@QPCGh^8e*L{-z9JbHTU$v~V
zD`T}lS5gS`k=0^%C$dfwGl{!7u_<YuLQ_1$CrLAAvqN{qy9~6~Om4P3V7q_0r`xg}
zrS3lKZcUWpW?%h#_9pSqOHax6F}!#>;pG>RQ?-s=<|n`AAG+c8w*S;0R=qVJ+ios8
z5jo@N%Gtq(qca09%$*z^m-K9};G*jbEo#elzTP01a5^ilFEWhpTg<aP>=&<8FU+^R
z#eTgkCTbho`U=gJ5C7a=q;`=(OOrk5=~?5p(#82n%i>iMn<YacB=>R}s=n>NR^Vy>
zxaUg9-YT{tIqCO18=}|SFlU7tysJ*@b7-G?C*zGl=-wmeGG9&gyF5ws$GS&*_G=1X
z?L6OqO+x%W*YwT86HmX9yc)ciL&j>A(Jav?GqfJKx5S<KIi<1e+?;X;_G4<wf1*t)
zA7q>R9@+U{hFMj(PWGAMwWKFICv?0F@VFwV`k-^G;UktC?K?PkO0-3XYh7!Mj*vRB
zD7)E~>4nNw-;?*sZFwIY;O@T8-8j=bI+52ueb&6jk8jn^bg70Je12E6$?KF-(Y`qf
zVK%dT|DE4yniuTf+WRNv_MNNsyANzSb&CI$dxzNjS=$eOJukc0BV<O=wW_cgn|jZ7
z>b}1E^ZW9*w!vlgi5|}aZ^p$Qv{!AIA-w+Mn!MQ(OswCe70)@&ez8#D+<v|NC9m5x
zLN`Pn(3oDJ<l*+Wt33bde$iWNR?d8Mp+;+kgK~L)=yl6mkF83l?b*Ebc$%cf#6NRH
z3YKg(c~#yY7r=k+rP$-BE};h7jadv$<ujM;>)dhf<iCXr^fof{Z*u1F6)B%vBWU<5
zbl<v&ZSq33U59ceXY80=cy#vxUK8fT*?;Y3uUy{wZ`1a=2~Q_l&NFOYU%YJM=80|p
z9|-MfnZEAo?zMiWf;GAuSgvtImR`1Aqm$#5@#%@N-aU7}&RJTj{C@lP2!F8O;4Bri
zASVC)R{1w7YoD+^3=H32C+>VSm?2@_&Y%7z?ti|liZFR_B}!Ir>kYpUp0LU1xIZ$~
z7ucJ0$8X;6c0at*>_F1J4yRo8wcj;g*xZR<s31FOO7pY5VULcfRk;Uwe-kNM|L_0(
zkD05*=jg_y=~rB_Fcv>qpl>tT>d^#|3DXXo-lD*5l%yn^&Fqx-$vAdd*7nxYO+R9`
zbL>9xZQtUyhi&)$4l=UDaa;c}x^}8XhAra3!_5w2f8tO5;}!T?{akx{{+c;&@7yl`
zzxAuT;ln)U=%xwFQreU1c#<b(ADQ$$y;9d|ZSF3E8}(<CEVQ_;FKh6zS;_3tExwUU
z{;sp+<$Y`K?KHVLyU!pj!CGsb@blesEv7Na9Ta)qe9W5vWrN1Dck&g-1MWH5eN$cW
z|F+vq>xcG{XCGB4UFLFXUl;1KYwqjvC6QI3asi!LB7J+L)TVy6VR=)V9H^0Y@@Zms
z@>NyUjk0HsRi2zzdFZ|abF$W)UN!$I`Bz$2Nb0|@SXt^jU#{JTqcL;Ra;KaH%N?}&
z>N0MvbUwaMa2ZqPznNl*skzgwCzw^IvQHHW{gt~lQ{mIgnTro}8O!e~O7PD$&vRpA
zIl4q-t%7#O$H((jCSH(H6OLiqEuXf)rK&5<Z$HCTH>3M!mj}xyYI3Od770(3?sQ)t
zw=Cr1#mf7SJsE8xxM#d@XSbPoZA<Fv(i6G<=VOmAbahgSWBI<dXve%Szpo~J(643h
zGx}%3l)lb<*ZT<(Q$B?1aWya2`1v>F?fI72Q&k7NLiBhvmj7HElrHdo=54z-l0wc`
z+gz>Q|EyoO{QVtAmG;W4^0$)5Wq3-xH?4?}INqEP{b9|FjXT=}&!xX;i595K_IF6X
zf4A>nx=&QfhQ>gv?dhNMzn;_Zn9BLfhi&4H?JRR%>mB&|(j<=e(#(g&yHy{y*02_p
zwEl{Vm)KO1JgL$Du7{w*{Fg3rQ#>B=-MDh!JnN6S2Um^y!N!0chfNoFt7&Mkd*oNJ
zR%FbwTII63E7LFLg|oJ#w;0Da*M)X*XI36a$rU_Rnvjy*8NJg@iG$^r694kNfHJd<
zSKnqG`^s9kFstqDfwiwWZY))Jv?Fx__wPGO2bx?tTh+DI%opxg%qm@K|K7%C-GjSx
z+9kc;9Spy*wA0Z#a=Y;dvE8>?<~5}5zHx8r%*_^8GjgUKkNtjE*g5xFp3i^geHC9`
zWUQQTu<FSCU~6mR^3_hqvo@}ByMBwy^>Nq6<!5uOzWkN)c3_#IF(avR5r5$HZ!!7%
zmsY*J&8BjE(xVi+RDQPm!FM(UNz9p}^}Ar^n}SahIW`^flJw#{li_gRQ2*J)S)Cz^
zB5L;qtXaMDgWCL(sy&UOW=Z<BjxY0<DD2^ycy#gaA7S>>W;!1`qOZz(-d^@$>%Y#J
zh1R!U{R&S!AouR^vgeD6)m+|wnmgfAyJlbQzC{7$Tvi`To3?g5TBo>tx!j7Bla7`O
zE!X~@%#x00i2T61=|^!h<A*b{C$22o?<=-=widJZuWLaCUKNL1*=J7Ll;c&rk#!z3
z`>BO>3m^P3++21e=$fYT59?~Cx&4CECVXJ*m~YT;b^m&=c+`iOq{rT+@jsK!UR{!7
z!=Mva&T>qkUt`fE{(GA7JDxdx;=N}VUBq+bP=wVUjtIA>?o;#IxH(Vc)qb2@Sn#r?
zBF}d9gwDwu+sfi6{}P?2d+BGURoNleoU|KtuUFXrpB(pe_RTKwnmc^P)ggSV96hJb
zZxh^Pc=e~IuS9k|dzijU_VJB3W+v`6wMu=`x5O>;SHKQ=b7L+h_2juM^9^RP_0>4%
zi%t{~E?VAwWWwyZZ*0%b-(b$W__gHCi5CQp$TJ80nIE^cPSRBU=<l^h-*oqUag{cI
zQapicqx$o*S?#75`9s&tatu0iwC>G=qV>&D4-4WKov)m*Gw}ASJ1q4!U-(V`%!*sO
zPwzp;iz6q0eB2zP7~Xlib<#=8qL5R({`2qcTy)OnxJ$8>oY=30|ISArjnY=N+4ReK
z`sxLtR*corQjGt&?dP5GQd?bYr9W%&-lgAJZyepZ_mcL-BpDWWqm9o~8g{n%3GuHz
zx<6E4Vejvz6Gx|idH&@8=~Hc|Y#H)*HO3}$KlraE&)>oDsn8>m_l)tQd)>$0E!kor
z&%g07SDo<>m4=fi(lVZE=HFX5_03zUi*lMR-_D)NpH%Kuv!CVG^5{b^CO^5lRzO~_
zsrpHV_t}uSyLQdrdt3hHm5Wi|AKc&a;;9{z>He}=ea>nzkE+it&Nm6Il%Bo6J2=zu
zaEqR2Z*H<-zLbEX)w8D^uflp01t)S{s&DSe-(G5F=CXNB;pa>5o4uDG*|Ane$Yr7!
zcZ<=yS(<aMZ;h4DUT{TjUYW*+KdP;#wyMs2kv);ub()2w!1mr?gIgEQx|vIyi7V_9
znSNKaqjKv6yQfY1ys8=LKmHi`g??O_;!y2lG|@<-`sg8*px}zRCRd_=O}=G+{(o5@
z_Y<Y8oc|v;{1dOPtl`%Rvb^3k>1)G*J)dWYzM0F_zN~eg2e(n$x60SD>n6tb8>DOu
ztt@T)wzgu?-|bPSURdwSt3JucI-%o49M6uu6T7YME(kJsU*CQ>{At_#SLbzKGwXi#
z-jerIlf&%k@--!uPPg`Y^|i+c9oZMTA;;-d@q3lijYszI$j3i;lW6$Nw^(cU=ZlwE
zvdX`o>{CCuUp9X0DftQ8)aQ17Z`U;X8azq8VfWk|#fi)L6pE`}98qitjsGO=X8X$H
z)`R<=6%*9FelhM#e6j0|n}c0<)4cuLv(M}Z+x6PJuBfm3>$0>ecaP=_4L|3E_G4oD
zNuKIkp5*Ealy<KZ@<@DdnK$98-GtzICQ@Sa3;I1Kah?<VvnFU!&XJ<4{7SbDFTK82
z$MN;Xj;x|%9d?{C$=;%ZdC!(?S-`gQxALP8;UD(>F;Fln;S|2S&B!7C-r6js5RuQ(
znW^gOvjdIYgjau)UG(FQ&)!eTf_<gO-~O`y{_!-w#3HfM7aI#NC#-c-soGwgIr;sC
zj`;~5tLmK$Bhx2jX8e#}exq`y)a)CV<?i=-bD7D#U0t#4&_0c+`~I-VyJaV@f3i$7
zch&3-O1%0fl*4CzI5LH6n_H*!jt@JQw(Q^uQGHUqwyDrI@M5!)hQXV5<EY3t%76C<
z8B5OGp+EJ^Y#Zx0Y6^Qk3JT<%=<;{FANAnoykni>9G71RPdc|X;8bz%cIg?4te(Q(
zuFw6k_xPs$*%6w{H%I-_`R>2z{^yXY|HUufwD)8#Xn*tc?S92iE%T&}R{T*`$juFP
zF<}4SHihrY%6OC5lo=NKe)W-kLG_nRZoS+x@lCpzvhTr%ESJMM&*{6CnTff?o%~es
zPpvCb;71U@d(R=~b$j|^R#^Bip1NAyw8t&hLBBt}oPE~LN`u;*-L{4dE|(NiB|GKr
zR_s|Ls_T$l{UqUFvPk-+z}U%AMlzq%7;gM!dj0A?>qKSyiRR10QZ4=oPdR=e&}9ev
zt(<3F2D6`C@_l)hY2$^g>JO(4StmUIbNJtF*R*2}rYl>O7AweK*J=%`msyffW$>hT
zRd>kaB}Xl#eD4-EyB}H5p6Yn|!^U;`Th>nB?*8M<qo%XPlC1li&Z~2+^Et11B3ApS
z)7*q5A8&Ad;HzZ4`||bAgNn`#g{wn(U4om>{d(eD=y->zA~@t>|K^Y8!Uc)z9`kFl
zU7K~{!<}!(6C^@=X53m+$aKLfB>3O9x$U-!!Tjp^$DV!5k}_C1`=o8<Y@X)#f#;*P
zAGqokm8@Visqt^*I)kb&w-=avGZEdjYWCi0<NIw!KO@&W)#g_J*(w*6m62u4c2MHO
z-VOGVDXG^#iv6;^6!noUFTVB2L%qLC|D01<QTZTNM&a$`=aFk|&7S<|l1=s1)sS#M
z{EqSPtn(8tt5)BU^SbYFImY3Wd;9~A^)F{`c0ca5<X-&g_G3}M!u7X=WF&nO*nRy-
z<nlAqkMV^l9c9#Kx$wJx_KP<{vt%32O)K2K{#NbgA5)Krb}q{kY1TE_-SzvqUVvC&
z6KB|Fs~k0#^_g)qRgcZGSE;{O%Cot3_W8+Mr&SxDXA}K<^rb-D>XXwMSZ?@#oS$CC
z|Hg@}Awb1`$&CWtw<*E7<w;gkrMYaA%NhRss@!<Sd9IK@>-+T3SVqCJ(~i~wY^zef
zBxiLm_OxFA_^VR;?P$NaqaG(dI*Km|omW_CHNDeAXx5MR3mICh@20*E)H3G}wXr*O
zcJ>`*{f=3z1=ceof^%klJt^DwU%%YXB8I^&B~$U=RL>Q9?hPGoJK~G2HXOV1uOwp^
z^OP;8;@jdfyLew@Z)|nSJm}@Z<|!`H_9N=UHGYRlm!cPL=?!IRP8O1}nzv<+^ue8v
z=NL%!spOoTk;EvsxBBkMo7<gs=FTwK@bkU>%zu}=JX_<$N~CsAzn8Sz$;_!?$*Y}n
zo#!XCE;tr)Nc{5M61xd&UL|rXZP|G5r}0_)14dtr7dR>uAK7vI#osXb)Y$ErfnrOJ
zG}oS~(BNOah#@F)>jkmOe-ksmZHrEBc_-Syxcki}lU7S<0hg`c!q@zlk7?0fvyRzy
zs=)fs*)^*Ze!ZTjtHC0?=F9(I`4e>awAWm<N~>GUU@(P6UGVw;Zyz7-=}F94_Iz!+
zgE{jK8?`m(<#!bA7UL?}rXRE^<Nfr@9PQ2tvs9a>-S5x*+AY?oUFDFgd1~Tg-|q~O
zZF#ev_+0umX<EUD`cJ+=&a1v~bRE2=>Jqc!Z$+x*!rSZa9ok=_Dz<<AtDIYFbr~Du
zGjbO!y?$<QN%kzpHB$Wc+tvp~tz&t;DQ{WyihG>b8*W_bebpm#z@+f^@~cd%#ZPZE
zt#Xx~<@TNT<;i<rkBZFP#(CwhM5@>#j|`Q?2M&wK%}Lnf^7B;DwtFkuwr%v8p?P@n
zkvH|#krFm$hGCArVnsJ6uWq{K@bAOA&{bD2+k6s?^GaFmB{y&G;;T1yWSDV9>}R!B
zJ!V@rOICpUa1x_p&r3$`)yf@vbd-Y+@q84Ru%m8`b9NTbix!!guXN|lY7}_z?WKIw
z&Oe(cWymVMn{EBXd~es<Bu9^>lQunC%Cq@p`5|GSb4TtlO%<$|mm{-5GSR?^F(Gxb
z+JlqoX_L;aXk!2Re9t-Whvya6)vFw3j&xY_SEh<*LVLscZRO@kKkvq#o$z{ALB|WZ
zozHhq{`Br;eDd1upZfyB-<SR0S{c53n@g^f@HCO`;(gzPqIO(Y%eOHJ-QMo0s{Cg4
zzLsEv-2S9{r!PNa*t_kZMhwgKbscA<;`ejUp8EUqnZ*UY|DBecUMp7f$HQfH`B68=
z6qTBHEOwt5y(Re{-J5dh?oEkbdtAR%H)*8!oMhKflGyn!;b`=p*<a_i-|FA2ntj7}
zipMdp*YDY?Pd-ZYNRjz@&f0@%(&UKGy<z7a;x9>lu;=5`xb^UOnr27O^VI^kOqXnb
zQyAdQ%p$_Tz`(&E(jF7GO7p}`bw&mT0VW0p0R{$!ko*GQ{FKt1)cE3((j>jI+yLKf
zCPR@u@7K>r=Dr=fb<3B>SE@2>MO;F-E;;+m%QH|@JQ?-(yYD8a@DG!|r+rF$rdry&
zaihBWcHaXZe!l#eXCl%2L3iqv<3hq`wfAVYMoN8{F;Tr-AyCvcHu;_h|E=2vhwgQR
z3D%`%B=m9%91$(n@mRcZ;>WJd*Cw~yzq~M^|4>4Ym->=>6POQ28SXoLOJ<`X-{j1x
zM$5Wps7E|wR@Bn(S=v|BrfRwJjM%*`eZk`5vNHrCCmMcEunIKNoN2`$ezfxQ&W-Uq
zcR$PuPm=v|W82G}ip{Q_ho_zOc=Bsq)Ty)W%9m8R9vIv8OphpYow%d6cg>Y;yd8c2
z7d)?V)Dtqw^(ZL)Z}j8kr*#`N|8mVOfBCU;vD~@UUrP_SYlLVvZF#Y8;SXliKz%(;
z<MP!F3=9i3I2m}61640ICGE}L++u0-O=f>;>se3BUpp5zx7xBsbi3bNEm!?*t7NpN
z%(=2G#!@G8neIG|N#2X*eBHeD|6fT4V;P=?I>rl2T&%oQCtBqA#Eb8e?qy^9ob)g8
z<Hpb5zJ2E3E-$z4dHu_hxE22U&b)sozTJFo-16Hm>%!}QRsBn?i~ale_Mg}C+soza
z_W#)P>+h~zf9}S|-O<;d#{a$k<(?mp^ESqu%b#+j=;QA{HI*NKKlfXI`*r!Qy?*)Y
zgL|wF2c`$SFtfjZw{F+&AA3K)d46<yH~;qYR{B}C^QJ28{eQjs+uVQmp1ys*|9t+m
zx#{=qb=FOv`{~!`$Gcshe<`}D$u{@h1Np-z?c18q@wew+U0Zd2e(REZ^>1zdU;q8-
zI{&L*-S?lL?yfKG^N*7+wO;zGynD9)-1PeWyZ+Yx`+mM${CoH5{q?&v>cjv4tp8p<
z{d@iX{k1!G&$)lTYybT-|9|}MI{5$l(^t(wm$No_WPUikyxSr8@04Zl<nr>Kl{a2%
z(_FiE|BgRzFW-H8_-wmF_u?sH`S+(kE0SE2H|KJk{)Go|Ud_|DU%$?s+Fsf`_x-;`
zzOTMM`g`~2-RtMBwjX`-`R=3dM~gnD#?7idUM}&J;q&R$vv0q??H_NgpC?yWZ@=Gq
zPJPUsDNajSvrp^wmekeWwfy^UdGhDipI$$&eE#&}?8TcWGhg<4Dct>2e(vm_n?f=(
z|6RIYRs7}K*ONbQzlwhPUCjLS&%4LFPggGgx8lo&+q+YrZ~6S_@T0uCmG7&6UA`?}
zUzPnXulD(of9Folz81bJ{>y)zwf@_JUSGH0D{H&$TCB_4U)HRTt!<B$|LS?qBXM6+
z>3-wNce&PA4YnNI<~(_m(u_q9=ZkFJ-)t%6@c84ygBPVT=NM(!IBZ$Bzv#%1bFYnm
zdAFYkXK0JtXBngHsBhTB&|7C`5wY0KUM-_!Lw(t|(^ksYy1RGp?lwBVbN2lyo}tel
zZ2A1=!I#+o@^|Cs*It*bX<sRmw=hS+?;nFV+pG6~iatGiqWjm@efr_a=QbanyuoY@
z+d1p==OQ0De4n~;%l>2Ms*N^^w8h(`{%E*$ygl7oXxGLSF}1ASZx!tA>#YC$S&_r|
zN9e?bpW6>#u>2(2Fn_YSJ@=)}mzIC4bXoYzI^#{j*0BHUvN-DR-21z1{qqn$z4i68
z|8D&8KP|S2?R7PeQjB%rrS1Hu!%Eg~FsoUifB$aXy!*QQ%l`fRb=E$8z5hL#vmw04
zw*1MgyDs^bch}!}N0UC!-g)`%pSpc}K30nF>t))qgR>_r==;xi`j)yc|KHpjbA9oO
zxV(MSFP!!}XY|%)_CD=4wM<R7g(5mVAI(=U_;vj1+pmBA9{&4Z&+gCe-oN&#tM&8#
z@6bGG9~|zp()_}m>3Pn<7w3ODW*c$g?Q^Nq7uQ(7wy~~SzR|$?FXusypYqGBQc|zh
zK9s&%vz8~bta9_5yJzjsc0F9fBX@oe_tMrsR<A!r_J*(Bc<1!(Pp8ejgY!-0b@Sd$
z7dKz3+iv>)Tv~VJ!xgbnjlV)(uG#Y>@83pA)hX30`F*B-xYd&N^<e1gN55sSoKC9>
zoP9Rq!=J4D{ePLIIF9(Nx0ma4-!|o|wyT3++JXmx7fvsCFl2DDv$w6Yt`5ET;w*pf
zk-u+`KYjBn@#oK^LUSfp#cf@V`|X3ggS2N#Z0j<JK3cXRv|-Wf#MR<ytGCPM<lfr$
zD{<Se+Xt^nh{W<oHycDZ+u!8cXd069)n;2;*IK!w(=Ira$NcNLTEA+32G=JxD_=)@
zAIJHN5+?XN8dlXUebBtYb8#pCeWo7XLp{72$J&1Oe62pee%I6UaX;TDJIJ>!<kx)R
ze<;H2Y=nhOzPrh~ZGx;Wit9ElDzwnOHEB)8;h5IRi*!$R{#x)NY6sVHm*`1?xihq7
zAK0!dsj2@J!|QNyYk?_Ok?F6=s~N%{D}+CO`yh*>YhCN=y$a#g^+lo&vV@*wZIX>S
z`g~Dj%*vuITF<NJhdr&GpuKsj*7Vhne*UgFus5$f=El>v5eHl)3OAmf&~5M}sa^5=
zp$XO2JUivv?%Yp2(QNSKAfK~bn<9U?M3KbDcT9Z8*U9q5K0LAYaHF=&_A-l{*2UNO
z*w+RMe8?zg>~C&3zMH#3pQ9qboYB9jK|Pvl$CWF$_`cO|@1FgWeRb{LUhkLH7YY{t
z`@*4-enI!>k0#e$DHC;WdQW?!?#(wzwf*Fzl}#uAs9c_W#?Q!damc~KRS)7;Jzx=7
zV|#sH#^1cZt7L6uuB@`H-7KB&oVItpe{9f0UOxVI5vB{L{S8lgZJm~4W4HN+%#rl4
zIjSEV*R?%1UX}XDnEQxhP1@85CV3ITf*QB2J~e59<{jtPyzzR_%p~4-e#NxT^EyY^
zKF+)v!C4bfbM&L+RilqH*M>OOq*;sh`rC4Htd{H$>V3j6c}>}_R@cHT9xcu+HUraN
zLXKwzf`yl|O+B+TO~LW3z%sXZr4@-^YNO9eG#vJ6*l@kuvUbw>-(teOymAwduq|2g
z(Id&C$z6HlL5)nENfKQTCVJ#3cuBRZv?*?GRc|?)^H}fzo3Cp?j@x>hoV3%v9J6nh
zDL3%W(p`9?C$93w8L^oHW}AK87^F=WI&YNR`)R{WU0ubTwCHXIvy5|{Nyb%A6OE$-
zoNk;k6JgA`utnl%+Lu#@m$6@0{K}%-<0Bu-yn4l~_GhPg0t?oio!DB$)~92lR-CfX
zuwR+GS5qcZBds{)va9ioQwmzm%XwxknAohy#hTWpA@W^fQ9#7U{VS6j{>Hv8e|<en
z#qw3jyuGjgE&lyFP*{22;-yjkbCf5#hW|4xNhnZIeYnG^yJ;ULR`XsqdCIv!E7zAi
zjR#w%uq1P8a<&Nt33aKQn*Emh+WTwk*D@G{COXT{H|*_{4wf}vmU8C+ch175<6b3Q
zo=JkwkG?N174g04AQ<aC^W2rX6`5QAa~@pUf3jhV^XlXkDp9h^l8t}vB&qDGF}o_g
zY}r+|l_73SSLeHJ>i0^!$sw{|$8Aye+Tgv5v!dQE@Jdw)Fz!lPves<ApoU&_&z7_O
zM>}p6nXcUyvD8Rtg^BA?!2{V>JnJ@SJX@tQ{enkop`@+yoL6^aE@yoY)0*CRN^G*g
zmKt9prrrZ$%4?r%R`jfR8+SRYI$TRxLodc8!0WLwQ>qxR^5hDqD`gupxBk_hI>A9u
z_moQzv%nMs)0Q_!g%@q>_Ferpab*jOR(`LF)WnSnP2P(pb}tb+r68?!{A0+f-i*sx
zk0Z6FHaf*m$z96#Js|0hz$L552KSD-Wtma-ho^XPa`A}sP7(V#rD5~yw#id;c6v?z
z{OQRByE$%C44*7Nrm!LJ!h}f^G+rNHwCrngz{w>Gb{gr;a_5?>qyFU7uY)Ty|1JnI
z(#+U(W`jt=gXNwJKR<0LiL{S0T`RxTOO5sH49P?>iSiGBd1suzRALnLjK#|LRE+Ji
zsXpqnOpMz;rbsW|{OrY&ou$)5dzXLBJS-afzR>qTZ1v(}ZbfH#rIubW-QJ*iEGRi=
zId9t*Wg*@hi(OW5F+A#8w3tnAmSFTnj+X94_u9JEyq78avd`)ho?ZTE(u+HMQ(e>@
zSE$VTym(SXUH7m043W)s@qeYN&y@b2693o!ul(OTzRT<SZ@=04lfmZst$&MtDSTV-
zS}U%0-`@Fm_x<1h^J&qy(z3#xH9K~!ORLXX%uo>e_REFctTUD;Hiz&WYo0jwo9X`0
zsBQg^w_W);eeIhgq1X1U+Ll*x-*=Vqp4~NTuUG!q%E-r6W%Gk&OV#SvLKj}GeYdQt
z`@*IzE19ls+M-!|=Jc(tJ+<q7fBf@5X~m>}>!qa4s;O@e2Q&Ws^zu>8zr<ztcviNb
zFbY}S5fiohl;GOcRpPH-y_#(KFixVZ@sQp&v9jA$ryYNM%M0tz`+GCB^5<)xuRG5z
zY0SQz``(A`%*}`cTi>QN7}P%fyy4~dZP&hV-l!ERtItkM{&vsV=H9+-cfXxWIq<pS
z_pLHxhZ+AEO#dFdHp`B&y5o>sp6;6i*;5Z0Z^~s1QT(}DbYjW%n}S*1)~}OS9)J7$
z=bO5ZovUl3z29H^u=j#P#B%d{78`bbSZCn2<eu`;%U?J@<}`lO-ZMA-{Vmo~TlaNc
z$8A=eoU8P0mF_DyQ|7g&&VG9I?(&|v!tbxF&W6Tbk5rdl9e-hZ-b-`+=JXdYR^Je`
znzqe%_OH7q6K4O)Dw*wfWR>z%C4oJ`e~+cSNlV;TZ&CI8)t3)nzP>yipVpqvzIndC
z-+AfdzrX*~&%d|t*ZRHZ_LrXhoSz>*ch3K}_Wv38^1Rv|XZX%9>hv+07q?b~?XR27
zvSL=n?Dki}Q~Ita>HnMYrvH)u^mpIYCl_YhbIRwQcV2C`@WQvx7vD`ycpSfZD)ZL&
zj-m74s9b4e53O}_6s@{>`NE%`Rnw*X%(`k`D2C6^5&QYdH~iv>;z!p`IIpu?IN|i(
z)hlmkJX+M)CEv7vwR7l0-q5e=8nQ*Par-aiF7CK}IP@=PYs87Y-&apCn<HW0?>0~B
zhRC^ZFGA~`6vba<x5g~ICz5o0i+R@dtP??puRq(ct!GyHMNh`F{^s6Gq>tP*N}TuC
z?&}-vh*md+rTuQ~%kBT{sNeSg+n1bg->%-g_;dB;>s9VCrqTKDpH!||95F#JRkYqn
z>}bT)hlkgkZ0)#LX#Q_+{mchn)K>d8%r4pe@$zJqg3wGc$FIv5{w{e~_CY<_@z|?3
zoNDVz7AUoD+r~U~XV9VS&f*QaSNtNGA|~1Xn3#Cw?J;#;&j)PvZf#R}=Ih<z`<J3~
z;6`81>Vro&X6=9DlAm?`a?gRMzcyG^-BJuO(Af2NpVq_`<}+8%cVB$ui~;j>Ue!60
z%LP<TMX#Q}#U{`rwAt9^g!@)~8P&%>KD^PG-}C6_Z<Y^}-^J<6@2TpO*x|On#fPJ&
z$@oM=_<3&T>o3G7<yu#KjWH<bt+TwjtvUSsf2Qj%#HZ$3S8Oe1+u^o<OU~_st2gH~
zWPjm4vrTr7)pn5J8IYiHRzvoe?z14lP>|r09PNYQw;!z9u2AW@e&-swKabBcSN#;3
zVyPcvuNpr;?!YF6T1n0#*ZGy#q?fF(T7Godi{{Hu)V<=r9^>iQ_iC%8Nv%<Ld^69#
zZw?ntFQw1>#r|^jy)%xN%}*@%ziQOi-=DyC-NX6oKfaE(SE_O*yiTVdF@I(b{%F#B
zQsz0srii_IEop%({sg|~(XQ_^i~F}hlQX0x$IC)gQStvPF^|L^lT~M@NoupL4&UOy
z6ZSrHp`?h3R;W+4&tfC@87)5z-j^*rF~L*pfvfbTDO@*ru6x9My(MvC+N$#77q+QP
z){!~Hd0F@=zt+$2KO4ROIbD47L*S;KW6%$ci#}&X_7+tX_v*E;-u!v<PH(OD_r1sV
zUdvqV`CxC+4%T@(?c9z2A7mvz#97{9@}G8iyX>BDzU>DlKm8!TT?WR?eP6j=Ca+Qb
z^uyctK4U1%Wq&{Qu<nNx%bME<tDhEd+eGr+clxk8`H7F^9@c&P!mb_){E#$xbI+L_
z9w+$Jn>{a9op&}VOe+#oJ9p-cne!b%j(?p(%kP|>8EoOMzvzgp_gXt!sS5QAR_a!H
zY&A}6I+^+BTw|8I(tK!|cIfJ=i1pneqK-dar2IN&b?(>t&8Ke*O)>gdzW?9$pMUE`
zY<~QC)jYY)@oV|lm=JIG52p{Rs|LBOUVBAz(N()3hcDun`59X_NB&kQoySvPQs|-P
z;ddoA+2T=Gy2gb!g?!sTEV5ek?}N+y56tE+g$MIEmbTpWVp(@6vttvti^)u(J&LPl
z-{f`Ou;?6%cgj&w-!#^IjV94Oid|Eu=RTaC&v*EK@jZb%r~XHu^qOYzB};ml#TOxo
zWfos{i7d1DQYEm=;>#`8Wfou7nJu&Ul4iWj;)|L7GK(+ov?K0#PTYJ%@oBcYwfZB&
z>#tXqX~gH=I;gA|FTY1NPhiolhmVVrCCv?fPg>dY;Oz&=gSQzaHr8#g<4rx2wRY*b
z8QfaQ*H%_8=6b?5LA>y(qB)mpR>d_r0gi9!t1M<U{l78o&(8-63;S2yTPx<9Zk`ou
z`bG0z@i}gnJ!OX(5|mlegf>pNvQA7s=x?T#%2g)Tlf5QR6Rs#q*)92Xxx`}?E7v4z
z4Gza3cj+G!Uin{ETq&mE@oL7U0_MmGS0q#Hmi!8qne<yqewp#Yqzwmm<!^}Yyt>?5
zwL|qDubJbT>7pwmYnS@@e*V4cpUL9&mp-hJPJd9?FoENaP{3v7#H-oYEiGdGFYMds
zUv;_u(XZ%VYg8ZITk$FFwf{D|Y|iQCo9m+I+eObeJ+jNnW6Rld|3t3y6|va-Fkrj6
z?dvh8PoLR-UoP<|NJ!z?R5{_#o`e5PWQAm&NHpj0EeTt2q5hGAMI%#3W!@C_X^xu?
zL<GA1KgqUPtUA+G@~?Wd{LgcIEi=yiIK-`Y;Kww+!`#|44O_(n7_$A_AGS_9V{wRE
zsbTK&LmR%Ti?UBvamu#v^a|hIS;eHNAKR-I$H}s~#B`Q{s=(qMStjpW8{U^)*vA~=
z&*mLzQ<x#yq{*l2Y;bg8D#tR5Ej$NB+NUYbcyz&tC0Hh^EupJf)nlH;7M{k=Xz9m6
zYt9MzZ?y8ZIr>WHqsZ#)w@o1au4{gGAY8VyLjBvV;%?4U=hKXLPyW5=>+Y}F**rT-
z;<a4%<#O<SQ*Sn7Imfc_;{Cnz>o(f*_iVn=@Unxa{-7$i!A{GU$D5xzM?7s=y~=?3
z@<UGkV2KI;zZJ)C3epgtVl|<k>1c?%spv6g|2@sQPZO06?3h`+Ly*f;p`Xue3ODQ7
z7ZY-<Zp5uKipei4ew9$Y^VFA_r`BA2v_tDbO#t^}^<S=Ky<0L$KA(HD^v-dvg31jS
z7Cc%xwb$=d0z<?_t(na&n%g2(W-dFVQ>>hyQJ!j|#>696$(UrTlJZ2fNlCb}b;`uf
zJx-@Otb%44F$;<|tab5yQhKe;RXP8+Ps*wC3l10Zw0c^lIh=l1FESKN_~791mCIUy
z!*L=fi_@tSEKG{2DNK$_jMkPb9Nz9;cJCvXzfa|cPe-P(dxIF?j!a?i1~GmenZkY=
z#Q1k)N_&<?OyvruQX`iqtEDb2v9nOS(~|nq=RU_B7XBj=9)5FsH0M82nG?#mtY*^W
zf@`cZK6&~bij+(^rEbZ&_`=Dl>~oLYJeF|M+P`o4>DlZn4uA3s6AiL|v$%u*+SQm1
z8`I9(ewg|!m*bVTOYe0}o-MOhJ58|^(e0V1yx&S%XK$xLv#+q<Bw2;loI@-Bw{3}#
zwUo$f%I1vY-Z<^SD&Y+*pQk<(agp@a;NX+={qkvcgsdi)38VFwRh?H)o^lZ8Ne-B7
zzJ@dB%{FU+Jf_X+*I88FoOFpiFy%_9XatA0RRyp8m#e!3XYdtBGkj*uV@_~7kjo%-
zu|9-dzCfxWh^ve_!0$jFgBRBgUWZ7=-HaN#3FZt>SwDRD&i5=6Q(yW)X??K*uWZ@Z
z?43;-k*m)X^c<Kp-{s6z2fn0rem|!i;+e_DT&$4jeI(}uyTI!OH&mM!S+CfdEq)?O
z=Z2HVbO(F$Pkv1-nGDzeeGs!a%@Hicl=kw&ch^5RC1kFhuTJ{gv!Q2O!}<(Yv!>Fi
zx1BmBHFTSP^83dk$S7@dIbwmp#gJ7WmDIK-u-p&7^x}|v@l`kXoc7X1is`kD%=%@I
zFFy2K{`n1f^o`$Ns&#+ziL+NeI=8D|O^h-K$i8Z^PeLY7RqC;i?T^@3S?4aNzU-1#
z2oL|pG;!%_E8*=|uFBM(zGPbWQ}K@1o^`J{ZU==IN9lTB|4}--KzrgYzo|3*y^QA{
zi})EL{nO<5G3VVoXEK_n<>ud-=@PtXZ_=#CA{G|uCXZ|qABr&+aop@yciDN*<oIVH
z&W~P<_x3KEdrfhUjm0VJ<(B7Wa<HE(l(JY{Ve#t3i_B6B6K=i--3!VDl;-X@=;_a#
zCBg5N`+06yyWM67MmvtI_NFG=jf|!p>`VJ9=7qIeZgya-W65f7dQ=fyB4l{g-Q0h*
z^Q_1P4Eao3ogE8nw5)E=ICsT!;pJC80b&{#mM^emW$F69=bee2?#x$<r!Bv_@RUx#
z0{^8Ak7mS|{3}}bX?onu{d0~#(SL4LZ<+pF|H_{3sq<F4C0%?O@?_53t{>+os=buV
zje2h7Y>}SM@h^F|XO&xYx2WZ=Qw6)0K8%n*I%C4!DcjUuzvtM^bjVF&XYqND4-Z$l
z`nG;j{2^_4=D^yFc@IwK++v!jc_VAa(Opv)bUakP75H%57WTu_Z?2Q|U~5{dmfTlX
zwL@2y_rd8m27)I<!6JML3DK-Cox|>GA8zNm)6TVxKhQZ!ZfolTZqXgwqB-J=+>Xbb
zD3S|#AQ!U1wnHkTSTWryAa?yV<$#Bk=U)}=dc9^A$B(nGq+Xg-{9KyGGMoFc=-Oqh
zI~tm|ABbe*{GNW9edf2@0dIe2JDWaT6SGQi(FHLJmxtZWbKWgmA@)hywu6;j?zi;M
zdyDU~mnoD^{Gym0P(Jxy!fMSUS$0P5^Tg6_OfpS7HRI;VXuUMW>sM#|UAVk;ruCNz
zAikwM(<JlX(n@wBUiaRd&XHd<X}e9<=E>W|Qm(W<nY10`ZV|70Q4@B`xv1vvoUmB-
zMX~0#9V^3m&c++|C8}%@o)Pca+`c_*V_E#6=+$A59<JS-W3;6*JV7Hkt*NoB$>>0f
zxyk2)FRq`HGMF;a=%B;yAjyP7(W`$Ze92DXmB?Dz&&hV{!6^pedvRyk7sqpF9|+*C
z^)a|m7SA^0Ltm+##NS!r%xzH*lA9XK_>2#<l&=LDwoS_5${{&no-N%o8Ple4y6tbf
z+OSaU%Z!8tvR8Qw8re&Kczjv?k4Gp=w7-+()|}%GLUkz}{BHY|uQn_Udp{##LGCji
zgUKldWy#mR?b+mL+kMs3^@sJj#Zo;X%6IzqT|ZOFzN}o?NVm|N=j$P!Z6{ueiO!g<
z5n%W}qITYl@+w=+HeJu%MsIs2Z#F-vn`>JB^-PW`^ZOOKU(KgTUVCY%vOKp)Df6LP
zFi-u#2-&IjyH>yEbYU*@j$~C3OBS7D*P1G@ohhfiNZW^}Oncc?M@^g4uNHlOp15}A
z6uUpH$)zES-bcl5JFD=Qzl7`7|K<%mSO3q5DEsfcSuX6qdw#}|DC5lwK8XAL4=z?-
zp{&_tXgDL`1dB#C^Dg1dW@+(GlkLLd-QGVt5@kI3zz1=k_@H3tE7K?XG2U2eb+j^V
zMyBPNy2fYg*VJ|1o6xm2?b+ss`ZMYlrtQ3NVEIg^V-Y<GJFI82I2UJ$9lSU@dE>P+
zGS+`soefMsvn>|<$0r@a^HO|`^~BwYNhdfsT77CRt6t_>ki>GDYf-sy^4gh?Tz+sL
z<61F^_10X2V1<J&<xWX0XA_e)oHg7ntaW_DF5{|5j_k7`8HeHx?s{I$J#eu{K|<;*
zhxUOZn|`xu>23U_y3eg`i`nI)%RY$v><>`Yn4-BPe!)ea_u^^?0}gh(7W}@pk;Oc9
zd&1P!JLkka*u+&Gm%DnW+qA74ZY^}>3<)m$Yp7z+DaK#v8{%<DyG*A#<D<%z<;LsR
zB^&xpEt%2d{FQ|-_Tkj!%Q(}0cvdd3=KbcdrPHfh$+>$~=ZC6<5aEYg=dDmXq^;vC
z?8hgbrL?C;Ec-y8Z;Hf*aHUN=Ggk2#@=9oR6i6)Rkw~7Cc_2dA=XJ7)W2F3I&Qrf6
z*lSNl%)h))^4fFJ6*{jMX9fGbZuYW}Ii~sguta*4{56)#B@(^?%O0!bE>uhLIsUSj
z=kmu_iPK&NEHzm6(jio><Vor`>uW5V?@Rgy{5{uk!07M0fSDKH$L&4)s(jUzV{gh=
z{Ak_9x%vJ^wHbfUS@cePQJ%Kk(k7*3R`}t~R=ryH4Xt@sg%(Pvzi^U#{?&SNA-fCP
z<G8(NlICblHI!^W<vC}C(2aY-s`B?jgs-xgN~b<yf2iy|TW!O{nda(gw|Xy&N|rqq
zeWtT}VOEjP?#5HG8OuMuaGIS^Cbjs!Cdiu%iQjfRr@HO0Zna;1f8{Ls&G#2-$*50U
zXvO>4MDFnmi-Vi(Kn^mn;<ey7|7K3`bBTy}jpx4C#FJKaJ%}xd72Lxb8M$WlnkR?j
zzv`)V#QQiZx_!OuAh9@*pSiKzQL?vEB*V5v>u(Fk+rXBn^=kg=AvYO(GWvZrG8dgS
zHYx1UVr`Xp8{89>AL*aIrQhgQy0O>H46TJ;_KHsIA`6*0o!B)NGMg=2(rI@7#c{(`
zA|gp89)?y^5=uIZtWscVyDq%{msGnj=hM4ypa10j-1_<a_56R9)wQ3VWt7!?ikdIC
ze@?wtsV>{&gBe>lJN@_n|NQ9FKfiVre=jHwuJioA@z1ZydzF=6p1pYUWWldr-TK`>
z<yY&kwEr^wp3L6b+M<7DODFHU37!s2Upj|v_p6D`!M=YFW*+_6el&LGQ=PngtL654
z|9)J)Oj9|k`PW*XFYl&9=SySm#+|=!f9L=EM~C;{PWZn#YR_Nimp^=BE3YNp&3e~;
zPFgyyO*mr1&$YczK7Dg+oLhTkX5_-6-7~A|F8}%x8~A6}UJLKjdw*o@zI1x`&p&R`
ztG~^C=3mR^zH)Nat_tynYj!vJ1Ydc+50ZcSF`EC<tb`rzal+~Q_un=)Sy7#@$Se9!
z`Ry9_+pp*N3G9kGd*uG#Tff%KYBUzyHnHN>#a}g7%;%rAubGwIdnKFG<m$QB@>ivT
zw@=%>@cCL}ze6-@_u(hMpPu#KEG#Oj`_%v5fnDm-m!E#k-+a40b>lZ_#jt1=-!T7&
z*Vl&J-f?P4tUPDFPx^s<|AjZnuwUG1^{IIE$2CpwzkYqRFQlfdGWk_;>n+>$n;o8?
z+MV<wy~&zMoJFSN!)>OWxf{D9ZTnxJy;3|Wwd+ak7GbfwUVnV<?p#r@O1*54`-0~9
zTNC~A&p!FnIrrt;MYhxO)h{`;_pRb9RcAhT^{rWz8TY9Vfl61z_lfFpb#*)0%F4{r
z&gT|4;4GVCtZjH@`ZhC(FqJ>0+jji4*uQ&r{fx7}HeX$l?#A@{*8SPpxBG5hDe&ER
z+3IJ`)R!#!dAb?pSF#^Ox_>LXec-LO^~2Y3|5g>>zIw2`@lM%m+uO}c6=y#Uct5Kx
zM!zjj{>ipp|F5roR<Zcaox0;~!y5(LoTe=wqkl&UFWG*-xKiXr4(kF3RW0i&TPpol
z%kJW@EVhs7yCd{Q-rF|s{`vIp-TpCeZ%0*aZ+jmqyeH~&km9GgQ46otySX0CQQdRy
z%3hm>s>pnYi0xVPWZR6EFrAs(rOSJ4b$ndRy=j}wPyb%_QT*$&hI1bsLoGFqy%+ax
zzNNEpwJd}A=hU0o-kU$Hv$MEiZ~Z&<LS^CJiu&@x@1eCNUlR>~*KGe$yD_F_=KXs1
z#D-t%!+Um5zBZBT+WH;yvP=uILv-gYTBUzqMk(v%>KT3q&Fbwe9By3+UwoUVck$U8
z{u!?{-!pynSpKJd@%Ht8{QmLt_x(vNt0*)0{qxC}Js-c-&;S4F+1u6sZ@vB#ogee_
z_U&->=k>qO*E?K4_r_t4#NHUWCuwPA7wTSr5mB(M(tR7fd^!82#pc`VUVr)GSoOv4
zYW~D|X+_Luvj3?Z<2|)D^ZooUI~;eKs{WhyqVoTSm}!@nwx8epd-YLw<C#JKSG|-i
zSUc-=Baf`SoXpY>#h;!%$vy1;@lUO}R875!1YcBp!=eYbFT0wy$AkoLd6sP393$ZN
z@z?V0higv!z4v+bySVWG4HwS)_uoGkH`To6v$Jw#eRSFRuZKT<KKf{#MAIgLT%XyT
zA^QdWF3CQ9@TFb;`}uG8=gm8NZ=aR5RekBND0}5_U*Fs3_u7TBb5Gd1ZswD7pO)?s
zR9o|<QDxU03*)M~tJd$nnRTz;zIewk>#pn#i+6l8Ys$X#*3YbQ^{VAcGyd^x(u=I;
z^LnP<q+b;?$&2ml`81(jVm3(?LQUm0H8mIBzI~r7BWLJ!@}RYyot4!si#-S39+Y<f
zEm~(JlPhyipxyjbySbFjek&vU-(SzGB~JS2%8~p%;r)p}#TP>V=SV1<eVC%~`=`S9
zDKnc^yjb}~ZL`?X6KT6@EoAz<yYB`6Uv=M{yT~}%`~QpIb>-`~Cr-Y1=0MV&vdiyw
z&D^j%T;%A2@PBXKz7=ggn6O#GUHV|evy#2H{$F`N`>4e+L#~@I=Dj-l$$s|HZ8KlK
zS#kSr#<k5ee9fA?Zz^}Z?&O(vb*2x8{OtLgP93h!n*7P9<y!C&3B8?j^zD{>JHB{t
z-RrAijw)YIP5i&5<V9p=$=Mfy(@*YdPF%ft@c}W#El1xS3e8{n;lZry!EcoA2;7Qg
zU48HVgC^7Wxi=g)yeehXi}*aNL6&7T-;VNwvzp(Q3#_radY3iZulT;>hF2yf;%h8f
zYuOL3YCaLW;TgjxS(ep)=gSVx3f>G-xZ)IRHs7jSNgJ-IIq&Mad;0hyEw_siGd7E{
za~l?GemeMNUi`tMS&;@V=X1m*7A@Kno6sTjsjTtfYl$Sq1m^+{>&XW<EiAZpc)qA`
z^X7%?6!*BXoo?H;Y=3mD@eP(~yrpLowlhg>T%sP_V;gb%+fp-$q_=sy4+wOZ+-7Zy
zn0i~!;6_l)uR~}4J$x8g;Ja+E+D5UEUsoTwMBJXWCAe9!?fhFN=ajj(S=&xr-o|~V
zZBire6Eo2{v7e;BZZnoS7xwiW-`VRTGD>_W5^e@u=PBbjeeMj0$c(u&1vLz;jg<ou
z%F^5x9C&lD$3gb_zKIvpm;-&26^$C#dgho&EK1+TeP-R6JP`?|(_uT$tW(M0I-StI
z;f+tzvIB4;{@Et)gfe}{;OB?mdPq4i-JJGek9Fp=cTZ;Qo}BQ`@9iEJqZ^VcDHAKJ
zH@xvXSTlXq(<eSY?`Ed_-Mqbg#s8Zu8)S_vlop+2=&WC5$atd7Dph!%^O>pJIXG@=
zMjI<CtN%6HyNNSg@@>$1fvemP1Ji8Yy{tE5%$Z)*sd4&*%Iw)6=EiECyux43dauO(
zb=YxF_H`@2uh4&Cy!5&(_e*2jN5#t@X52`&(P$Gicj}PNov>r^afbDByd`m~vf>x}
zPqnZ<#U5`{FF*e}M`L*Zcc$wvj@PsvIBqXLlkcDQrO)5Cs^9(WYWPHc9uvcym6O#Q
zvcGVOI6VliieuSh)ycHOZNA6Gw-2tK6ggn}tEDTKeZAi*hIJR!!*Z-EN{d(vdhHG-
z-9BjT9LxPDSnid}fz^|BK_-ab=8KzK!WgsIe^14$2hj(niI>lRcKXnnKLQaiH}AXR
z{C3_I&(8+w6@MT3-%7akMMr3vU(P&<`3Bqzm9K5vE+f0~!`7!S*sQzxKJT<YEb1dI
zyDsI`?*3$v-7@P=^xe+Ni1?+rttRNeO^wK<arZU<YZ$gz=C9wJm+_+Yy6QE~+zp%=
z{g<<E@4e61>XsuV5H;`K>vyH!tIzK5XDT(;{&@Ic!Gf?yXX__^Kf6v?YvUZ-6YZ<w
zvI7=H-_F(usJNlD{l<ZrpX(O)^38c&ll&pr|I_t9Ob^~R`Y%7_^zrSF9k*rW`}?o{
zH<!5|mC>nEc>ID;<>S7EvNgdOoo|9Ta{uqJ*z&(pXUl9)i}_r)&OVvr&#F7I?43YV
z&YHh$mw4SgLsx8`?=&H8N<=x&+wGI{49-d3Hr#UGZ`VPKRXN8m)LFk|d%R(@tW$Td
z-F=5$2QAEeU%cHF`?c-Kr{^03l4Nz$FZm~GB+Y5vnw-IYUnENDtiY%1k00;N<NW$#
zV?dqtOV-CK>tvmOzg;38A@h&z68Eu%@j(w?o%mzJ<R!Fdg6-;zHOJ;|lxvqu{j+d;
z7-yoXa?bYM>+AR3ua{Xf`{ngT+5ZgfkN00$?^37pWSOc1@0UV@q>DecK6%Dl(R?`S
zBX2>CQ*Fe}*U2eus%}cZ?|!pWxf7uA#HMlO8FLf&zinzOp1diUzM#%H_)308-7j8W
zzms?8MYEayU?}FTEc{$pS8o14VOy{S+nnb!ndARf{|)`}@!6NoKYlifVrO^X3O==b
z-=Dw!-@f*X{C-=!s_Fi=8^`_E{W^A&|AWP<rqfmy(X+RmQr+&GXe#Y8rB3(jj|(cd
zDnRRfUZpKoe%2J-*Ei!|uH~+S=N28VdFRQ-b!^(H+;@F*`9ykjCr9_?l{2%ZP4zbn
zc(XzN?FQl8-Rz2=w?{s(c=k)`v&A#@x<$-v`y394>wNfI^P&3udbf|U`VITq7rfti
zLEZJbS=CmdZQ(r+eMO%<zIF7LT=MY~ua%#CjeNqXJ5}y2|M8;*@^+K{bP8EGaL8=n
z5LqQFFR#sYRjYqdVeM-h2}$Rc9>s1R#c-Qfwq74?N_PD1Vz~G4o71~3`|WSum-ls3
z;M`*U)$wMj@C|2<H@O`STU&kJPGR<3z}a##-n_B4<-or-j*yKUcSZlYaLOp_*`)2<
z;3M@{<H2`<57jy!jAp77CEVF{k@=weV)f?!3laP78}GJ!J>OlKnL}k3kI@8<-lvl<
zDhnAna7YBOaJXK|i+_7t^1w@7Sx@;?opASs)$46C*V#S{pU3m7ImzZE+xxwrjxhP}
z`}8D+t)@mnlnKU9xqYyDz0Jl0lh@naEc<Xz+h6Cy6K(%(vU|Rt66XGMx%O$NK*js1
z>S7h2rmEk*_Zh6f|NB&Rrw4n(=S4g?89vYE>%9HdJ9f^ze>N}gbjzzp&kp}-o~LrK
z<4Mu|#fz1HNHAEvZC<@nK>5s;^XW_8WVB3lH~H|dV$1b9=L~_s+z0V?u{HI74d&0S
z`+s@ky1wc02EvNJyVmuFhX*{KX56Y5c6q69Vy^y%tsT{s=JW4MJiAgg=i%}TXH2Jm
zDT*oWT;!L*WUABli+xGMviw|qW4_DRCFZ%uCs(S}I$h=Xe8*$E^_q%145I0&E}XXl
zYZZ@mwPXgIzqIDF<17K*tX6Z6=BRtAmlU4(a8>a)|2LVF={ondm-Z{U^Ao>)@4ps!
zP1`iy@Wb_+Pjfl_rpDj<`|JL*@Baf0{=Y9PH2$A(_uJ9uw|6gXDlNEVu=1as-Huv~
z_toawpvLOv_9aUVG=7F>*YB~qt`J%#p;=v7U0ZE#ywmgR3%@-H_Mrs=${G80=c)^y
zShxD{i^)$HTX3CfpEt`RZ{4lsEGPaa{ps9vA!za)wp-_e7x217T1DG&F<)^p>$<sS
z`h6Geh>x=~Z0u)p@9yN#V=`3nVy)A7$f<sR50`u3C$X0v2~Mn6-R`P5mfi|%Nl>VJ
z@X%mYug0|vX-Yc!7ks)Hlg@Hv3JZ#FNK;C3-Y~P{p!)KYHQx@eeOBuBnWNXo*y$F-
zvX76x|9t=cG|RUSOD_NC>tgUYlXy~jVY_O-i$Y&bS3!f97L)2WwlDcH*;8I0+a^}V
zBlsZTu?fHJ@{cF3uw`nhZBH<B?)~Ipys?k{^k*6VY0oX?H0CSGY>+Ui^~lm%8qT!V
zwL*6F)(y!QR*5C`HEum};`YR8La{vAZC*!ao^`2Mb=zmn@<X3&{9B(^&f_|@oP*tG
z#_N@TmIYO@X6xQ7U|#F`!*=!73HR5pPgV3<cr3GRx8LKyqjF2;e%a8zRYd&TooPac
z<*xa-+&Da|lcPG%cw-{}wCAn15%a{qIs6oP*r4rlWYvsokJjp5h;mtzv{kIE3giI>
ze*N3L`)Z0M{@s(TcwB#0Pj5QE`1PvAKIPL??YhMN&no{utzx43z0<<)pLg<qI?`VG
zXr`?BIpO<{JK48?ZBfmhZ~gt5jApXnJ+5*d%kM&6M>b03Yb34sChe8Xp51C4@ObT#
zVi}H&cQ&?Ol_<|hG!qm3Gke?JM%9~}Hnc6$Ii0I<cGHHiAJba59@?(6ed-F9GvfRu
z_V*1Wdq46{zAACtVD0_2@y^!vS2nAEJ=foK>*vDlGfZCXt&h;l&rjo@pZ-0(yFaa2
zf12%%PXV7MY4i6kTvv0%KrHG$N3}}4-Gh$ILqGZ^H543JcfnWGe%sM{I}48b^&3;l
zXZZ0o=Q%T8z5KV^_^Nx1rp~>&cULTy7c{r(yncwexZsq_<-TQ}8C*QQOD9;bW72)5
zeI;4zi4^~Zq<v1c%beadiCjpU=hSN9JX3C=$fQ&ae?`InDw{->)u%n0@a2q8sEV#$
zu&8JB<5icIgg$IM*TZ%G&FXKJcV1~ttg#H^ysJ@nry(k-U6Jn_)6sS1VTW%Bdb7RP
zTv@{(8aMyjfuMU^Yd_4Jbn1KH)33>%I!|Ns8Q*Iz-6Lg|F#Te9;nSUZ&N*&f1(M%(
z9689hyJuflvVVbO;M2tE7sGF!Dvosvsl1W;C3M@TnCXJS``UN)?Ca7#Un-fjO7x*o
z?(@?}2x{p!TEBkN)aOS073V#->-Jr<I`wSHAvPi7BTR08N;L0Gl1MQKIdPoRaIT~E
zRP!Lixd#s@$cq-0<k@;YsXTJw<SgSkN=a|q3b=keT<-HG_j<v`(-p^WP09b=v3gI>
z>@~hWw{6~W>hzD(QB%GL*F{(EoHGBRt-5QpOog4Cz`L!j`uXeEi+}IFJ^SX<vqfPu
zn!76(UEoyAdHKOmGUuacM%0e?obBglBy_KT$IF>MOX`h!k+8{)E0?Ca9~0f^sH%UN
zNzABNq4{v<p9rO8#uBHWFwdNEZb9&gYL@Wl-%rn;pQfIwaNym#`++y^7?y=MT4(>N
zSh?!Q=AZ5U#Ro*gq6`CL;{KcMu8mmKt;=4iAXCWg+!rxXi#_+jk|&prEciRGMPkeO
z$pX#a%7v0H?DKQtxzpX$?fBb*(=g+|nxfgmPRHN5Gs4(9jWwITtvPmo?$+>`n&-B}
zdd$f@Ji+4fG{wSYzRr(k${ycR=rJerb)!<$rkfFuG}Dqhm(S=`otI=bt)dG}z~XX_
z(l@qiFB@ww{<`~X>(t|0mruL3?9|Jr3qGIO{b8NNzbwCr?VtJ0xw~fWuv)b7vVHuY
z96z7`QV$t*e9lGNF5+oEQ<ssu|Hum0O^*+V`4upUJ<wcc_rUveC)X60MO8P%`(Gq3
zv2vK6Ec3ZzW7hpm60D-@_J-8%HMlKcaZR}5h0cW}iPM^z)A^6+$bN3vG5MoIx1_@j
z@9M<DttrP@ADz3vteeJ=xx2_@f+LH7Tf!*@qZ7=V+!+%!H;S_bOfHZ+>!`xV)EqsJ
z+mw-)*CSW&f{z@>maayTJ4|bzY95j;POdeHnt0{yqQ+}MA`kD$J#MUG;yx|+!tsXW
zKYeAkMEl|do<qGm=35_G;<K;B<y6Zu{*r5tyC-W3Uylo`m9@-D{^l(yAhhuIuM}ma
z_WX5P4WTBFU7MVjGAOkd>Mi)DA;U1`!a>c3HW7vEuMbXZ=-vJ<Nlk;_;E?K;uiM&L
zv{KsmX3mRTkz{{WpC|61U*d#SB`1zJ_$|LPQRmJ%4R+_SkZH38!*ri1oMaX<-j;AP
z$!@}$z*fU02I(z79VVr<^jb((MtW^IJkP!9|BRdkGi_v)1!Wp0n_DFH8c7|GU^zbH
zkYM)Yixx#{FT%7%_>O7JXis)}n2@d(5OrS4k&(Ulxw2xSPI2jT5yi@Y;xK-{q8I8b
zj=xgo{k7rKnO_|ZyeF@?yNFC&(cht=G~>xK4Wk2ZyH*}(FfcSXa+xMo^RgqTd4IX_
zsw)q=-LI4hB>p;A=a4Mk_U9JQVuK^wX1wWenaORe6ngea<Ae?mr7jN_6+u@OrJyBB
zXIJgDRC?gG-rWDoOV73E@A-bo_DTFZXQGAPg&o_|v-00}&Jm1zC^PBFJ5lEEX9bEr
z#JPA0+{tq0R6EsJUCd!w5X`5@{_dFKlLNUHEiya)w@qL+zb8=ipikO~@7B~8787!B
zZ@l;B-lmeiMW4_74B6{E>Bfq>55Lx|4f*mfemi6QW*5gB?>#&(G<uv2alG(V!|}p*
z4aW;VH5@Pe)^NP=SHtnbe+|bAjGB%onD4&V+@4r<@A&Vhci)273UZce{8(Xs?^*mZ
zn~aq{l@}6bep+zX=&9r9)Kkr2XQr_C&eY_;WfClBlv_SiVT*K$|5^Wrt9<27=_l8J
zTemur%VK}xiGHOgzUN-;iINj2T~?w{;&AU%!0%mOcYj^|E%WtF<Ev{TrJN`Gq;q_i
z=2*=(eFd|^!pT85J)NRg&#T;KAUiFqq3NmL!)yGj=W~0TIvgxgZ+YErdcxG{Dg*23
zxpC{{!`?7WQ1)dk+Iy<*2fy8!=C!qNHuWp}X1=%T-|%f?w{4%v(!H;h58XPh_tE0g
zlyB>_IkNrr-t5@A$8F9>zv&Kw=Xciby0-GDwIORzcUcnG60z5tL<4N+n`T|-eQ@ou
zbo~*F1MBj)Z}pOA-(tdg;w#&U*}_}>L7b&~Uwa+8wY;A>YSyKDU!4=*UOvvK>znz0
zSJQ@Xl8+f4Uln*^@t|KhFzR_f^GvQMP@<D-#XNuh8BtQ(rRxr)<fmlcx3^8m%Jizf
z{;XvyNMhEdd!`YIZ!a@1o3?CA`P$fsYRU88lhda#{hT*{8N+(9P;G%5eW(4N9NNnh
z>9*j=(dNS^({wHJ+Sl>+O;`E+;K-ZPrMbzu5jUbsbZ6dhx5>G<q3*+lNo;f4lTQ?g
zOLR@@a~0+*_guC7wXf#ezW&#5ngYYbHC{%TB*jjcv(z9+WM;sz!-nTxF{#RSyRG4#
z6s+quPgS_F(qhtNy^THEjxT#ou8e>9N#wZN@^uFB_uph@{#v{F@})1)>FP@ax3AkS
z)f(1tmTQyQ?DWRhS&sutW$)KLnX^D5gX^x&LyKS!n+@0IcHCT3b$EvRrWp%)xp>Yr
zeywCFR*)&0dwT1KfZ)<43|$xB{N<ZqvnM`Lwg27ov=gs?Gyjgd`N?75nVVl0m>q8Z
zW&EUi<|oGLu1W6SS6h5C{Ovkt?T>}gt7}g#*z-9e$fNGTY4=@|Y=b5hC*0V1_-$+B
z!Odb@95tsrdAxn12IJ{Qb+(M=AdQn!4k}&>`wr|hzqLT*)D0%an{us}&c<9|OAQd>
zxcfvryQkoUgI3R0wYGlidG<}pOP62H*d26gsoI^4-9o2Us;U-QtQ37RHAGXpub_18
zToIp>A6>SKw@nvoZV6a-{F2I)=z??UlAB7IUYq{$3z0g>u(%-d-{Jn-Odnh>Ow3DO
z_*gpB&gxr}!mX}^Uq?^u6{@xuos)3wsK?G9i}!KtxWUT5$B;{={*ExC`0gnU=5CGN
zCAUKgQYPM;8yKDHe&DD8XTqEgscZ(eWUGa1-Ly)d>|$8l$MSLt%j&(iCUAAfSSDP_
za7o;_`nHEQ%aR#C6*evqGnE%{RI?Wr3G}Yx(^xdE#&6!ju>QN1Jkt(w&wJOSsAlyr
zv`U@lLg>ASysY-wohlM<za5&fXp{2;g||FYm}a`|w&hY)Ryna)CAiOH$^il4srsiD
zt88xem~t_i6B2Bai(joWR5)>@ch#pKJ0AWNl<_QSnHug_FlTD0-&AhZcHz5nd3y^F
zcb-_Va?2zczmjT^Qxf_D&YG5UUVPJ-V)#y_EvU%i;@d!t<Uc_is*MI|7K+!HmD+_3
zYhFwE9hnk+yg+H$9ji8B!KYU)D@;1vna98V-j0VV%gydz@`7sI<G{1@Nx`Dqivy1B
zm@MIS@}bLi_k}$ly%ndh9GdZvWkrcPpL)`dYld?=G`IIXbn)3)%A>AyYNhZ06g82D
zb9UL@sd_wT@?Q_BTPJ3{Vg3+Y?z|~f`f7<Y&(5O8==IZB|GYJnlL%XrFC~)E6E5WU
z+U1&>&@6}3x(AP_FOu9Ke8=~shx9D1g=r0)9y!Jn65Y+1-$-|4vTgi)BaLN8;8~7>
z#j^w-xXs+apmDW_LF1~Aod&0iP(jKD2gbIzOL;#h1{fdU(by)L&?R`AXTss*Ce2rz
zl4lD(U|ad@sG0HsUZbbIxo!+1Mw#6iZcGzdWF&PCIIn+Kr?!OGSKGwO&7?Wy?VmgL
z#r|ur@>nV+zERC+m12m_F}`p@<R*`ag{v8JufO2ggp;8u#!Poms0ACIXl;_rIPk<=
zInhMuiI;)4qxKoidJ6~VN*7}fjebeN4Qq@yNoJhr%VCq+syw@q?OjJ2ScowXg$jtc
zb~4`$;x>nCl2e~^Uj2N+baIE2%&g}i`+X*!b!ZMwF~0Ca<RZ_s=dK`MAyW)or$7HV
z>!C2ILAjY#D*I=vg~`sY95)AP9rwrP%-bI>>F#k~k<j@iadqV)HYvN8$2UYZ3MAQW
zl2pu9NK%^J*!HC(Z9|R8CP~IT6e=LX?i9>jLO)j=3G3J-`Kj^4ip0|^+st||D8@ag
zbd#ROWBo+<DNjOJ@r4JX#v42~Ts{{t>89fM6r+YlvAJz)Y}J-13EyesWLr07?lPqZ
zEamY{*Jf-w7{W5=!7^`yEADyxlbGG@%;cE)B$|WD)^Ii`Hyq7TVQpKmq<4wZ2`-+<
zOJYHSTdRDwnq@tCeZ%ZjsCGeD?&YA0$@6c{O`o4FJ>|!4<;M9Xr;WaCuybe<%>MoG
z*iG%boi1V*U4-&8`@iZsY&AXn&h_xSjftCU!~=5AZuot1!|$9MYi=;C*yeWQnc`}R
z8&OHZ8*Z+a{<uL|`H9ux#Q|9YSv7P2xUwGWbvatPsJE+KnzQY-i?k@enGny83})WG
zu)eTuZtpS{r)$6cR`5La?BdyMO9Ljo-Z@dDQOTou(!<T4G)`(Is(2;%E^|EjA+&Nz
z%2s_L)eQnS7Nq=GVdBN4m|PHR>Sm#mZ!-0`y2agT;eG{|bl1;$a3wm-a_{tJqq`i>
zUB0y~;Z=Y0MKaf=!oqRex+T2oNgAr{!YS##w+fU%ncmUt-x6MRCk@UP!^513t0o@q
zl-O6wqn>nXZL)=6aGvbp&W@r(&#f55<}AEpwJX$TXKBgmmZC+?>d8-@xGM`5G$pGg
zA9yl*FNej!g_8{ZKHYU=kYUtGYZ1|m_fne7zep+TWV^rM)+fyB;kru<ESc|lM#YO)
zsK0y_mib<#)MV;`oO?W+$$BY<;xA_~zu(|<B<EflXY#v~N$-{>^X(}&7TjFftJ<?u
zzkBEWKFbe>&bpj=c6GMFvqqg~j#kfhOm9h=adviA;u+`2GlxsgG?u?oOJcjXde5Ob
z|KpyDJ^S%p%X(H<qFJO}Ph|XYL*s8I>HBLfE?n-l`CCxju{Gn;&C6PoN{oLucwWE$
z+0)%Kc|u>=t%hmS73S{!Dx+NMQ}I3G+V0~o@8yEs*}{~3FF7eqqjzt~(;l(oLXpcX
zOxg^NF66U*-svo<87$-VZpNd4c}GOrgZP$Nm`pM}`u)};%WZuup}DVn7M%K$@BT6)
zT(C1!%|dv_*HRhd$5SQ+gk(LDT%6BPYLaQ`fAfK9PqT6qtBzaY(}e~Hr?x+0376v%
ziK)~GDtNk3;q26QC*E+mu1_&PW45P1?>{I$In&>L&5h33I%!pwY5(>udHp+ZmG(1^
zt9N6r<sZ4aK(O@EjsEG|`OQz?{BwA@Wx)NpLEnoioi1Ga`1ADg?&;;9D>;j=RepPS
z{nz>OyYZbjUNgjRE&lb_|M)Ja@Hm$P)|M5Tbzw{w4z0S<%5B|$W83?+S{fT4t**Y@
zrF`hgT-{B|yI(%o5?tp0UH)!7zu)Y>pM8qUt-n2(@+KhV<AWa#k!hJ(M}PcHiqv;v
zkhol?IH5b|kC5$R!+%CBiw&o*Z8=t=?;3UFVdtifx=g`#bwjbjibcAQKD6WtHh!NS
ze=qL-y|X?HQY_OH4&}Ni>o_-F+wvg$)h*AiWp6H?yR;?U<n2e((q04C;BODoGH;pB
z`u20yF0pxwG^>BduYDOP`=Kvs&2JCs?}C}P{T>A<?TWjjpO>FD{i#4q!d3Osb`OoW
zKUNlq9<}d^zb$`!K?&2QPm{j6TgovXUvHq8r^ax)I#|(Pw*IWK$+si3CS7hT3T<D<
zv%U3U+d{XCtUfl<yY8HeW{%a0vnWYD!Y7d@ktGs);KQv8Ps{E}y^#7*!uz9S=d=C;
zt!0fa24=}Na}Id38+%IsTEhEt$xgopCBDVIs=XyX+m)S{?7Vg4v)QLg>5rAgdl^|}
z*<?;jzg(CXzpj1z#`CvloS*$#K;wnMgNS<#DJpuKK#I3L|Ge$^^Rr($c}y5A+RTrI
zRmK&ZexCd2v)RMX&wjCRS@Bp*Ghg^a%+|hemS%|Adx7wPowZ3V!byG_hf<BjXNkC+
z;fmSskl3zx+=$&oSo-mznX^|(-dr*#(=Yj1La^=SIg^ZiRsQ|GTJ@&Ng4?d_t*P-_
zpG6;Eay~Li_nWb3W&CFE%+y<%^81b+TAfyLd5&l7tYvSFFGS2*b@Hl7y4}=enZGrx
zrY*~gGd?@>REufp@v!wvGuvyY#4lbm%ipJXnZU_Yo32+$r-lmIpFMed^3-0vlYXVo
zY|eU4n>@95-6_A)T~=pL1}LU>F3ggOpE389OyR7_VrtsbbMMZ(?#=()Q2E(TIm7ce
zm6z>r5{az{^F5MudGS-r>MdW|ce3a%&ix(JU-<lu;ko$FUKfmRtvLVnN7*^K`+tsZ
zVbNW@_U1R~Qmfjh=69<Y5AC}nb1?a>?;>CMU9I0Z7Svwyzw_r-*U|#BUT((8;xQaE
zv>!PgI3gov<Zkb9m__fp_mpn8wka|R3*)@<H5b0P7vywt#*_dPwKux_69T$ioX#H$
zUSO7>b|-Cu63d*$XKuXm*k7cyjQ7EbWH;%vKX)7dxXLr}>=NZH)5(5$t0fupLKX--
za5Ggt(3|DfAbqum!92pICkM)01*$}MoXSsoxKCVh?Zlcg)fH18h}y8;y}RV5Uy=g9
zTdTsg0L2cq5RONzB8L_UX^Hf5c3Z3nFj0G2adF0m8;xA9$%h^>scZ@8Kkm4aRma3j
zP-=54)4Qungq7YmC;rM<z^naXsdkbn)6>IWm{r#@GHyD}xL-17mH15_lerx^Y*LX*
zvl}(~GT0(Rx^mqbq;s4kZ}u>l-}qy=Ns=+(hRo#qJuFI`7Df>Z9teD{Gd%HY@ht|a
z#?xCIwsI$l{n(||ck}-Cy6ttWr*qbBWeq;_dTV#(;cMBflU`Sz>rM0XJin7W^6KM@
zr($1mpO$c2)P2q)**Mh1J>c`|mw9uoYA%;tx#*g+WaCV&L&__DUaj2zPIh0W)vGm&
zDzXhv?wAzyhp|E2XkEyIbs=jGPCXDZJt<<M(B2CzCT*3Ina+JzE6?THmC07H(O^-7
zGT+jM&$3<OZkrmqU4>X<bf+jDxEy|A!R$1Kem=GL^3zV=o_+K1^P7LKK3ZI-vNJA*
zJEp5sj<HbIlxhCthlkdRyV_5X-jTU9Kqv9-^NAtSM+?G&pT*XM->{C&xU`4ui?Dx}
z+54`nSt{$c%{;)?b>Nq7M%BHu+FMn2WQP^+J<XMLXTnp{Kus>A8Kz;L0U9nzjgvF>
z=4(uK5#>@8;x_ASQ8X)UlMtJlrKIz%Ky2-IiKUxvI7htM7<t6ku-9ejOpealzY?k*
zi@sJZ2@(3u(fOB0=-@=tGs$-+EcbAAE)iogSyJrxKB_g$?xBy1^xUcz-)$?|9j+_C
zRlnhu>u|7JQ0z&ORK}!~%c2G<F3;ZucyIm6qVG1NfA%)^Sw1bZ9;qLk_C<zumxQ5n
zq3~CS$(;e4=PY?|;b|Jcx_-G;=iRpFD&JhUO%_nDyP7uR`~mUovndr<GACCZVA-X2
z;IURASDZ>^$#Xl!+fAo$o!b;1ArbY|r6rqVLBR>ps3Y5_^BC{Cz+IqQwUXiZVsVB}
z&dEGGU(b4I%`EGEN&0UN-<3(?A<<ug9IxK>7ti8|yW#jESE8wfeM3QUdO|pZXZ{yv
z*8uNbdst#sy1i|x7$->VExEs8jkti?%icOJt}IV`8P-^p`7<9~<krnq`eMf8c<7u}
z!jE$Y+$1L5d+~hRf@x{53iVzn{hT6xzD=Pm;vX;P<`+w^g*yk$y8puc?1E`ugflL<
zemZC}?<bQ&<uh*1%|BSLUG%J4EMBr;+85*E3$C96t>pGHc=W{W%8%${=9v5?&DS>i
z%c|vn7IZ&3TrX3VF1UNK!_O-s+S&JRJ@T|o;D}Y3Z~bJ+O9?+Se}?la{*jaKHO^e<
z8)dx3@zs``2Z6Q<KewuFirBflQD)gm`|ElNuPSxBb&IbYau4mfyfr+yW~*KA;?qKN
zX0BZQmD4ib_Q%sFXHVWXyK$jVAaU9p=JvI%`@H6Eh)8ZW6F>Ju_H?T0#w`bfH+wqO
zzSxkrB<#>~z6S~if9LR>d2{|*!>mt&G7jv0%6ZdHJ36gAxa`203prdXG9?`uOVW0^
zHb&>3U8=B9CLuT>Ezpeh%9YLq3|8OnE;_LG(6gWk2C3UM4UA=HGi6md2QbWyk(cB-
zz^H!4>Cl;5X$Q}^=ZLNF<T%Jw@~<w%q9a8yktt_$!ZKbn?kh2k35-?U4k<1m(OFrW
z6E;=PX4P7%9?@{GRX|ciDbizx?=77fEtk?7y)-z*)J(Yd>~J{uKSxYRt=5eDm&Fb<
z-Y1y_Ec~of-)wYt77^TOnXHg`=B$)trn0`3i{QkW7FtSS-BT1~H-4VzBx@p=G-J;6
zD+<i{fgCm|8?FBF&G^!`rEAIQSI@Gph5gB@6+1jJ*YMQ8HTzF$c1L$OonY|hIG5*r
zzF~2B<SpG5k{!Gqv+7+KnZz_W9L~w82~C*gf1_c=O&1Y`196-V=Xz9xCd`t((Xe8t
zBa6bC0@sA1WfBu-gzU;sUNq@%gimJM^D~l4hAW@kJ?66X-NqT0a?a0WbV&+Yxudt!
z>qxR8qqAD$$&=5O4Obqyb<AbyRl^w*+Cw;fKIVsRi4Mrz)Z6JbDcNx8&&iXs-IFeP
zuIKIYsZ0)BS~+F5<?%zGf;bM!I34yX)-vbsYgsz$#kpB)i_dK}YV5gfCY}}jeA&Xb
zlV7GB;5*y;=Y6KCQf8Lf|5wiXv)DQJavQQ#9-1ZlK6sK;%A;;csR!1j+4JN+wlb{e
zoLf^pf0Cw!(LzyH3ESh}XI(hC<Gbd}Ny@^Zfejan%hz+xodZ%}6|_)@Rl-*N|C&iN
zq;2=hO#7Gfuct6cd7<s~KVG}CGZ+h6S!}e9Mapeuc@(}uYwlFzFsop>jytj*(_Yzq
zny<vT@snwITv(>xPO(Ln6NF4>?LO${Q2f+x>a!{56=n(7J+$vpSg>=}k+508pTk{>
z6*gy@lpk(xc$T#)D%0<5kmA{?CWjLog0FvC`D=A%=2Cl8<)dHK&$5~P(D{2+WXq-b
zvuYpxntY6TR_(NZS-T=%`kyr^{M(r-e&omIFX6$bz3Q{VIA5(#SC#y>{z>rH>z7Y(
zF<3Sq5lpFY5M!!d;VYZX+r%S(!YP4wr|YX3*#apQj$%yNFLZ;B$IZ>S6vpzqagx7V
z0`EcBR}wh_6T(=2gQPX`=Kc`~Vsm$h5`4qn$>H_*vM_6?v|+vJui|H%UP)<AGk;B;
zqkbiT>2lTYi%*{2*=V3F(AyZiFVf^o+IIeDz6TE1i|h}pKW@0tb=AxEe{<*5N5t%y
zrg^xv+{bEuca~XFL%_m|Opl~i@Cdw*_{pK5<|L&M(z2yt$Hyo(kCL^E+!7ZpaddP&
znIT_%Q?+qL!gC!BmjuI)ml75}O5M`GB(ZZH)3t~*CdU#MJ~O<SH>pi^*Msn6!(gfA
z)>)Tbs=cJ9rPLc<mTqpHbzkKN_k^s5h(D}5ICRohC2t5Qp3742d2rGj{i|D!Hz+>0
z<&>M;q?TT>GR;wRL5Je}*Jhdxd&EB<Vc6teR}?nof%O3wW|k#Jw^Qz~RPzv>)$wuu
zYg^5XOM8#|F`u{@)bxGH0#CX8Rh_}DYD&TncKLD5`XRVH^3~7lZypu>EGUef-zvI8
z#B}+Gqh~`-|DQ9beCPR4wp$vvzkOK$WbV{g6D+nR#g@l8=+*tzyU;PS{OA11#Rh!(
zi)-Rmu*)$Oah|UGwrFwb=e;u{Z{N?Jz2xs)BloW8XY98welP01vO93g)?4R&CoNy{
zVcujvi}_0{oumcYdKVrIY?)kf=a~KF)~(NW_1+KO_)pl~Ovz``+dO+NZf94=c_r5*
zwccjMOlf_&lfR^m!C6jEHR*is<$0|f=G)oMsXw$Se7DSP<2+YeW5$!2=ez1c1hR9N
zZGQ1VyeB<yrs*@8Cy~}R+^oGV=}8l^H!e}sPULj1J1NxmXHw#w74b$-4*pBJW&Tyd
zRAu>F>tBiiYfOW~c<T?|FgLw^=*{fJMLNvqjf@to-cYyfuaIC`g_yTg5#Ka}Co(+`
zdM(*6?^~JvMA0(${>A?9No!{|RsY~Vwr}N4^R)Y4EW<kt4*Nx13J5p0;eMSpZ?5AG
z$uhQGrk78w2<A|*%uV^&s<Fg$vyjlgCPSlD8i!u$%*b(*;0by7_^EJ{;#<Xz4Nny<
z4^3#?oFnqZ_n2bljB3N)IacXRlP<f-#m{$%GWJe+s4t<s{My+K1#WURZJe8X=4kVO
z5kK#&nAo;*q1-V8GXcxXmz2Ds=j9%;pVP24P0ZkMyumcLwOK`0+)rm~^ZRbtDJaU-
z#Z$Rq|HcI#yCs#*6dAWIZO%KiCFIo}Q4hc9EWfF@vU8VBUk=jewKj6|VWE_jF_kBR
zcK+p!)LOjXusX5KvUCTJ&h#&LdhgDve5D>yZa#g|>9=k*&q8mWeV$Ty*Rq0T5yPsW
z-P42v65H0Q6h7hhx!1W-@n_t!KMKKd>m?lLJwNhdLXG@xd*`_O@*ix@6l;BS_`W=H
z|NeaeH#N4dIj|*g#l6!TH^xYx+<Nhjom=h{#r|6>gzq~3w2|(v-(r1bQh4V2oF1(W
z#<@JJb{6IEyiIQFO^_-6#j&-p%_b|Er}E6P8D>KDul!c1u8)en$q~9F?G{JK_9r(u
zP8mAOJ-%^vlhdaay+%(ArBlBZ{&93!IBic??$zTV3#Z*Y9&mHQU3UieoW6y2%i`tw
zZ%J(Kf5acgwe^Xp&1$<vujZVwYg!feCW5=*NN4F`pP#m>{AagG)UJ8TD4h&4D|q3w
zJyr2nkB7|czj-`hZ|B?g0C~ed0*`0t@_#V|8Fh|p>yyw^tLzqWrJS*A($bw{CzV`#
z<V3dV@qjf?ekoo!e#WlLo<rx#q;Ta`zdE#d4S#cJ^%nf%csfHco7JMveumD4ppC0^
z`erFFTJb*hT5eRXqwAb&(@%8qZQ``QP*y77JLB(x@3F0^nelrM&-yh_;q9}hJy)jR
z*z&vIjeXX+MB9g<*BiyYR<G;stlDQ<U^w}nT*#}J6SvB_9mqVv(Bu{(ec&6T`N=3-
zafWuQ2&Nk?!L!e39#2{2D&A;uy}3)pc9Yj5qwK^tjr{70P69s-WjS=1O`q)If6o#%
zSw(z>-j@@fnykY<ooH=UD0-=A*%b3Va*;#!q$f<5f5};{FAt2iVU&pNJdi6rufb&B
z!;`gMnipoN_#e2k%l+Ki*z3t3L>{kE@&Dst;a#!H@QQ?i^V^BRS2P|>_<d{(Bd^Vd
z%*iF6lNXEJJ&>~5{hV3sDxDekgxAR^Hb_rD+pw-={jn{KU$VS9N;5s)Dkp5ZshmDr
z@58f9uf5d=uJTXezOzS-=XU!9p}g3+L6(=#KV{dO!2hyY&8zSjzpTx<B&Yn_AA&87
z&;Ms%ccGnehuGz`wePbyPO-kbm(=^^+zbw_BT_ayyXMAjjO^ZGI%(^S8EQwQEM6-1
zn-=6QJ1b>x&VOg`Q7MDDzTH_)YZ^C8e)_?3J?+LC*IQC2qkLjc-)`EK#5-r%gySYV
z%r2+#dX^~V@+#QtuH)Ru<`;G1u|mx3#LZ%v*(%wFg}xo81^<F32uobJwyL;*VaK|d
z2k*46m3Ti)yYy(5K=uQZB_8wI1J=0Skm}w#W5=}b0>`^d4NmH=(AgelT`<FMh2v`;
z@u%ev9doZ*RJ3`Q{+W0r;>LB>&w&-Wi|#l0Yt8tc?x1!@{kp7E`dfA>F45@<{}KxI
zS=5R+*YbZk`IYf>-;Zze`>oy=UXn7M(Dd!(uIe@4IToI)-nY?>cgcj>O$vDnpY^Z)
zxk5E5Ren{-_mf^*TW8tpJzG3YBK&v2-JSl|=1%@2b>{Vt1I$Y&hP-i5x~9&3((|5f
zYwWRGjU}u6!%8RD#BlBIIm>+Yl3k>(@23*YH%5;wCJ1~xxhj3p{?9@VTUZV2+gDAm
z-BmU7#YdwTsWx(}oW8x(N?CON^n&RhD_6|Av3zxBXPka>+_BdSS0&6}$EEhm)<Ck)
zVSZV`n)?azYu<f*`6_PF{nHD?KUEtXRbE}vS*PC|cjEm)mHsu`RDbQ|sp8x(pCmdV
zk=bq~lTnM7g7YS(NAH-oU;EKms^P+PW7Y8++V|GHaF$;G!t9k@vGo~arTxFe?Y>1U
zy1g*z>;#=_243@clU}fB{mPuaj5nb>=<qD*((5OrCMfcpO!61WzEHWsaLq((<~tdC
z-L5s9N%9cuUKG*1$EM9xVVlXCoq=_|YZxU@PS7!P-N<%ytHia2hdO5Kc5Z$U@~9yt
z&Ewi?rH;#&-`3dkhOA=x@{wtdNUejJiHdjJ#xGB;a~9kXUC=N7a>)zkRcX$fvc$aO
z_dREOmCE?5Leam=ZovmL74H0vUl=o8b!wd~=SFjTEahKum-pnYSf1KdFBAlR|5+8=
zV3+r1@<M*C|GY0h#p^UOT|BS<hn3@ro4q2_!^+kNN1T+!JL>D+8aODJ|Kk+o@H0H2
zl%mtfBzQsJo{i&)n?q;TIe|6Fd@rricp6tRC<`$E<w|RC$m0=F5|~plVd;ekCf1|{
z@hrRoCwd#5Sek?dHqDaqUf{s<<@E|irk#cX9S#LtPGTv^oGeY^k5}}E89Qk7_BRTB
z{UaE5S=RKA>eZ5~oQwz0NnSLKU7jDdLi~fbLV}>ik4tP9L`oO_V1B!h)tOhR(dG>^
zw`14E<%=(fX79^9{O(}Ce!=}i=L9qKN_IFcDtB1=KCtBFZL@>~0ry`ws>{vYGtP){
zDQx-05;Wax!!p4YJLEU3II;Pef9KqymMQJ<&9+PL!|iQ?@+Nz}UoC2J%UQ_a^!n%Z
zFK#-_%}VY)jgE?T#||(!w-+CF$ezT;cE-=`Vz%P8M#i7BwS{)*E2|t}WB+QXW7y(r
za?D`CL&IYR49i=J?>S^oYGXXJ%kAQ6+cI`XTNi0JVSWYumMY2U%LiI#lyhZxr%MNZ
zV_oWJ_>J{Pir2NrR=%q`89!eN{BkH__w7Z;&2w(Di8sm2zrS<E!jHejjXzC!;<~E4
zapq@@s*mShCLNgd@t&7ZQ;q(nZbk2fyJz&(lt}A_DtPzL>R?RNRqda+$uwbUvB=&_
zFK<YhWGqaZtip1}E-9DS=%$A?;~iC1<%zG75=_fP_Da1j5lc8*kgu5Cx<lZ};fb+4
zkzOg{%we;piFoK(^ezy69QdWHaQ62fOBf>09%)?=Ab;`bjHNCmhDl2^JsW+*ombyl
z{3A4X`Q*k4t>43)3YlX+^u9?y5u@~5(%|YA#>f@(AD&7p%Dnu=SYZ2ye@=Zb9G)(S
zkiL0-!cLcO#tDxy7jFu%Zw@{6!j{Re-}Srrrn+8k$BWb6rC8Z7zn$^bASHid8`DpY
z{gOMFFA1@9UcDi>V_}lW{)LNjnLaLN-*ls&+tJYeP>{jmr{#vv3^FyF0@RzI9)IC0
z@2BpZCm7t+a;NEH$J6KuYAc?q+|)4n;xbD*W$VOeY!yCH%al^`5;Tpfx_grICM;d>
zcWR2{g$;r?Pfl3tQf8E}JTtQ^K)v~>N0GGu+Oh|oN%zjp4D~)|JoVc5y><RaGo`NN
zi@#)^o%ia!$qHff`yp@7ERc--%UWdYcXq@7tnSCnx7%kw{gW5gb6<bjvV#WOOQmNh
z$xf8@)xL9qC%tv{tQRIb?mC7^Z13T}x?^Eaed}zmKhk&dwum3oeHHwm=qH!?)F!hX
zcNsK#qL)3$iVEgC$D#fz+ey&1T|{ZcihPdaq8pqJEQpz`A{lGK?9y;i=ESiXIeaS0
zz0Vpr%w{p)teAYZG3Cld8G%OkVg>2yO_l<^4y~OIwn|K*MS>oCnY+6jj!G#BO!!kA
z5w6l%HUCjVmqQoxOO7cg8&`bS<Xge9#9~69!%P{Eiu*3_@5r~sKQKF4vV}7xQuxxG
z1D58y6ldBu$2RQd&;IuJ(DJ3u)yhRmUniXP^>B8+A$V&N_uDOU6LK#+UEh{y9@1gV
z)3Z{zNWoRYt!#?hM(11erCpBPWYpR)`3vs?<DB);AumNV)|tH7!P#eF?QpPQ(#~>0
zt&NxNWo~2+VUpbF{aV3UARuRU%DX?O_5F*^u=Ch9u`u4gsy6fX)rNEBtxV;88x;(G
zaR{;Ge4CY$^KH`2@WYB6JPu7PjL}QgW=1bvAaNw#<ADInafPMLJdPLK7JI&PQ`X>e
zwUpfH!sM>#*!4ig#*+K`D@HZ}^GOp$4i<V$P%;ocf8$=0?tOPlDa&aGCxS$#p1U(y
zQK;KPyD53%CC3gWgZby~T$~`+{em<0%8VIy3nwp;^f_Z3{%K)_n5$rBXMv6Pq8F#Z
zvpPS|zWMTdeqX8KbNRdR(elSc3Zt5Knce!;vZlo<`+i=racSVjmdRI+iNwCjz8~6K
zmn(2(MSIhW<&7Qdu1<ZF{+WMuTxe+F>%}MR)*gK&xAwo^3;)%cc7oa}7nf%L?hEx_
zH>Ll*`|6b}PlI_1OWmg?-Z&Lp$-1bfhjZPO<-8wu?~jPrklwxfug(7Gy0?Emf1O=_
zE^huF%UQDX_t*tx={}!->RR`~3WJ!V+JEi;&KIBl`St5_Z+{2<JpKCTn>#fdkax8T
zRenr0{J;3C>(|DAk7h64zTW@b{dFam&G-JUD71XPX3CX<!_G&KKK=CYQB|4w{}4aJ
z^w{(r8SAEf_Srn^OJZSoT=boLC4Ti)zaRUb?LFIT5z>=$JFo6>=1teFZ}PlO7s>4U
zAr<s3e%mJD@W|g;md0~S@B98+sO-JaD0tbm{Zo@a|NWF#_<7~$H~p(`zyA5V{rCUa
znjhuTXX{1yx7Xj9QZR3&_W7u^T+{Ea{9kn+ELd>d+4Vr`){NrNgL;oYtY3S6Ri=gT
z!jSzk^GfE)&U>*|>gio}T~@z)2fo*P@oX2ITRv~@YsGbYwx7DPo!?*Kk$Ga3f${4z
zWq;oP&x&1p?BU$zwPIn3H;!IAlo$Q}>D#Yw@2-(M{;Sk#y_tti^ZJ)Ad#{AuEl-!!
z5Mb+?`|XEZ)~~&5O>3F9RkG@`?5^W~`|aSdH?>=}?H+vmw)O3{ay908$8TTXW}U9+
z6W{x3!%Kef(RR<KdJDYywb%PL`|jNLm(Ok1G+2F8%t3V0{wP7u^0~4Lw{6*MH?8W<
zzWL|hiO=70Yx~wOdA#?zd0R@W9``b@=8l$S(qCUc`>vf1_qtavRl?tF>*85<jc=;L
ztkt_%4sL&3p&Gt_&yGF1`)fAu$&acF41a5-e_v)+*EFq@ch5|}-u-*&%GQ<qU#`AB
z`g?cx?)9(UujcQ*`F!`$_uWq)o&NK0_xm^RvTyzQ`G6raIKDUUGAB=|LjX%txfOVe
zXv2M-GvD~#^W*2r&D&>J`}6dh4{s8_eLnfJGWq_0yZ=wm-VXo2_4?24dP@J^zFj@}
z^Zvij?H3q2*Iw5?cGole?iA)&>8r1<=TBw`4c&IU<&*!Ej_dY&eHT3hjk&Uw8CKPq
z-jwHET=?zx<dZwLPM*}i`TDV&iwnMeE_^rDz+Ia4W`6UV_bMkGrJpn~S)O9Il(N|`
zHEaIGeLrXBh<x7A8@b=Iw0Oqx<5&Gnp3OO7l9hZdU+jPZ|7XMRpkrw7=4}jI6UK6E
z@%wdr&c8~eyIIZ|s9d>ud}?OC$ZAuOm-d=#oX+iuZ(aBBNJXj1vnveC9HNvb|C@YB
z=gNMr&4+etuGyxTf3E9l!IHLRXBX!9%t+ktsd(C7(z{36<%WdL;i&mla-uqylqOtq
zpVfR>zV43w?fU8}v+Cck-n{s8_2uisZn5e94})bFHkGXW`DlKRtKqVu(iuiOPh7Bm
z_sy(%&8jzwEB=|V%wK08d;E`!;j&`R8Cx$^cZr<4buU<r|7cp{%88<`Q&TwWd@SC{
zm6`YE7+-w1VfX&+nJJHZ6<*ib-w2q``>*G*wxnHb{jtK&!Uu08ZEFuudu}y3&wQWK
zNf)u0`K!$s{nOIUN$*$|_DrHUVc{#ci*LV&sxFWIYa=CT+rm?{O2@^`*Xir#ZHjWr
zhu@U&PYQfn?0(Y1&c^zb`r?Xzc8q_P?7p6DyJr&1y-K0&toLlrZmRphnWw;fukzS7
z)_XR*n-4#jyF5Mm!P(E7t$FTM>VX6$cY_2&L4vESdG1*%En~emM+l_yaeUN+vz!JX
z!COmN@6CC)>F|TO$<a{{&MGJGX4`kGcDWqax1#!DU)!T6PW-L@`QUU;dG6MDo;_77
zB$(oJ1Z?Kqn>Sx>|J=P=`Cp#3yFL2%?Qv1r-wl6iHtu-+)$yjO&~@jI*Bd(~N9IcB
z$QEZQ+Z~y6tIglo{6xB+s5D!%&ZmT%rjyJaPDpP!Asn?veun&v7Im)&0*VDElmb@l
z2o#L?ZzCs}xAeGL^O`RlJCn9Mc*Sl}wLNvg(n%-t$R-uVaFeTt9xpzwkhsP(K=i8u
zfAZPGJvV0PO>;f+-bQdi0{_Nl+i9{KmKPo0KVVRK&}kL8;X~ZS<Jx<=EiZn&cr5#j
z8K;B9GtoOwJp%0eg*>mwT+!ZQe*J~h?}LGMoSCiP_Fmj^-Riv_=brG4?FS~emMMPt
zps*+HL)^l<O#WiGK!@Cc#lPjUzZbow`ypjv?d^lrt!3Ocks0^PFqMJN!h`B~U%CE5
zUZXnq+vppgS0^(vw|@I|OIUNu<;hDJHMoV$C4IWC&AfH-2y5C5?MD^HK9Y|fR2~#o
z_1ihS{Kmem>s-%iwp`mP^i0I)!QSbiIVZc)L@FLkk^j&jFS0Gha@p?oD<+OFUby@^
zX0_t``pu_r3r#S3Uk*Ln&g4hUz2?bnwqMJ?&WHe?ZI_-L<g)th4b4SY%R)eB+p#mY
z7{7QMzJjM92=!>YoT=cW?biMLaKzf)zK^@iSx{={@vFhMT^(x82c;KTb=(bL(S9dw
zt`t$|Iyv&7bXNjven-;N)aV~iXCMCX{oJkl*{N^WiYR;UIU)T1Y3KLPN7ySL9k%%x
zIPZsre~rF>jgam;m2HuaCVbjD`KSI--_KR6WKV_9F8knd;yQbNyL8KnvL8F{L>%Ki
zvoA$Uxx%`}?L!%Z+ZxbLlAY_K6jv7CZ8}w28gzFB(@w@Gt}&G-dYv@I=H;`qH2s~a
z)u(peew%8&orTAX#jDD{PWtcrI%d}|<vr);d~e?p&GVY~w%mkY$CY0G^!Vcb(j#hj
zsI$kN9ZD@r-YZ{}U#gsWHrUzY&I$dNlm}qG;=SyecYC{iIZj@1Uu1u1;*NanCl*{<
z%rln!w%a|m$L_Y4quNuuhKY%LZ`Nr><;?H-V|P(L^@}G{+qd7J9~oHun!Ibb>-4t&
z8}4n5mie*1P~>B`^lur{zv}DuFFhZX^xXINjkD65<=dB@k5YQz`@7|gbnzx(@A;-j
z3}tz4nQi~Yah-cpy~-W~x0BmabcE9P#Me&gW8tXk2{hvGG`_dq&dZ&t#qgNM%;qJc
zykF*9F!`A<tT`>)(J-}D$6#%;u&a}&+|ISiysl23o9yyrPI4;sa4_}6zPz<P+1>9!
zf(!3~ZI`#^AMc)%aIiz9!Ekw2ezsp0?=owbT4ulUOIP!pW;?`qhcD*zViMGh?Nt-w
zWKmitb(UM{!EV_t?-@c%4kiit%S3S`bUAY~`V?j;HfZwcvKSm)*vhcXVvE25k#;er
z8IKYKC#du3vLrmZ*0xDx_2whNh%@Y>cDIB0>$WzVf{wI{+O4tq$m6wr;TF$3oljlA
zcmsSkcUDvRZl}JZ1t~{3uH8IX^T1_)?}~}%=BT#h$Aw!;Ht_jZG#tv9BcrD=lfS*;
z(Xy0>ZVUJvg|;L(?+myQ!svOq>Frak!&@uOBe}OtU+pu0rO%$do2EWo)$rMIZRNZ#
z*Cm+OTrOU!!TP~vb%?*Pq}4?0T^D@wG9or@IoYZ#o~rsFMe58VA59JmAJ=1v5+7LX
zZUo3lF>%_ZF|fT?R6Ne3s9}`cHo*u?EMnC2oynM&nWNeut9N$ALmi>6KB;Swd#Ai!
z;CLWQy=M_8laAS^3j!V=6db;?TXTR;r(*)0PRFR2n$qO3#Hf_<)2D9_(=7MTjt%{s
zwDt6wuaRG4<kl>F93Znl?&PlWbglbU^#*GsH}&_1yCj`z4pmuZ6XBM0s<{-z@JKq<
zJQc+7N;=iNRb`n?NdLoA&R3UAR#b|=+N}2L=dlfYQlI%8=eWZt?_|(2r%626?xeHD
z)7oV<liCZo{`mY<;eR;g$IMTja)(w#6g_q1FP!A$eXp{_D)yd@qs+AOW9ugTVq|xf
z*&r8oaN4TUPY1rf+M!%3D_?L|DrfatEuFRJ)VLp)nOC!1;bhEzGFK?TgSGfT+^#(?
z`?u!H-_F-nXsk27zWOp#>aVjhYo*xEPBdrlS$2B1vDxLPX9XuW*t}Vz{nI5q{^V@q
z+QXk_Ts&YlJHuqb=5V*eWo2wHV*E{)TedxwTynB<mZTQLsVkwb(vCL{X8%y!aIN>i
zoVMExGp-a!Gi;X1V@`-VoXc<~dk1erC+~JfgUt`k7?!HpuTqw=;C0w3xSLU9XXE~O
zzSAeqJ$m9A*HYLwb)j-koqxE1YW7)aK7k0f=^Zzpuo$EjEA>dEo!BNZgZZYnQkt_}
zLj?O#JxP(f3wOs_HHGb(`RGDd$QFyw?-Zq&)UKVdcGf7VPPm)ybzk-~|Bv5Ffd^)2
zrpZ>%ymoPonxe?&C<e{4qs{?Le4=*`PpGxB3|dpZ;L(yw<G0*ht`kpBdN4&`U;dW4
za`Q^8&foj`|Ki`TAC_#~^IoFJxOB<+hn}StqB$Giw7v`owYlSEa%^Um%I+&y=lGw#
zB>G-)-MWh_%T}(oO5S$ms*F15+&WpcJD_vxJZ=Pq7yr`szW#%C4fb>E_RM_PvG<VW
zTc1TgFX$Pb{m3CR)7WRi`IrZfomfQQ>Tg&PAZLEIaEFUlLsxY3Qd_po8#MaoJhMnq
z5=+>Tzrn>`)8=Zrp8x90QzIi7o-?xtarB*=-ypNVQRMHRZz1waY}WSqq$x7Z(c=|-
zP{phCZCW4OL@U|KsJYE&oLGMNNhw`wUNpINrQN5BDA~L-PAnAxQc9Ql7X^2{^pRa}
zxt8xziXzirjthJ)M=E5>rRG=oe0|ogx$|!0V|UFCkJa6N{^vrgE@@w${CUQgCo#*_
zpTGIiGOK*UCjF}(I#zRY=4_tK`+wy{72CycU&W*|J%8hKpx(^f^L$43Mkcp#Nx$tT
zGOrg)2ydEw=~~KP*~D;%102fb?<6_xY#y&)8DPg!uiPfNL-ee0%}n2D1s&FC-pvu)
zg+vT%T&%V3O#7Db!|3)_W=@9<S5wYh^yA;YbTMPiOj$K1O((DjBTLTe6{{UTn7h=h
zI8eJH;r9gjs-|AGpy(sd`wOC>r`COpIZ+h2_<-$-gld7Q0#BT#aZ9z%YdAdprg5>>
z_7kmhB#mDQZIvte+puxh968-EUlymMucRc^KWqv*%VBZz_|cPJn+ohr?xea+Z&R}0
zVj>b)c=T?9n75$TJm0`HlPrx-&VkN?qU|57a-S^<Rp!&#87PwKw!TftzQj}{u&_Iu
zO~BRXqf1xIqPbjdS`S1M4g}vn(O+rx;$WhGtctR{_2YF>zKUU=6;tY@3}ud+q-jmr
zX#6hbOwqR`#(DMEvg)0W%yW|5UOO|_Kjhe4_uR5yH=BB91^XP^>&Jh}&pvv>!$d#l
zWo}k^zh-h<UT$Pdvn+p9(OZ`uG;1|aiSNyyI?E67H1Y?x*}cj#e6A;8Fyr@{BYCgB
z=6??7@pp4-=l`rNsr5j&dQI%!57YKetw`XPoXsgKs-+UblAzSMP26|_OWDEC6JJ~x
zlvbINY&_B7_Z&$Nrt{7pCcY@=mR1Q_cG86@cSo86r_IcR?u+6@awY_5U-wbDa4w&1
z(g9<o8kJvb_p@<sO*-qsl<SzTz-hDgko%(ehuISXw6FT8T!^b+n{+@~rbgvg?H)GH
ztxjiMnBJ~TSKzeYd5nE={O^nd0o|8<3@)7WW}9(fS*V@R-%T;iEnbo{JQk>nN_ePc
zdc2vo#&>CIcGbDnliNR(&vCDn&~)7)Tf2VdXSI;`ZYjSWioJdH)ve&+JzcwkZHx<z
z-p+NjnfdLXMv&UZNltl7inBw{{=Fr(RjA>+%l2JjUf*8vNKLx@%zUCr+T{PO`xAJ2
zPI28mtGH2w`CI2KMuo#>%$wvB8Bb(0csuT8o6NYUEi)m2@0`(w7ru)lvek6?>kmpu
zOkJ~^ZCbiwKs$3SWBCsSorW?CwaM2XUI=Nu`tYK4_Qq>x-l+azz5MXX9JW_BQ=)v>
z7KptnzOtb~kA=7UX8i@0-mCQ&cXw{Qc4m(5AJ)tDSA6(NBUb1$#9iE1(6{p84bIKh
z3nJB4TQAz~l)QFkXZjEBW!5WRYM4yRoV9>ac(K?EC$+5?woG@;{W33Sjj6FPU;V)V
z@3Z{}S2XY8<(%5Mi}|(F3%eOSCMz5T1#iAM{ibY%+ksc#UaVz|$X=Es@UQ7**{T^0
zWr_~M9=g|BC-9`j&EQFhJEPaqrMyP3C+_5-DC47(K8X8>2dRn_^c-5zdQ4-@ZNr&+
z-Zh-EUh__1ZO56Cqw0THFUy9=axCS1#h20Jw5!=uHzYqPP&%GrOLX?CxPw-~#g;pd
zq+Gqa=T%T~uZ~XQQtNJ^6;~cwC3w_5y8f=>lW5O@LlUQjqIfvJE{o6DxMNwCj?cP<
zk@oGY4_t~?e&18R@b~L|OndmIu74*VVUYb~Zit$Se8ip1Cz9!&tkZTbdJ_1@uY~&~
z`?Qjz+^aAD@kD>kGMlzG=&97QwN9+uTh?1{Ydgt(Qz}cFr!u6zZSB)ryBgM7-kqM9
z9k=tuCeu|xN4A)**m0=SJ0srs$;EwXH@L2aXT%rRv<gl)h)%xM<y_ykwqmK~>97^6
zL@tLdIK^+(R<tAS2G=pu<?Ao}PENNn&gs2*ov&81_U+ZZYxXVkiaWEf%_}e?zIaF4
z7t>>=x32R<Cx4sDY`=K@9;aDX!$Wv`Z-xi_?YJAR8591g(O1i6|D@O2>~(JOl~?sw
zuU~OUeDnGRPJ+kv58cj~rMAOa&B5GV?rmOMO~PlNDK=T_?kLJnNS`(Pa<k;KXQ8ij
ziWhg4_!KvDC9c?MxWnnG<+fuJ+;e*s`)^65Y4gC{pXzctYz3DR$o+EZ5{|!TDfWLe
zb(VXZog?x1hLxIrx;Ecmo@mCn)5+T&uH0<5Mt{m@*Vo*JtU(|2cDZofYtoq%)$j6v
zpX=)HB?}7qg9ADO|9%iXmT_fs_=DI0x2N;=c&UcGRCmefSK6ZPn8B~Q<+$1ulfYLi
zWkKiV{T5kV%jx!8V{xt7;whcWgjRhKRtmAVY7><DDj-=QKIH=A?0`!yvz=uhNrZB7
z8Coroko7XKa*>qXB)QbbWNN@tdDj=^^&9sEm{peluB_Ys=hn~Xug(9@*=tu>Y+`L!
zxi&s^!yMT8cT?+L{(t#@&yG3q@!Gy;@=vdy|9tLr_x!$detfIm@B6W7#{a~93+pc5
zuYg{LHP!5wS-HHv-t)OrqomTFyPiC7^m^#@E9?LLULhp)b;6Rb&v!f7^27(luV7cO
z*Lin}C8MzVvgO~GZ~N^{zZ>OVuc+4!-v9gQ-ABuE-lB~C&2Im{f^YBN$IDb6&D`~A
z%~i#);`kD^$iQp!zqmO^Z1{QBp?3cs%O8IV%=U+?od}VCqgR~&Bdzh~f$Lh~GFQ{m
z+04I4PhD%gwbm)cx~y~^-|gV`<5RxNy<u9g(r)UePwZbAzJ628+Pi-8%DP?lTV84(
zzWV(-f3a+I>5BNjTZ6-bn83$nm{`oV|9Vkrso!l$pSz5Yy-k_*makhK=v=?8DygT_
z@a`d|$ky5JZ#+!CdgwDP5s#LeCv#?P;-*mf(zXlFZtdP1|Fu$k={7CFJBeIVt}}*z
zot;+J)wb<xKa*nmmh1_Q`$BEw7ud$Xxl+Kz5Nh%zK&t9?F0cLl`n@|%ELp;TZ<}^+
z?ZfJY=gjylZdbgX`}XSNi`+NXY<gjrb@A`pWp@@n{BUGXOh$WB=v$TWUG~3qk6)a>
za?!PE)_hz$ZN&{HoHhQw+vI&@;#&W^#SgA!+wE=&c{+=6iPgzFQA-kyueqL&T)6G^
zkEs`8zs54(I-_xGMydGwq!zOY?2IRx@3yV+xxGrpWZB-go7)tkzGhtydty{^HM?rN
zc@gX868@E*SGG%M-k1=6S!g|zSy<YhyMnXkDbLuN{(xI6>H6vO)}8m(2s6hAym-Cz
z(Oeh%MHl+F$<^LIz4yvxi(h5S=LuZ;R+7|OQoc-Bdr6w;9p_y~z3*>%-=}$B_Px<B
zTdu$NzAfTku9^1k&boQCIybMImsh^6*D-JXIf)ZhrD^4g+b3TP)qn3{bnDowvnD)u
z-p<QpnONogY1O-}*3O?+O}i;KDSYc0UAakz`F78;{dR{XWzB_mAq#IMe6_lM;O^0L
zvAhm(CUUv;t=!FbwmrYfu;pp?gTys=3nJdVi;MV{=CztJqubWJV@prqGeyyShE?<Z
zZq_YXopHx)SJ$!K%_X5V`M+PT`mt|sT~+1Z+i$)lM&8&pe@|m*{^}QZ#rFmJzDx?U
z6<;9dv{ESlxpBXb&qDPhs(W;o)g8EAS#hgI!tdfX)?(Ie_x`Rs*HyKA-kPBL(B;k_
z9a5vi7B|gWXRfuwdi(W7E+2LtJic>v)BbnT*XPL3$zr#&xGOl{wB?@dohgUTPA=7Y
z)NWV5J>8~!lktha>6^3P{1Z*c{x|Ia|L${aHtp`#Zh!KE_Wu)k;%94Gx%t~|mSgqb
zXL{tnTu@s1I_c%B>EhG3FMV_N)4t3<u76(?J^!)5Yj*d?-$yrXeAfD^>g$#DRWU!)
z_U(zgqkp#f(5vR__Iq{p<Mr>|kG)eHDj!oDamMbxZe6^Nc>J^P_Z=Pl?#<bgJL9G8
zel3SH0sh~+3d=89G2Zz#wHbb}UGt7FAKzGaWsCpy-d~#Vz2NN<!FNko->vwWTb@(@
z^O?ZK`1qq&__y7(F$|l}<-Fqs$9_+VirI@VKKOa{<Hw8t3V&|iU%zGjzgY2fJG(>C
zzkmMt=2ej_aN+06kGF5G{^XZmm*Mg*-(D~MI{)eO;@!LJ_@{`fH-Gr#XeW8}#m}p8
z+i$ZPPOSN&wqT8gyi0??qs2>@`Gi#DYj12j@>Akb&6iI<B@!4XKU>6qDy`__=Yxz*
zvGGS2wBP>n<8H_XTf3lxVgHx^iIh&4vs1cp>c)A&j`nTy1vRwK9ZgGmEAcvhRzIW0
z?33=X0;}WyFHL&8`B{1BtV1^@Zk)39YZ=2_z1iFU-4X0TT>x)saAVJ*)t_|=e06*E
z&n(;`@x%S}-tTUY<6fWL>iFS8Wp@eBbWaU#i$<o2A9sm5FAEne7m+bEIjQhkRU*Z1
z6L0D5We0OlT-}vDyS{OQ*_FiE?%M>_t63suzuK^MX|jNklIPS$(|ZMb;%B^du<q=c
zvGDYPSL@cYFdw=V(4H%IGs8XcZBBcyIb(MI<DFCL+KSaDzJ0iX?dOJ&g{hbBPSG@r
zwtCs)wXAK!cZmZY)pz1@q))D5>u>6Lv-K^@_Jup2U2Oa0$}M&?G5Td%N`lC%^=A(&
z{q|<N`CWRJi}{Hc7Yt9hFLQhKI4=Kj-m=sGH7sW4z0O_XbV1?viI(EvrLNr9+N^Ru
zGfNsiymP5w|HhxsZe6>qkTQGbz7qAtysWOTXNnrF7Gk<?eJ{j!2XEh&lW&i?FNtt^
zU}nBCulaV!^IW<6?{?kw`+aAb%)7t|Z;Q4IKAo5Aw(ay?vm3D~yYJ*4X$j%DncQ>h
z%bb$#gMybYf#uxRwB;FZy8F`Xdyh~Rn?kTo<af7o40=kg(++NZSCk@s{T)xH-n!V%
zpL*+JIlI`VZ|&MB81p)QzTu9jr*cYir$UZCRG)l}wVR{eXoHy$@6DsyGX?7RJZm)(
z7r7W{wBXv_6|b9=xa5r%nB5I_5xC<Q;L@hZoMn7iwAxFPIcvki7w%8Qz>-I#o(Ho(
zmFJDIXW03x<>!sX7liNq{{8#agx0I)mo?tjpR;Dd<o?}!pBAouBUt;O(zf8Y+$}rS
zuw#q(&F^kx=GXkW<l8hQ*(#5A>#mX~in4DtE^TZt*(15+?%|9jM;F|eFAbjfuF~VX
z#*)J|Oa9KYi(c|aGT(~D-{ycY*N3n^b7t0U>CLhl8*I7O^vLF4N;bW8?Zm2y?;f03
zHF29;SZ@itdsy!l(@j}Ro}ADMUKVwGUWojQdC!+sTfbT+m3i9N^pb2zaPO9<%cNfN
z?ON$}t0=g4O3CH7&ROTQHcbdq7g^}h&(GiYX`<Nq`|1p`?|G{yr!4Rb$m&Zz#C-YS
zzneGpn?+9iZf|!_-@JYOxxRDpbNAWhG>EXOFgcxn`S{TLCrR$rJ2h%n9PM-ZI`N&_
zg?a2-w$FCBI(yMAhqJdAmGQkez-)CRbiw8_#XU@`?OYl2|1U89@~dLU>{^>o4Si+8
zFK#ef-Sb^gEq7sWEUOfkf7PzduODVU`uZ{D`mZ0SqTBc0dSmtG<HX4NwS2ekMJ)W`
zWy}7YK|kU^>a!25dum(limQ@Oe+hrJ(YSr7T=!Cy<K=%6LN1xe9N6a|boF+G<7Y41
z7f%JQrVFZWS#{-YU;pmAdvz60pRHVP@pE0wg+NC4wO%X#FJ6C3fZgs(1ApX!);lY!
zJ8W{@qEFnqxA>v3>8B2<jU7V8%invyKG9_>t&;s_qG{Sh)fawQuD5%hc<@B=7pzW*
zW<1`#wdh^Tx}3>koAzD5^uuLm<R4M>X7Q~Pk|O@S3AdlkZ1=Ij-;8rldBXqVk`0Lu
zbgy4H;CWqud%=+lUb~L}x|j5H^3t^GZ%iHkTH>Dm`lvB~P0_aL8|<s@y#0Q>)8VZv
zBl8zceXbOSt>Uaa7nbkQn80`?PQ<`r{-;F_Yys7Q2N-Hkbu&piygjvp@z)gX#{^lQ
zHZcC0a{92R!~Tk=5B1M{+Hj`r$ot2Q%a%68?<x8)eR&X{nER_~CHr$iJ<D^KcmHzP
zsd`s`w(d(Y=FiMbbwMdm?yFBuKaCAsHEtX_(zsjouKt-x>jXYH=BiEE+QD4zy(NBz
zYScoDOS0t;MY(U8wk=p=5tvu`jeE0=wun2+v^F!3Y0)eHZ2IW+bg>R^?MJPt@iV4+
zDQe#|wx7+G6RM$oAf+`(tFDCK_}Zas-{o=|G+uK~>eBecDWrLNL0iZyorp5qlt})C
zAt@927jhi!uWEJvTeAE22M$%f7+=q~QrrGL@w~o)y}3>+V0T+?gWXf9GB)b6%(!19
zU9;L+WTx!rJY{9b@%*radGVLpocFUXI?=v3tN&ubjLK|jzQ1KHYnzO3OxSqzyzTG1
zh3lo6mtQ!_A#(a!N$(-2IdZ#9BYv?=`?5T5Nywd;GqL-nAH>)zP59yWGJi$zH8X*0
zoM)cj{(bZ9@Bg#o_0r?h#iyTc?|vP6(V`&t^V7$_mbYI$qhK^c)_c-L=MxIrYZj$k
z(Jt!uaFbVTUbE=b4%R)_e{+WTGe23w_n9*$S?={@6E4foETuR8el)DnvhlrlOWcK-
zW$ih3u9)O`A}TKPKG{uRn6+o?+TU9{BIEAV&eC@;?YeyX*Okdm?kb|uee3pLe!;f8
zE3bWSpUvL*w4Q$d$I8L?_2=vD+g~^1yx;!+FC*Tc(b=CM6#u*H-onV(g>8}xRUdua
zVee*aQ?;V;`Xj|pGs+w%TxUM1d^tt&lh?g2pHIIQys0|*y7|e(%&iY(oaagh`Wjvn
zi{(&aQxHs<rL(zF!qIQG|DQRrZCe#jNX?W#?UGZGw2|*YR(GXE((1L(X7}F63Y#if
zlF%K^UYT{hYKG0$>baix6z1EQuD*5ue)MnUGrAwL)T<=++?sffML)%o{g-+=|Nl1K
z<%;KJUrT>Fu`#Y-hY|Bs%a1Q6b`-R)ZsRE0A*8g(?tSL}3}baB2A3Vm0nRLzOq_y=
z`4S;1ISn1t%Rm40t?<awJKE#vl(@C}N~>&BpH}w$?3>HZ$xhIDWoECmaOL~U3*P1Y
zQ}l_cRcza)9@ourFt4d^rs>)QbHnuD$!fw?`|q!B{_yxhLQ;N1n{VsAs|hi@C;Ocb
zb1Z7CIXOjxH*4#qKQ?^4$sKH;ctaMMyzn}sd}#ywMTdR!vfh@qY<)D(VaLhsAtyta
z5^j8Xp}E&9Y*Ea{uh(xr&Gl@l+VJ@9`v19g|K(jz{=ci2_e_4x?R`ILs<(%T$jmWW
zvwHvQzjL1jM1St<o_47BiGJJkQqS4xEx}9As`2mJvN=;r=Lh5<JUL67mtXcDe%JT%
zTpmkvgNac0l6I}euZp_`)nqG_eXQ=dCB4)LP@Pfopx5B#0qMj`56y(U-Ni$jJlXGY
zOy=7os3x1ItYa0oEj4bRB~ShOedjCZ3C??7daF{z19VsCg%ykDEn4k9wMi=U%ZI1m
zr&@9^x8_zpu(V5MW!$6?d(W&1DyBY@q*Oh7ColOnX^C3HmHym?^ZEG>-OqkwnbzX`
z=I7PF*JpdrHB4GoeQRI1@)j-gmaDn@B-Z-fEy^!jJg=ak)cU2GR(a4C*29^l+Q)km
zR2H&_ZC6qJzG64?1kbg%GZt@=n-KMV<?iKKwjS53JhE(;yqmY`>x9)`&uiM<;t;Gd
zi|+|jzv=#0jp3<BK#uum*G%(brk49G3$|)U^fP|#lx>)joEd+}`=5gYmk0Zz$lK3;
zm~4K0==amJ2cNfZ{<-(m)PyYlxcRM`tAbx_nAZ|9QHy<9U`xy_i5YbdlM?>5b~kcL
z=&_|G?2wqz^e`!budTb$Q$mj|HF1H&#GJ=T7w`HmxxFKzq+FQOTu<!oU6)h6-LB>4
z^GceNo}GO6;+<L2#k;Zd?@d$@yL<QRNg+=#w`u~IEBrIjFnvPx{|zzs?wygdJO6II
znEdppD|4<&iT(e`Gxw&WGrvaKl-46_3a{+T16^P1e(&__`)S+xPczAu9bP5Stf$7p
zzjKAii|7?0T>>xqW(d6KnIZ6^Ylgs!ju`?k+GYs6Xqh4KqG^V}lZFO?clIj+mbeN$
zHdGVI6g(2q!|58uV#t^5G*Qg4=irQhC9V$^3W|1Z;Yd1htVKz;Nn)MhLXU2Rwk#iw
zOG+JyQo>C-i{y^J=?2}ins#CL-hF##-`%I5UtDSXezuT?8Pl$*9c9dWceO>$7ZDDd
zbe&^{XTj0-n_N9XN3_LOe@-omVtCD4*Qm0_{t{~jw=T!dUXLvX4bd+Wb+_;c8L5YH
z7la!fjec+Upv#;`Me}~g>f*jbx-6=1@}u@g??3dgS%Yg4mwCzC)~%)84-$DDK7P2q
zJF?)SB=hwH%D>a6i9Yx`GrZ$mMnTr`9~;i?5fjV$Y~C>Iw$n1EfSS~<exa}V{DL!k
zm++VPa&rh=X~?X1J$n1Gk0196UBl=TAq+_kq94vMusSE0Hr*21+-i8kNuu24gUQd=
z?mAx_R{wWtWu4mOt+PYILiEtlNlOk$ifFO=Eey~s;A{12oU9STHOF;f&;t{$sZ7rU
zSBRKQELt_UH(<fB&WPH*_fJlJ|LW-Ft0$Msubv+Dvj1alfI4%Cu>zN1!7V-c{^M&{
zcNctFZXuN|J$JYA^1J>w);Y+?RKFEHkY<*)<Hnce7G^PPzb)hL7pOljd(z#;WOMc5
z)@Al*8`)AqKg1m|b&zIV_|m_40i!5m#q?)V3woO`d|7UJp@CCtPih|Tg>Ow4zAU#e
zvA?+X_Eqaf#_lh{yQi65=#ih!Br|)0*JS|%cPFkFnGs&a94zrpTC<#WMb9p@EHd)c
zigGSCNSq{c;q;E=ZS7wwmd|3D>s9Y%F*Q8L@k>(E%q7i+VK164>i@hv|51X&R)fdS
z`i?ao=Y6!W(sN4NF^@$B84b0??#Y4~aePY`K7A4pG2=nsF{KN8WW1bDpIo>>u-jVd
zxs&n7E3IjK@6R^0wPvnc&pPi^XZy3Cvn;#J#iN$3?{xTFb5>^BY;N7l*IgD=?n~?2
zbhdTc%j}&OX1%%DxP0A=gR>qM|8U;kSn=SYjfJX>zWLF7#;tKCFBz_;=O1v;EiKF!
z3cX(CyJYb?yPcg&_1-BidKIOgU2^5Bd(DO`N7u%M#J(==?A!IrLL=g?vybZvyQt(l
zEB5j`cKq5h(WN8c;f}@~j`EU?0$)9qIt3PXRWTPf*O{^@z6y2{QgqT5;XU&8_aaF}
zC*=v1y;bixL?l#g=RDyMkvMj#u}b*@*NQ6~Dy!C+Gp)TcRjSeD>Q}b~Q+EX^Pgt?*
z9><m-^K_P`uuVIZCuq3jvqU+bk!)O`YWI#qh3lepW0*j(n?lCh$<|DrPG8&>h#r|E
z$Pp#-tj|G6;4FtA*O_O$UQLab1`<nGzh-?lbyrYhWJs*O!TKvxxy~$lRn=IzG|w-A
zt-QQId9#L_zR`MvXD(?4kGaiFx|6;*edbE`%V^t{U*No1!$sd@y~4AWw2XLebCc(e
zdxESFo#8n?OCn~k^!3kjNkI&{XW~}cwy%rp71_{Jw)C6Tp`Zg*p{<OQq#S~7WHLLr
zZd|54H?KH&`a4gvyNt#O9+|leniHBB@&!dgmfL7-->C5;N#nx&M<Nct_jW8_6rh>0
z<-pPfk9hQ0L)R8)YBU~Ry5Nl1^roP?7_M2|4}$`}9A3I0k<qNAl+QBd#fB+Xo>Egj
zmEE}(lxgTQ*GWkK>;Lld(!GUuJ-*rQclvPnK-~@5((QK73v<%lx%+K5O?GThxV_=&
zHf5KIJf4A*V&Bx?S9>p>ztL}F*P3H)pC_HT8(aEX=9+kAW#v&FZe#h&=d_nB(czwS
zRN#&A!YA*a_-jT^xLsMhDd5gIXXj{(tTvCceX~68ePdYSSXe!Qo!iTEi?Vu+!0Sev
zh^x|C)s;ofo8)zl@_KpwUa;_f6w?8PmF-?DXZd_oNaxZ}J!UgSXtB6gXC&vYi7z%O
zXp6>(d37psZVKvNy!yQBY1zHnPR8c_#!YKiOV72j?a-<$WbAM6yUbj5-L1Fc<Es|m
ze;m3ST$Pslo&39e_wU=Y`DcH<`!u~XEJ^xvT+GG5Nvk#9O4TsMt$hD+=KHI^b5^W3
z*yR!u6?A5=&6O+SS<kA!^2tPTNX9B<yn1qqM<ZQzgSDIYhMh~Cd}|9+_l3V_4yqRQ
zI_kvc9k-pKB~fmAMVjCH$5KWcF1lNLJ*#3c{VF|k#`~+$vsOqO?>Z8AsVPi;bEPz2
z^e!=9wZ24Kr&YU~F3m~gVGEBqx_H^|`r7@!79PlZcX*C7qjP50rfG?Rdm`Fark}~t
z_nz!xK3Q*;-Nsm3A4P*@iCS%rb^&UuTt6B`{`8PMA+%C2K6;Ygu8dRVPXmr}w^qE>
zyYk)m<@UmIpHI1o8mdO>4l7um#GMb3y-?c~<0rs4z4HEP&e^jy7A((S$Z&oZTi29`
zg1KrNp0%;PczIirA?)&*jNPsQYEx4bU+&RQoFim&bZPRfJ5v~JYrUCfTr*Lr)JomZ
zB-X<xY#`K{FZVm<8N>B!AJ@EVj+i&u)v@jQ6VnY`^HeuHou%w4zM5^ay6@F65&bZm
z%mo)#W&d?3T&Z5^yEbXTr-ltYQ$z$^R~^|BDw8IAF?Xgqr}xAcyUrYrWjEoo+F<(d
z{L=k`+Mk#0v|4c{G+@@5)q?DyXN8MX)o1-${=IUR2>(Z8lR^(i$An8F$;t|mu9x>b
z3cq}1KFfj2ODqH$P70j~jcPa-Ub(9A+jFK54%&U}57sYwcUU6wv-7Pzv;IZq%B^@d
zF?VIw6j^(Jfu7!kr+%)Je|~)bJpbFbc{Th0PyZ`FZ~x2%_Q5CC9@f^LzyGG$M%GhH
zo}T;tefxa#@9u{a!%v&8nHgr9aV#N(x#{vMwkLm1EGj$_H>XuFI@kTp(V3}7;^rI`
ztS$_bF?^JH_h8EQ{W0<RdHlzk&oC@XydGmyaH1ziHZEfO)`EwLiW0kyI2CK}-db=o
za>thq0zWd69@<Ehh_y|<v9@)oYQ)r51qos9v+mJz*}vP~-SvLw(dzSyKhG1dozC`b
zz89Z1$AQJaR-F0sVqa3vilbNAdh)MxbBAPH$awq6UFPN*AvX7EPY&JnU4CerfrjX-
zxv^e%wlOZ9@psu|ksVhvZ|`86ekMR%QP*(F^fN`7%laACO1-`FdHXTN?}~HJADL3(
z{7Qz?)7rQFPRYLXytZ@6e=YT=$xGE$No_ijU!2)J`=ektPblZ&g7Yn*5BZiJInWw3
z?EtG{%ZZcUv>N{0)!@6lUqU=X|Eq}jvz=Ee-&CFJ_Vs^v&&KG&%#}wK4R^9l<#*J)
z*j~WvCZy8$HB;G}A;v^0Ec8&qCC}F0MyBRqpYl^b9MrOpZWK?IGWi+C<Mn05A(1K1
zrq20%<HdaO-!-q6PvF1jwAEsnF#9Pc^VKdZ&VKwdaayI0xQ@o9kV75M&%CUdcX8|1
zM-?-Scvs!wTzce=r<hx5r(=)7mx%KsiO*age%AdYkjU;Q*mmMV*W-oBrUA!|W9M-#
z=5$oE>FL~YQ#iGy)MD9xhq)qWjF%@dx?P-l^}@{Q%Eg}_WzNV-J(;p&$qx^PDMIGo
z(;d%LD;R}p_sLr9S3Z(jonm)V?dJxiZ4cIjcvU7zIi2V9%REuT{k}%pTai~*>T-Y|
z^QU)<6uOq{{5p8RyeoOXpm1lv>yo>ypUh|Q9=V_r^z6yhR<r5LC!W+wH1V7Hp&>kK
z&%vOib1Xq`ntKAe&Tq-s=`?enz}Be3H@!34_&%%8j8_QN*c;$gvy9oRbFq>)qutRj
zU2-etU3$G|qyIm@e=0A(YAn01oLuGlxaY)$u4MC*CM%e@ygZv7mOkqYEqI#L-nuDz
zTJTPmR)bY7SHr_nx9{1Wy+UDI4Qo(}Vl0DZ^n>S*JFB{MzEzgHH!l)BK5s^5fT)m#
zp{Td@6ruI5yZmnmFjN`_E;3eG=90P3wtnAPW5qx@YgI3kFHwayUuLp}x^!!v-x8GC
z@ib{)NSZ*Z5JOO_3d7PPLP0Uj&MTEqhs2n<Z(G$e@%7VOmFZV5F5TR7*g9{u#kcBA
zG25L=QNLI`&rCB|>)E5`m>SHyR3-XoOK0EtnL^L*oS(dZvc2aan@-NpM^qEbGCRyK
zEn?iwzdA+EN=$hf%PxUUF7s@46{n}FB^d3hQd|G&XHuH;^wX0jAIn`i#c)k%rmM=y
z1&r3=%UnVycTTa~-7qI?#Uz)m^)E6uvMf_O8DMC-(0JFfD<;=x{h9m6Gw5=rkMHth
zSC*8Qn$7&+cX=J-ZrRmGCMXzHhBgTpD++0CYw~jJ2-IDF%Il}dwMjcX{fybmrui)>
zw#Yc}Zfbc<n-AlpU`16Y&57%6mPTfAoX9Ma5-papax6HNS$qoQm2}IucQ@$&o12>a
zad+vBh(GIgY>e0%D|O}*TfjqK_ppFM??<~IO>>!Aneh5x%DkONYsJgk_G-W537*OR
zD8=Y}%(Ht(ZH?Y{Ri}hJYxI1aGVf+`;5o^%6N}&L{_8Dq+3p@Mwzx6v|0lEGYfcn|
zw>@G$x#z|-!_RT?OEiy3|Jo$cwq@PNu2+e(w&yAN8s=S`ezf3P-?GeBb#eVC+HpCj
zCspdpUJ|*?{%g~W&@DQQGoq$3oOGRb=J&!0%Xav3)kfa4U7EsK`oe1(*U?t-+nZAE
zt!p$n8Y}bS^rvThs@@FCrl~p<O;r6H8@@#IUXN@1wD%XaBAH}YPh0AwexN%y(x|-N
zBY2N+^FE#X3j#N>BxFT0sfb4!nJ@GRj;V8vpBA1Ps?!<lBN`a!oO@@cb<z^eb?giE
zPoH+FTE`H?9NzF&DLn6O<xa=)?2Aj)_OA7fKWxf-HNs2EOZnlgb*FiEr=PypbbWpP
zbnEi-_hcVkHENUR3^aIl{qX77J?ZlNAG0J6&(W6n{QCLRzI&UOw^wZKdARo=*RelU
z)jM~8fAd~3PB(c*F>7*N?Y=uNtH1pcSU=5h&t;E;Gvd$dm;aqtYky>QDo^pcgfr{^
zPfuq!SIoc>=^hnwNo00iF=M6K0~`5<3A}p}KZ))+t9o@$>2~&Mi<cRwTSz`<`4auR
zrXefBznJmY)JnMtt0H>?S4T}*c70uL?#g*fdY8wCZu?;OLF;$GD)pDQUWPyIi)}Dp
z(YX2qYsFMw<*Spo-1xHk)VEvgajEU@Use7Z{9Sd2d$k+?n%iOzLboM{_+Q#{X}y+o
zxO~Oby~0-~m(2RIx@h`JDccP}Q4QfYUj*2CMtkgEmBU&4AoQDZi2tR-7uTQq8@;Wz
zJ6L#^fZW2gFT2@Vrt2GoT&(1g&d)QS?|gV;#F0MbpI5GBs5I5qPpk>b)8^QFNOQ&n
zrOA8`I({0Q{ifb1y@XXWpnxkVf&GG|(4{#kX7XQMU1OGpzy7tRWJdQ}QOQN?=YN0H
z{g{9H_s0(!{;hJ5Si!@(^5?IOea|i(jA(hb?ZKPhd#5~0n!S9nWM%QRS0;6l3nY)s
z_9%Y2VzK2}QFEqC-KDwdr;0Y-zOu20tLcnU!D*(`3I#6HypP{K6S;22;-%uRcio@z
zH0ko{<j6>2j`&hRh6p{6Mh*>+g-g`D7j`i(eWa<H=HDBX#<zINOy`eR?Ke%ic}OEP
zT~X@k8tZu*8J8T{p*xq~_;=~qN6u9n^P;BL1kF2}`KV0RD$d1Z$(q|*eA8YQv`zWr
zm$IuQ<j9<tU8NJgB;DmPPTgg(F~qo+i81KfA(I_5PL#Zi{A_ty)Z6Ig(OoC=PL&k)
ztxl6t)w;R-tZTg2ZKaD#(?g!SgzNAv6@R_#uI9ySH_g;`t$TMnHp=Ur(&eS@@1FUD
zuL)i%{yJ~l5+CvR>sQR+<DcDFp%=jRNw@Znr=^|J<)!S6&wRplW-b+vev&Q2{aT6r
znN&+jW44Kt(RGEF9U=NX+`PRp#@xJm+Pu@+H8``MMQ*sV|5VKFFli}q>2HU#lK#uh
zw|x40v-zJ}(^;+`u619vWru~wyp=-NzaGfgbZm>z)&>{dSshUyTy$ePnYQ+rF6&(3
zx?`!_jHoo9+{HVNE?<<^wtB@iFEKv>g|(X=USFK6t0yQi`>V+J4Ob61m`!Tfn*Ql)
z0kbuGSk$!;W@b+ZrfW0InkUWRI~07_a$8%CfGM;3_cI*X51%H@*x{Oe{MNz8v9*b%
zUxjRnRrGC?Dj8+!5=(yw*%aI8+dQf~s57_xgBSM;w~A*g;<mVz-dJ&-{i|c}L$0cs
z6ZpK=3Z7MSOjdB8(OW2^_%u;QQF5__gAr?f%N2>;f<bb-1Sc65F{%U~aP#Q>D5d!H
zp_HQJVo?Vp*36bG5{uOh-27)HnY>6%GSMjQytsA4m$REiCz`cox=d})ba^VcOmM1Z
zkn*Zy9=$6*dGxNZQ8Ha*#G37LRbq``sN5RCsqP!xLY7tZu2}WBwBlymz5@dLSH>mG
z_;T)1X;4=0^+$p#Z>B~^o(vOqV+h^c?x}yitMc7~%14v;RtOtDv^3jQaUn6nbf@=)
z(*nX%qB;Zj8ck&jX<BFXQ`O_rlz9q<%f5W-e$FCs^`>6q#AhE^+6>!Q$8oDEWS%K_
zc+l$B#FB)*SutiWQe(_Cw(iQ=-aW<cFw<w*^Pxu~@Aj+AD0<#Iqvu?=f#&XsEWybR
zZazEJ-F@8r-Ddb)lr%JTOFuH@n-Zs5vVN1n%tt0kS^bxDPUf{-4JdAov-3M^Dqh&C
zZLnl@;!?fFqiw4y=kguAWV6N3x2WUVPo`L=)7nwl30v9U)FkRPJ{8?yx<M-0Os40+
z@i&FRxx7^~XYhKhHC$cGs^}lYazkRJppV>2!5+h)t?M0y511TFXPJCFoh7-)|Ly8_
z7K7eE$%9V<B@aq04*DG=$mmn8bL`hl|FeCwU!`9-eSA^$UzXpC6~oVlswE{kUs_wy
zH1`qHva<ANTIokpdVE?qbAkj6w*LMi^y|x}lgp>?@z=jwb8FuDX?%HmpWLl_`RtnM
zhFiAPC#%0*I=eYL!PM$|!D^NGlr?)pjb5hC+5Ifc^H;@dhp_Mm6`LZQqdb|kH>&%F
z>b`jDS+pukZPu*oss6uQPF}h)L8Cctp4xI3%Prmtzap|{&9HjASg&^9F6Po(BBhS{
zheHCgQoX*`soLqgmh`?fQ#!fY!zF2r(yH%^IXt9{-)Q>CE%!aC{Nm~HT}G7#l43m8
z*&f=S3Z-+*mi8@}u71+EG$ZrV32AMQ%d0w<iTYnYHCZjS?d{r?DX$b?J;>8Mo`0zJ
z+$tXHmvaqGHP<Gu>fZIpGH8vcFVE4ZMMi619=LLE(yT8=JX`Ninq?8>mn}T!YVj<V
z;HX|9pJQ1q2Aa#aaxQztH9Nse-Gp=5GEUz|rwtykMqGWm?XqC_#)$3fVtMC%G0KbG
zEY<VklKxV)0BKw6L#J$ar^QMfJd_#VaP`F<!LWCCOtp`2OxrZk$6#x9*|u#~hgM~&
zsPEmAZf-j1GGqLysaIK2cL$#j4-<9?_45^+$ra#o<=y$urB_)3r`iX*Ec+xZxV5_Y
z&5z5PA2?R6dOZKjr+as9d{pN=dm{Akt>mW8ug{OEUR9ZWb8^YyTgl6tqpROcxVp~P
zuS!yUMSQi)i%T-6j?cQ}6}+v%Kq>3Q@0+h4FS#;V?M1{I)3rXSt2vZcw9T2M>)_}0
zdd8x3Q>i;E6L}Y2HeBOgnm@zy<CS&pzEzTwLS6@cIaxDhX+is$>;S)Y7mNS$zy1+p
zFCnF~b<HHJsppO<q;vcU{`>3Mn-7fhA|Kym<yTzCD=xd_(L<B8l_z*^Z1!AwVD_S~
zm(FNUb7Y<^zvy79<C$DFy9d1CZ90xCots4}oQ+>FNoKaGs`$jc=ZQbYdrPZ8z-@ZT
zmh?WAmFs``oKQLYbZ>-D@zS^+|DdXN!K0@)@)-9^oDT5nN!3t2W;F59UmjOwANeG!
zwxA>dCr!i7$p)9yKk7XD*(iQWd9`D-+~*J5m)u~k$_zcE6m)Hk<I`SF{po2kf*jqP
z-qi*ElQyjC5BC)c+gBnW9G3aAAx*}DE8|V4%?B9=6<>CZ*hSshM+>iLm@ep9BD&sW
zL4|V*o1Xd41J}|It*YT#dZdUEgpIpr><-=7>!EnI$Evm4)3JI*=_HX==kt6gIqiCB
z;mNhs_qfT5iP4J#FLym&5s>AOyaHsF%(OK-%yc$SSx{7^l<N1SGCj@nNhNb4ho#W^
zR}&UDoeE)Dx+FxUZTmNquPS?1^Yi!}vbGJk<;;Km@RjBL6;G4yTJ?8@6*@;`we@*1
zUU$0`Y4PbK%TlJb7Hqm%GcuePuJq-}$eVDsrGg>j!q!I;`&THQJetK@Q|9z1buRbx
z(-&V%&U2c%aKRLlg+j(tzTNqu;v6c!Wp2ufFDyS*oFn@ut}l=ZYc9KD^pNr3!~^zI
zjo76)uh{6Cr)h{RT(m=LpAoNA{nDTWrv5czo1H2<wmy>BvSMKj&(=q0*%s`X*2&*o
zro$UGjhB7pi(3v}KEAiabyZfb{FU)b#dPWV7>}UIU-xo%l<qOsyS_lluG{cl;>C>Y
zqZ@B7YU8Y!`nPkt6wienaqphld<ZMdDJ%4qSo&4x$_}BnW7fi9Qw@|-^%8%YT$pg_
zsLsM#Pmf8etK?VsZ*uvyYl4b*#C^%8J+i&cVKUXSs~un4%-o=LY10pug_kt?3@1li
zb)RK)LNGR}aO=~IJ*|rtU0JaGpv>=|DyId0R|L9gbtN6Uu<xzfNr~RwLCMEF?pIGv
z;dy;J|I4}AtlH0OFY&2#v%RrfBlOoy!}1S1d!LkmARDiQo1JFjG_C`;gEKfdToxG^
zWE_x)P(2f%pu8oPVbb~c`y-4PWBv$q^G~r7Et)pNe#`p;uTRJC?0EA2$@!pv9`~P3
zdBXo%doIg+_ao9K3HMJ=$vD>Nb#qZ)OU^t?!34v^E$X_t&#q`^vMcq-tZq3erKTrQ
zsmgJWeN~h}=vRY`12Sn|Y4`dkWPjFW(tmpU(_h|6mbo{h&Mdf9zFAeW#4qPl`KRS`
z91s5caBKM)^}X3;uZy4lE4a3i;rJTOwI-?lGy6MM%`=++<&%l2UFy0uc56)CmQ5;d
zc|4n|YSxM4VtpMMDjhXpDbIi2oHOs`iEh!dIe!kh>Fs0Lx4u1{b60)jgmNLlg74{q
z&$(Z*-fbv5&bDChftjy)oX$L}Gkvv#b;tHyTi%9mk;>(02{WEu`ZcV*wqftprF-ia
zUClTyv}M*!<7L~eazb9M)ywr0TI(ilxZvuUX;OQyCjNKLFU>sPnqj)jl6!4hp4{uT
zeBV>5S9^a?bX|S1RyxD9*f=1+bVuyv=-6GZ%2B=7CN8{srfTQCR~u^XM8`%MiLG_Z
zj=YeScGV}pG~&>!wR*bIoneb5O}5OM`SA5xKH=y0UR_Fw+#*%F_QI<hx35RtEtLqa
zH2o&F_(GM|rdf4N1{X~Ij#-_yb4gEB(A;|P+o`PEH}lqPd$ZV3?e_8}>74azcFui!
zY}V;_m(6b4O}(2?o%-}`j(J#InepnA-&X!$yRH2?KYjPcf174+_u1yJYH=ejveo)_
zZ|ID>lae>pY8^TF?NrpAn|W)FzS)~S`E9Tz-?s3uC+EtNUDMtt&&-+MRkUI6CcW})
z(yxtnf6Cibt9AC^w^Ofdd|vf#GuF<Ry1m?V$NgWXJ09u?Khq9AdhY1;jb+d0i?6nb
zHTS<BGx>Ylhn|-$Q~PJlifcdWu=T!G;*^qFmw%NT7hecu40zdc@zrWC3Ee-dS}q2Q
zAFBGR!V@;F<WR<Y)lYLLC_34<b%;IvU~Rr2=IT)e^-1Nkr*GX^%@F%aj*o+R|BO(!
zU^Aub3q3nymdu#FspZVw$xZi8PVlVEIQddU+fzm)TeDtcV^c*yLhi{vk?c7eKE$)H
zSt{8hq<t@ANyBRiy~~jkADBN|yrN;AUdodNfj(!ZerYNSdbaJEgQsV-Vu{G*O4X#A
z>+Y<#jGKOW+W&9n+Y&6&_-KjK8%dYP+Mf^PpJAWMXdA1i#}fE+i>B)C1=~Y6L~q;~
zaVd;z(WisPUPpd(ri*5rKXXwwlCkbWV;PsyAD(`$E$Po*$em=jf8j7a?BSFJ2d6l;
z-Y_oi(GO$V&$+-?+PGm}#oC}}8F$u9oN`}m`l<@X$xGHwv61o@@YO0{PCBpf>fR-m
zx(kYBr(`NF&*R#XE_3v+RjY;3+dGU^kG6UhKG`yR`BJ9!G0hpFT#G`Z1$;YZcU?SX
z+84IeB5Lc=iO*IFi)Ng+u#zw<X}r&JhuyERB%o_?^Dc`^`Kws3%k_L-{58Pw^+Msb
z?W$4yuQQhZ+&}3?!aVtn?p#Uzb2@Cb1goET>YU*`&~VVnKCfT&j+}L2b<Cr0J9?wf
z%9R&<J!oT}<S%k$o`qm_kkYp!lW#~Z=M~#lQ2qbkx6jZ1t=Qb0TK26wD=s~%C@ei*
z+;Zu6{|#C;k)kOwlD=}10`*UhXq$U4-LJ99dW-M*`)}6HJKGudmPdSjp1OH$T1teb
zkNxHV_jz$Qt0&b3sI=V^nw!aed+EBzmvs_ir&{S&h_QLfb6iTCJyU0{efwdX|13t<
zClelUDil0DI5TZ=M%;asjFUSg)BVj}MKC;jsaPn=bihJ*(@$CM12$Kc?|CsuTo=t<
zcb>_>|Ms>^{|k5Dj46Ngw`}&!_G2zaoP0A=el3~dRpca8DmLH8;A+pyH>*TiY}W~u
zitYDtxYF}_!l^c|*)=ILmkl+)DO>&7on+%4>Z<hYy6(aHZ=s)>*G{@UTYvWL-}k@o
ztN!|H_t({%uj^lrpTD<C^32ZrHTN#<j+m?0e@sr}pZ>q+FONQZmGyr1Z2kKEKYyIQ
zy6pG&zwhJCs{eod^!4=i^z+r7%lW6zj=y}rwf^}1y>&m&uHIaJzh~M}?|XGs^&4+W
z`}Qb&*IQS2tNPc+Pi41-V(!JfpS$<p@$Hi%zHe6QX}<bOqqOhk`gc<D@7B++|M~Ro
zGrgV{@78~PdrtpT$!){E|L0abe=v0;_pMz|4sO2EXMVq~nfGVr?Y(#I-j9o`zgPX~
zb-#J|Z2tXs{$782{QpepANvoU&#&8iFY1R%&F7S7n>%;4-{3jB!Qr6MmI*hG&-%2U
zO>fzD&7F3674_We3M+WmfBRQ);m|vMXSUZ`E;qi}-`D5cZ5SnEyUtPSe??W{=Ba!4
z?VmlRUv!o9;dKvM&hMHd=XG(LOk8Xmzms7{sqU1k%fqM3=iR^mPtNYg$Hz~9oqqfD
z{PgeB`DgD=KT+|u;moR!848wZkNeXTf^x5=a~U7b&pK1{@6VgFzn;E6xp80R@3-DB
zjNUHT_xHMEabfY+tyR)n_07K5{^;vC_Fm2Bq&w$^`24*3rh)~Hzq^lqOfqv%(cQz9
zt(^aB>4B3w5(^9dW^K~@yXNYi%Zr~bY}&7)&bK%7xwxVuUu@wYl@yZ~+W-9-XGQKm
zaW>vY>-X=-#H|013~$~)-yHONdjH9Pb#s-r)_<MxhX1^%&~JXZ$$uZ-bV~aFFnN=`
z`G3L4`RwnLyYAYQ$Ok`p*7$qIBQyTn$3?b2T=-z;SNHxCzdl5Kxby3=d&*zWw7(~n
zH`&=p*=+y!r9b=c^wamv!p|SpdYf?b=vDvM@%sO(_uAi_Rx?FH!_RTs<sV<$SAQ3`
zUu%Eo&ozs;)>f7`;-{*I-u<`L{NumREX#kF95VV7&CMcNx+zB2KfV9nMz_0v|NML9
zHRI*p**f0#*<0V0?6kP0-~aEy?!TY1pYMNMqWSlW;h7(48pqaUw>=Upi8B^S{%-30
zk;7_(WY<RS*$XRJ=HA#)FrWR{o4)tThbOijd$SBgv$;1tE_88kQs(VvIWBKux2<hn
zEdTZz_t)Fz`%ODqzh&CS$A6ohj}~P)Wf$4kDw*z_`M-VXl*1F)UUfVyY|*^SVtD+6
zh0~P0DYKJ*JZ^pd?bBi3sIPURMa~6j7c_+Hr_==-DQHbu<553#)?_uYd(({f{%xB2
z*EcOkVPWT;X-|B+?KepTEa_~x8lAW>Y(vqut!}w$)!kFf&3>-DyJSVorfwc3QPDTM
zc<p!1>*fzm-Rq+I^uE`OqIHw4x9`b(Xx_Fu{K6`uh1X|yEekHwbu9FjG}BuX8WVT<
zILncRx4T}f-)o~2`}f)O-+yFQul~uKnZcoKyE`&RP-jtE%7YG(Cuy%`#177q_*qh3
zU;R08^S<4Gba;=wNq2Kwm@`vBW7PwWQ`at-H1FKUce;Fr&bN;$OL-RyJuFoH#KJ1a
z#Jr%D<wfTH(-nL<7H)ag_8jRqBN+L-gcm$EY-D_qS?+R9Z$sDO<qXxkq&I}D<&P*#
zi45mGm(bikr?lSv+%BDjS6)YcJ^E!gOE9lmKBAcaP>B#<LST~ARNF7BOIL556vZs9
zB*~Q@_vYB_l~HFVF}_|U_`1kEVr7*08us3Zm&NUVV0M!FiUU&H8s9T$hrVw5x<c^v
z!@LbaTlFIPr8l<CeQbTe>*}rq+sq?Xd!*NdtmVFT*=>#b?t@-e!xDX?n}b&W|8rLC
z+@D!b&ov1){rFlY_G{0(Enod(?M3>|8qJ=&f6W>B<{8rQY16N)V|y}5VBNt8!=1c6
z{Oi{Q#??)kZ*}ZJ<64{Z&rYi@f2PiwW$b3_#O_=2@Z}!HU_}NCpS%g5I{Wxl3g>uL
znt8XU)`Ui0V_#)a<GP~c-5ZvvtG+gBox5@4<?B0KG1sQNJkHwIvB)B!B=vaaffZ5W
zOMEw<%TapMGG$e%<1LftXO<oG^H>QIHa?dl1QFKy7UH_3gbT_sOL1LN!UW;mGP!<c
z*?yRYvpJt$w@g{J)@jx)p=w*_&C_KKW?gUoB$6CtS)U(e6BK)LHtYO_hm${C)Vk2p
zuT^|d-OME?`ns%XdQ0mw1@*s`+x{Im^3UBseID~_<Mfd46Y|Bnx%qbL&0ipFEyoyb
z({+{g_N0St)-~K_8;>u{m)WxBg^1wOz8B3NZ&Hn1KDw&vb?`~J*!@%grc-n2MB>!R
zZpVD*RVtW^StKPZwFKMLhNt@_u9)_^!s;L29RD0wmYk0aG2%JwJZ9qNS9DGOW@aB>
ze)jF#Ya4RU%{pgz*DA&Aquy>6-SdaLuSlj&(C2mu{jKP@#5YjbWz`whMK>BV6fW&s
z!KoDbj4AMqtBJr%ncWahjwf4k+?>?FCSyl6+jgP2%88l9jY?$-oFY=ZxdAK8#5Iy$
zPjpxqcb}~_tGH3=n+&JOtGiH%{e}{s^@`tRsT6+m*t%0eaCbK+=hwGpDj~Dkg*I}W
zm1_=&trU4WJF)0??w`PieIJ&49IN{L@w3{hTj$HZPJMkSr*nn%V%t|;-Y&+mJN~Z;
zXmh_~<gu#leeAUQ4@Zp?kKJ8iX7NHyB`N;<@)XXK7p&C%rZ;hHuQb-xQ#i6<ru0Lb
zjb|AXqjWlsxaIb}xMCu!t90t-N5>_vPCxU$cyhPrCqC}zqZz)--ygrb`}LHXNQG6u
zOc|Bds^<!<G!ySgk~cR$bW^`Lc7`0cQm(bTty|#K7Y};g-pQM_VCky4$<MeUzFbw;
zH&cI$<HB1u3p!@n%*|^5e)G#sh4os?>bh#5pXHmda+gAy+7&a8klEZK8+m6*SxIfX
z>QNTf5|*TIqPQ^dc3VqUv7?gPxt12TTxp?IXIVRM{O<7G#^iG?<+<z}ZjOz+PtMA5
zJ|#KTX2VkZj77T)bj4g&rKRO;`aGNcYsV+WPmU^P#*x*}IyL0;<z15ejTK&*J-Z!K
zA96_KoU8n#hqcFcdPQ{e?l{uZ@S1ne7AG;IM1$xvj5~HjoLRO>F0H$<PrLJIj@IkM
zZ4zfMFU@pLF`RwMYQ|b^kz^qwd)E&JGJe~dci033+RZ<t{(H@*N?E_AnPD~jHkY|P
zjTA(MS2Jn$2FouAS<N1FH73Zx%lS6D=G9jXUjj@On>{|8nu#yziQ`|hN^*9?)T&wH
zVXLk)ug>yQPguI|S?knSUk|LB_4T0Zm1R6O>wS0cT3^57)nAsDD~E3h1m((c9OZLp
zobW4D+G*8U=7p;kw+POx){HxSZVQ*-%-3HJ+`1(k$p86}*Vn3q+_zmT)>kGjt+L&)
z?VD@Ky9cdPUzHxHdU99tXTsf+LLVlUr#}JP_Uf)ARM}VFo&4e9S7YBA*=4<!E;^s<
z?6I{{Va*HaG>(<=TNRhwvJnUi3D{Wwz4Juqvg^#*uB@WgmHKN!)-zvw+{LB!?Mqz5
zN|3*}PQBr5)Se)f$bUHW>4ck%Nk((HswLLV`fB{!)~78PB<wo#CUYW0c-7VyfdcF1
zUQnKOKdLv~BrsKuBXnz+lZWhHPQ}n?jRB^{(*-VdWH@<DtyH*DCVb}Jw>?j;FS5Qn
zwLR-MyO?b03bm4unasO{Hzq#_d}?ibYK4)>PuXgIlO+F!3tJL7v){}V;@4Sambh=H
z(!8n_{7pW$*R(6&7WVZ&AeFFc78l<#D=DK~)fpk1*?mH}4;>6qf8!I)sdn`ZWAN1t
zXA*Da*`=LQnX-)UL_^TkEe0AZlYcH;GRrn#m6SM}>Q`<1DIuHLy;46<S{SnZ_smT~
zUaM|0FWuDX8Q3OVu=z!y<IL5i4Ha5tMocbJR(=92qxd_Ls+Ap<6h3B|xaw;|#Ko^J
z9VQub6oR(O31~+*)~E*c7tRXM+dld9WZ9kRt{>kw1iEK2x|LQktX}b5*5H+$?tvA0
z{0T`PUtjCoeR|1v^G!GTwx|SNExdg9+KsEm$CjVcGCwGkFF!r;;zIwv`-@LyJ>bn3
z|NE<QeSP7dho9>5-=F;6-Ms(Je}n%Pd!n{5|39<BfamDspYHeH|2KH~c*6O)cg+?o
z7E=4XZ1%6>sRb@)RMv^JTfR8z$Y?72-sH+v=6RW?o#(#FI{0_HTynwe%WJRm{NMKD
zw1Tj0>JRse?rb&BZ%RIHlU}sbK*d=xd&m5#+=m5Cdk&R7nrpBlz}SO-iMgRit1eGa
zq;PY{jn;#yryc~IRj|Eq?TCWtHUp1TU!I^uakg;HW^L2vFCUUYiVi7EH8u2Tjg{az
znw%04ZF+8V^Cplo?&c7XuCl}r2B{wc&MMf3+(j{@KZvdW3eTm?mQF{loWu#IW=SkL
zq{kz-oJVf8<Pu{a6r*iCC2azYJ;ddGc!ClU2AiaQ2sx`TS8;~Kl0#x_E7x>7YTY^X
zz`puYTjkjg`KR}!P3ZhQ>!JLsO5Xkbdht=lJ-k7lm*+Q@Zs^*4VwriB{*D)s((?T0
zrdIak%+c;$ylAerBG=0ImOWg)E9DkDE%)(V>@;;}kJpTPkX*eYSLT|E0FUQBz9K=X
zdLnI{H#`=WF|}zd>3i#_IM>89&|~V(0Ex5&<<?u05=Xq3TliM2G!oyFxX<L#)pOGo
z;;a-i4|z}B`G9xxY{B(qWy~Kh{kqvQU90$@^fM*pxVJGZkCskd$*CXutjYY0jN6`D
zGntQgpY{-rTXlvt|HWtbJ-6)SA1$@q&#51Jt*Jaf{(a98>(K7pe7oOQE-g6El04n+
z_mK;$mZ#~y;JE#gx$Dk~?!E72R_|k+H`Bv?yOeh5lXlaRDaC7kZ8I{R=;xHRFvzyf
z!}+nf`>OZ<g19r2<GZK4`sgh6YqMog?c`}u9>3p5EeYwDzjR^d*@>@iZ@%JmOYOSS
z(yBib+UDi-h1{R9RO}MlPBG1^kK0X3l8cx8nx?aL!nR{uJyy!uFImVxTluPa^2*jN
zn(JJ>zWz|;mAxUeav!g^?vl3!x<RXs^S@NepCUGO?j+%`RmTOjFKb*a_1dz3<x#J%
zTM~TlJrtVt@^7yG+?te^OD=Ce$nxFeU+kMjGtEy*d`s)in(;R7v0L=pdxos(;j{Y-
z9~JIU&HlZ;b;;ZF%9gkPi+ffYZ@1_;_POl)?$2eFTx|WEW_o<u6OL9o8mxcv_{5&p
zTYH+NK09g4pV2n`aAMEWTYEx#D;NIfdp31fUP@g3j7^93r1n-W%;bAEH7YgbUgNDj
zuDz8DFY`W|`YSr+-ojgZ{z`pzs+KyVZMyWt9_6)_%T2GfPBV%K&r+FKdOYg2U)CD#
z+=LD5uKZDa?YHHc?DYuosO<@{D-)fs&CObCy=tT0w&;U<k|wvliC)p|7JF8r+pY9!
z!1Uf#t2RoUI<{zAp3arl#jEmk+74}8u~vq=^yP|GGKJRFCL6Yu>3li5_|$=g6*^g~
z-76yE(-T6!a$NWLeeB1<l$Gl$d)mIeRQR{}hTA;GEvtG8<Yt}N*~I<x?F2TXwy@2I
z15e3tv}+a4Y0oQ8xA^ts(nAeZi5BOn@#mbQcNxpn^1UjU@=4&(LNDj%3+wMn_SuD&
zT6n$WdKi$p`tu*YUgbW!#ibTrk-QHBRJVTq^R8RDZ(shqf+@4oTb!@jJU@TM;?N7}
zH+*g@PrDg}#FqU_=vMC8C;UEP%B?~c=c|3s{ij<TdJ+AN&uwMCyFo~8^}mjG<(`Gx
z-xr8T*RZ%;fAG9NszPbz^v|!V*6F;kUi18L&O-N~=!>PnZGSE@`>Sl86gMZ@LFLq<
zeTQe+ow2V{*%}&a&UteCqiUs@Q@=jSVO0tgEBn7|p3dg~*W`~#Ju`K`oyq(8YRfvC
z!-+>8r?mgvWAR>6A|Yk-Mcw;R8#1zYZa?s%|E=)n%8ipJ<t&T4c#Prdvm*xz&g6es
zH+g3{Z+vLg^@;|AkLw;5Z!M2ik$$mkv)ra{yh4*SQ+hhLA9*w}AVgPYtJS>6EpvYE
zu`t));JDjC-E2ivzL}Hwftt8E55;dRIJ-nxI%KW6^uoyrcKYjtMQ$wMT?rMDPPEfs
zXC`uEf$v(V$YPL4q{xj0y&EASOXB)-GG3b|G@D)b%L&+eFQ+9`_}S-2OkRz=rI)8_
zru59bu^?;jO^$B;GtZBf&R~*$#ikQ{Lc(~X<JD~&Hk!U%AHM%ctlR2b{p<fPU)ujw
zX=D29|9amtpTwPCpmc6Qh__nv&1bu-4li}`H;ssXwOA!P^t4j*)@RW*hnG5?H;#yY
zRjiU7>Mh^A_1W)QkSe2y=vU4lRnpB{pUtj+xwPZ=@$*?p3(6k|)@`2=(A8$#$n0%W
z5|ANV>)?6(M4*(>UnNgwJ?}duJ2G5L4o_tXZ(l8vD5U4zQo17}xA^eXmc;heBHcoI
z-a2JFGNxu75slZLcO>)Ac0;%0;gi|p9@%$oocVmYU#F&p_3iAe(DIFTpRBsQ1J$F}
z@a{hMXjawL*fsqt&pisV=@iRmUbT70vb0k1*Nc~K-XWs7=;*D0mpbcKMqfUf;c$e1
z^`b@PFFITF(x*I9J}+rp%BJ=s=f;iO=VIn3X}eD9I4k05vyHvI$AX3PXjqq};B3uj
zRyn&*d~>!4%vt(EWaG?5laF6}F*)D-qhqUG&iz|*p)XY09BQ-c6BdRibe&Hq^!>^u
z{_3z^L{yjg!JynNtlrtH!gd76yBxd}^o3(uR<e3TRPfqEt-mI4Oq-VExFJNE^Wddf
zTBb1>@7{b|%+>#1wv6FkYSX0m&u1zGE_J)VaKY#PZ#o7$zR3h@da?MlX}mPJ*!83}
zlWQqou;x4#pClW>`wP6Hv;u_GiglKD2yc*3S|>e!*{RQORzG3C{rb+mnwxj-{onWf
z?&|CJ*T&D?TetJyuQyju7c~Ap`}}RIIQ#0W7bRYNtbb^KeqU8p;pfliXTScvS3Tc+
z{<gB5-kZOlrM;gmZ(e=gJUqNQ-|p?-x1T=u&))reZ}{x?vsWiqJY6ntUOs*P`tAGw
zeEL4Se}7EwqI=85qdOT=|5UtxdG%@g?eE+7xBJ)c-T8Or_5`CJ@7Ld3^Z)0kx4So+
zufM*2|KH#7@%!#pSC!XSSN^Shf3m24=b!ISe|_;(6HWa0`0ecfG5amNS^oWbeD?fo
z`}&==_2rcxpP#>d@SN)YJ^T00XQ|t5QMdob{r&rQ{N58K_viMa-+wo+pI7^}KERuq
zMTCKYfrCM$JtoYho^>-169dBv76t|(1_p+Z`~u(nl+v8k_@b0Vy{zK=yxu9<`L_&2
z+V+1Bmr?Xx6jZFrGAmzp%WahfStm{{$#haH?7V*DT#kBk=%4R5Jq4#vy;##BwEukN
zc~$qyoeZU0onj319&*<v*|<+KxW)Bg>9wrd16`)-r$bgfzRPWKz4m@V?)BDu73GrB
zr7}svd7F5gcsV#W9@ulJ^X9HwJ6(HHKXmNO__RgNvsH*~>dLh~;<rwn{;u~h*>e+%
zRh|FS!UuiAJNiR|_;d7M#IeWnA3MxZa$(<Z0natDi-iu$#x>k#KhD3a?t$g3w{JGj
zpSdDhYGV4Iuh+gcPM;#Qi{-wtn9HG#3q`ESPD{B=`JLEx&I|gMn5<uJ?!Q67+sa)e
zHQSLzV!y=v?x4gPUN<L}sFa96(aw*5%pa91Jzkz5vS!ND8(J1ZD%+-c*uI{<a+Tn;
zh~Sy$WKV|}Z<`e;nYa9M@$X~Xa<n_SZ+Ar)rg^R``oJ>t*7pRR($cR9+wLq}bNbRJ
zt#^vYw>{l|&|vEN&2wMPx^h!~&X%mK%Lk%AG`1S#T801kepl^kK+6$hpOEHTt1{Qm
zyc>5)YLEUGEv{Z!Z|6gWI-affLk>^!n)JBLZ^e!)4YfXJR%GnYIv!LKHEXH*(idAq
z?C$I-{iB)8J>_7-N>ho4T<HdedA%zQt=ZjG4$J>z?>G5scVD*ZTIsA;^+$f^e7~`I
z-(;is1im+%DW?p*Ug^IwtzNqRY=8IJc+|8bHf_N^Nm~YnsTUX+_>t3&UU5cZLF(Q;
z>~lho-n8HM{7$SzOj+U%@5&?F%98Hx-mT-^b#haKK%=RMH_uIlbzV*v7=(O3J==Rp
zaLS^j@Hf0innW*zZd~ZLxJfiQe}#sti%8w)x#`d5SZ}V5zW4vRztWk{bJOQlKATx}
zuIBt-@5sQ5%iM+B=Ue3c*}?fdgeUu7_R$XGV{@*?T&zFy#Bh1f%quk&u7_u)&$TYR
z^D^CM-HKH{*FvuJ%f~M~;wdUTTiw)u&ZU}H6RVGRb#?Jg`Y$RQsPx|}PolphFz~*4
zdeNePlcrl3p2!x@`JeUmTVFNn?z8gx7kAeGJ@ISVzr*bE_6ZTe`x%c<VRh}~JN&xc
ztk*XA%nnYa4?;^9Pq9e5bi;7D*>u*rMb7^Pj~A9bSD5y~V#mh#%MXtIV{W@L|B9xD
z-;t?nj+}GsJSS(EQ1PEp*^2$GkgT+&Zub{${#)u+?MDBj<ybn;S;;2KD%t+cuN0VG
z6|?rDH;b(B+#Rntw5Co7l)hAP=0TgDW8|VU8JbIMUWnYh^iA0Dxr@5A7Qf4^-E*dM
z?D8;uJgZGeD5$MBZ2AJ5z-5YVRcx`sccovsn_T%We<8kI`^>9p(^6x?|4(7RyL9pK
ztEYwjy79iTe>7uav!4!cl?qd<tZ@+66pknReS3uj4$5@~%{6{uzL?>Q&y-hNF1-+X
zqv^bG?{qPC^J%Qrs#Xh5Pr0IcBl=mkxQJ3y?Gj(^8|Hzt)?L(G;c?{fv+u8E-n1Jo
zh`xAZeej0;XZ(cuFDScB^<ZINwELIo-?D(m6aIO1H#G~zT9$t}(3mOt^x(mZ9uq_P
zW$zg*C@$dWx6oB@ihI~`A)xYuu<YSvw|`|0p~;6&{8D^+aAD`Ui7S$V#bnw%96s(4
ztlh=duI}<A{r{?Cb?UO$l`WJ4^LkZ|?PhmZ6PotvK%>w-Wecr~XG6m+ZJSQHR9@Mn
z)?Cg#sZ-Bv-I;LD&(j*!Bxkt<=-#&SF$?bPYnynuy@WqYsPmuE`8I3zy`fXu%nIY4
zF9<uSyhW$UZqsDny2mRfDy;}+(Dqp1e8D_WsWFhbn#JCCin8IR%#6Ps6PeqxrSCS+
zR~72%mk6r=!@yh4S*PbdMQ+bji5am?@h%>dCN36E{d8YVB)lrNDgKtnCYv9kRXG8{
zhZp=xJj}+EIq7eR+!goF!Pc`lezi_E%<*Z?@(JF5P}!YF@{WN6%U|K8ZU+{;k>c^_
z5V&yEik1240a=?j6;F?x&^u^Y=KMrOKE>QcsrlhI&zHPExAvZBlj&}oGv(d{+a|@%
zyq6Ixbek4-UUK}q_~lhiiD@1E7MVvb981)=shQz(guQpgdwt`n6*5QueRccOD`>dx
zbo0X%RT-B*FfvQG$*c}J)hsA>?DYu`9qA&Io>k%hrm`)5GO78a?q4n5zH~;vGCscv
z%AVEQ&KE2#3@-*Q^I^7PQ&+vkpO<6xaff5k<{!CAb~Oo4UY`_Dj;~L5`60mXrhd!Q
zIqTxDy|O<WW1G~hKMDP0eq>`{`zblc#qFO+^>=B5HTCTYIg3(GteD8mUdG`Ux-!w(
z^}-yD(3Q&smD~CACiGhAUfj5_?nl8@$L97@4nO}Xb8cAddANk{pk(Kyg^T@UBCab+
z9A9ZC+hkv~D*yKb|IQm751p;p9t*#fZtFReBY1HB)WV#Nf7?v1_2<a@cpQ1c7A-Yr
zCWp$bHMWM9i%cxEMN+=}PioPcX?&5xG-yUji<PENm{aLgqk=LG59Z(=2IhKGCZ0LT
zExQCiKDgszt;(az`)^rc=5CW8yM?l{r#!50X)^g^@3vyn(f=#Eu3zJMEAc0Pk*)e`
zD^^W^S%XQTrJHJ&KiFdu`0ZDS*y^gqcjq=`W-dB2_gvC@6{%3MGapiFdt<ig%s4se
zlITGl{fiUTKV10L_OK{<_S?ico35D7Q0}+05vhncopSs^jsC?x^<bqLuU(U~1g0&W
zchJUv-TkU}ywkl8H7uLh{AB-eo<$Fs{MR+5=}TR@QJ_=y^;q5AX70T%;_K9S@2Sst
zuljAmtLD_RYrRW3#40);JrsH&q%(zmL*1s6zEuZ~G`q$vS~A~8mPeak?~~BnSMGC6
z)+GLDNdDn+O>swrnC-g64-I|?Rb_N;N|!48-B6Brqq@sX&U{nm2ZPAR9+8t}%1$n8
zWOglB$s_u`YSXs=A9m#(OpSB8!Y_BB<j#a;3w^(;^T{bH-_hM+<K!+8!V-Ss(50Zs
zb{k8$*L~R#e2Y<wciktUx9+^wWf6}#57&J9^Pv1~Q|tBhx9(l!cQNvK8&SGTLzurJ
zY0{6C9+gQe)%%3bS0&9<*Zb(Q`NuV3{pv&F=2c1a++E)`-Q<>cym`(sK&5NNibW?>
zY$kv3RXWCbKBbcFqvgYyk32MFRYD3?w)BO4>)n*k^XtSbq4#In-+btIvH7~(<3H<?
zt$uz-_`CRcgXC*!n_j3bt4`YTV=2$!`A6)J1Q-T=5pb$`)7kil-Jj)A$Cd+A#DpZ)
zP8L&KJ6Sbk*Ay<F<rSH`P6s_%R=hj<d75Bq@za8eud6m6k;{1ZR_@=umz~|VAImo$
z65d{sl)v$mu>0wUMHAKEeLr&ka+Iu@Zcboe;T`>~&-&{!AHKSLwC<61rd!Rk&W(@E
z{J)6XJNqv0Qn%UY^7p?kf2iG5yALHXZ#MjjDff)An_T%Se@UvuCBew|iH@&QEg#e?
zRu^=u_X+&}bmZlufC@v`veyPTzx<qM5z!;G_)?b6`Tg$E-@_c4qqUV6PMLVYD#|i#
z%EW+E9vV+2N_HJRec3wn|HO=6Ef4lL?CNs$y58Dlw9sggJB#k=6o0;HvEL`E3oQz)
zdE1$86R2WVwP?46uZ)sam*j~`)4k%mXCHXlw=%utUp>dVb=;h?F}BJe3wBCyc9oYW
zAF5Ajo7r|P{zO6PPydIKM!($Ibic2D@jm4A*NKm!{MQ_wGO1WyZ~AK2c@|+ujud><
z-e_j~CpE*d@!|jXVw$mDr5?{KW?p5`T&*yZ@upi{SR~s5ql`$!>1zrf1^NGp(>q=?
z`_`LI)_FT_3g=gCy18#h@Y-0>q*>~Gp4^Vh|2SXRRr&vTqk5^!p2=6IDRD+5vpQ(^
z$~5qJ^zxigPw(0}FYkMm|Cx_R%KYzifAw3pF57139@`fueSNibzCBs<_kGi(rJuRG
z{C=g~Tjgk_kr)zXZfUO2ToiKQ)7m@7#j6%Ax4CQcsMFl$qPvgS){e{7a^E(~U-c_*
zy7M6W@}t56#g9MopLtFT$ZXCG_K<c_O16mR-&Qd7GTUXRb-YRoOAk#oIBm9=S8PXA
zR_fNAZ(Z^^rGdxng97yhY*>s`7rdC3`}_R=?_IAfE^l(^)2~dM_WFE9;fx<ggiAg4
zuNSNgkx}&b`(&Zqe@**Rdd%y`i>x<rNtr~lwakCGL*m@pYda%dwtihMH)Zzu;0Wg@
zX7zeuQ};d6f5hM9(){Osf#K!DKY!?0?z|MWCw#To^LfF$B3(n0CI|(uIx<D;r~acS
zlFc3&sks83JsWducOE*B4dvdj`?&Yg;urrW-h6k#&;N<uTc?-xMJb;X^Y*>D`20hH
z^7gi(olE(IOm7&aTo3CKx4F6eZ9$N|ugSJj6@U4|a>|zdPl|H)dA)m}zo3cVW~at`
z<!!5+&#>s6v!DDwq+83T@5JJ>>^IZ>-W5(cKWWX>w~M`BpPX<_|J|JvdaKNqPdoYb
zk*L32@$`p+)l1c%pPBsX!Kd)btapn1`L!D}PHg_N?u%Lb@n=20xp(K?*}PzZ;Jo+O
z76?E5wch(_agLw0{%eVJI~MVI2VZ}+vV8W$bEC_i-siWRQ`}9GC(Kv03q9Vr^i_^Z
z`<)`4#Q)C&mzQoT=?goTf2A-d3Y5K9KQ+6r7g7E@%j9vns$<sU!+&qHPQR}`Ta-U2
zUN26*d9_jHRi&9z`hM)SiCj`UC;r&4L)zjM_6*OfE2qZAeq8^@(e=_f@t0*$O4i}^
zd-d97?{E5?x@)fbwl&6OY46XZ9^d??W@=t~xYn2FyXO2mqGKJu{PxbeqiVU!pU&-%
zKa;rp#-`7{v*u27FWZ~(M(6*ln=)lyI@Yr<|Gl}xy61fR^XISDmra|i`?5^WE#Stv
zua=K5-`lkL>L%m-%^Np!oLp1Jbo$)366^9aaS?0YzS;G1sZ5zwMA<&y{^HZk(LMI&
zH50YZ6`nr#t;E_|d{)`kH%>;Y=k`sT`~K3~9G<sJ>Q3BT7j*huUx{_Mc+1C~GAGxR
zozk(szx;OPpV_j@V*Qn8UiDKyeePU|b-a7ox_?H~=boE3SN_uP+N`YR&hxkRpLT8D
z@aE5qy!2Fw`O~f!ZCl-)njT)Y+pOiS*WQm8mdTU}O`Ci7<-eH9>5=9^=ii(&<vXox
z{q{*v)mzu26P?8sciq{bUCg#?b?OVl(-#)WCZ2wGIOTNKirJ|z3Qu2%_HT8rI?K9q
z*P7j_FE~$MSh~<%w|nB5tQGC48NRy~UO65;&$R8@`?v{<GgBn1E`7={Zc{LS+jmpC
zsA5Zd>I==&i&yXOXuDXsGwI4@qc2-hGg3|L@12r-W2@_YKEn66QP!>5mb`CMC9AF(
zeeum$k$N+HXIbA~UFYn#%UTVyb}B7jSgYfFK2mP&9Z|Mw=X1;6W=mGxGumQha%Ix2
zZ?$~RqSF?N>o^++&f-0LDl^0W^o9L8&KE7RW==PD`yJgDw}t0!+ohZr)8@4CZQ$9P
zBDqW5$i#m6LS|j(|J!(C<s@&-Eq-c$!syE;)4n+8`I{GJTJ{^UN4Ldo<XOwlyY$`(
z%Rau1JbSZD-(Jq>s#(v^f9YBK=4IlipKC6-&(y0~B6)3QQfnT2)S^kZC*&-jWIZ8g
z{iN9wc|tZGzc=yuNj|Q-Tqf%#^~b7AmJR*Hsp1{_$ysB{%wtoIUS27(%Clm+-s~&h
zW}0GVnRBl$Nl)mTU9$IG*~_EK5p#EV#xFR#%2W5eQ@E*SDSy=RSyOhgJI|cGMM7+c
zXZB&Wt#4Xb^Dce&Sf#df-QRbSSC{mhd%P;l>c8;IJ@Quj@4dQ_z4&Sc=cG4(N<Flm
z2X9wAc~1Y}y%Xo|GfbJg->}54RCv;<pI6R$6xHAPcT4SU%`345Z~m<HSoP22ZsU?y
z{a2P%zW)5G|M1#>6=z@dFJJU;hvu99X{#qb`S-)>RsZ>kPZXy$G4XHop7`WngfQ<$
z`30x{eoVX}ztVr%{*<;G{WBzQ{$gHVy5w_v)ZdT0p4NDmRKzUj&o=t0H0jNs&mN!t
z>|iTQejd+w>YRQ*+vTVQZ~jd7*!20l(#htRk}5mx^{)J#c=KoJsh?h_f12w&m+$3E
zx&3vQ>g4-MC(q?~EB)svx%u<B()0OFljg>=vda1RC%b)4h~hH8`BQ%J%nu*JDz}_`
zwr3uvd*r$OnU?#_q|D^q&(#Z9&7Z~9e&eTinN9Yb{~TOz{#bi#`utw;<ivW-S;ywP
zT&_rdQFD57z=^{@59>U?ziH0=tw&w#N@v!wO*;Ae<BgN&?zgM(&+hftHM?B#QBBgk
ztnPc%<C-kxu;ji!UT0$a{%}P+Fs#>~B=b{$!RgblKA+DwyZpmXW6H`WMJm=SpTv5U
zg`M0zQABHJ$-)@+-5T7}56o@xs_SYDST||D!p%_C=L=`v4JnfCyLESJYky!N|J0cO
zy>BFfPKM6D*Vk!#!?<VuJS|Icv2P(KKTo{lt@>PH=XS4iZbkB`4^vsP;-~I;=bpL7
zNz<k=`AZ$w-r{8?we@A`rK=)j7EIzc^y3RTnI7=bF>;sK^ny*<{S#uNh05OE4!i!3
zUAc94S*u-z_m@=}mb*DT<)({2h-^ImRM}#~N3OpH+mz&{b02!Ex|L@)d;jUR7kAtd
z^j)=k+upg?cdXfb>?zynpWhd;FJeFRc4=zai<(!gE|ZTx-5p_f^QYv+C>!IL?%?&0
z3met1y4y=V57s^;s`%Ao$KEYI?&>MUa@T_|{ZwAt`$RT7-$p;?To8ZTx#eNXCnwJD
zG8VP|AFxAgxt!Z1<^5Vw-Qr0(#ZT<J=j_)n`gboQe-Cr8#q7^kpFFic{okl$Z(mer
zSv70!{-3|M_kPZAm-aj@?Xl^zvC{LaW#=cxv$R`$3RgIJ_!;AxUAHP<Z2J8D<yHwt
z$4TKWJ2j@&CjWopb8|`7YWsUze?49-E<Rh_cD?<!8#+bY2Bwt;w%gJ+%K7MKZG5Iy
z+n0I9cNb$^!DO-Xw-eG1g-X2m^`=8S@XnI+^W)ddzEWfvb=>z?+TEnfOGJ`RcHen>
zQE5Bt+|%86n64b_zO#46&OImY@|+inRARK7*5fj@$E8rB^ZS}}dpUmdzYm?gIQUTc
zMa{(MD?6hN7FR~yWpA&D%I!D1^?q^iA^wY+iQ%_28H=YVPdxPM#Oc2wDnCVRWj#eZ
zr_J;zQi-dmo!sYgI{ibV@<+S0on0=kY*nrn&EF+=*iZNS%Hx4O(|@}ge&3zSDcTWc
z6teZ&r=R;oS6%(S^vr^t5`mW|OU&lupCx^G?#898`rcod*~9W|`OS39n$>Py3%>n8
zjepik2@ac#ycrv>`8?|W*f*8^Z2!dtKN*fzTv)Qfab>{PJMD%C7w(ew6kRn<)Z^2F
zo#Fgak#D?s-0n$Ee^|PcD>RFbX@+jdj=0GSS8a6q+Gx7}%84fdXRp4$obriD#WLiS
zdEEPFt)Ew?3Whn#g?`@n^23T*%_{w?xF<XbG-ci;v+DQaukZZ!Mx1_9q+%ITu6S~B
zmZeU?inK#a?OA4%WL8;v6s>rscyi$`QL&1UyNxRCS(=kx2~3P?Q;}DS+v(jWT-uSb
zlR@l<fMNPAw&IQ%{2{VRLEDp^?;1yMkPmtMDg9c~w}qy9%iYiaxco)hZVR*LyhE$E
zw;!xy&hEN*t+8lBxZ=r!ehVeot5pN|zHzBka@lvO_&;o)_(ZeDuB&jv{R1-R_t~v}
zTra=cQ$Esnmw}AE?t1stm!dDO+F}<qRj%XM`Pmmg)<^BI+rFla$^2!a%1%#zm(`cN
z7TDB1dzmiYX(!S*e;WJZkBhh6^oxvQzqCCkHh*=geDvCCbDQ?9XLzl3mY$Qev6gdH
zy|at^aB;+|I-l@3k2Htr;*(|uzJBH=YbKi96utc3kK*>T?S^@GXSD}qDW1H+S0JBi
z{VR&S*?(<ho%lPW?i16WmBsD8Jo#<MR_>acZAsg1Dc}26&MLFK_0&K8s-s$acPZum
zH`ex>?y`Q}`Tn@}7}2@2(p;Zk-Rbd3V}`KY&!CkCe!9CCJzvp%*kb9qcBb`8#fJhf
z82R(R={b0D`Lg*@2Y=q-I#)e|P0PZ2(SwRs*5}W|3e2Lu&3|a^mHGef+J&_&%T)M(
zCoJ;#?f(7K<u~<-H~(`nyWI45{q`|yEyM5qTi(=XmcG;3=iT)8xbtt-)}znE!)o?z
z`m?{zU1EN})9<%jo8$9u-cPx?UsLAK%HvMI-*Ruhe}2>dt*Q2+zvfq|ZIKsA+kbG=
z|K8O4<3F>{KB=|Za(|)0@4vdgXY2kpKJ;VHZtE@b`e*(zoc<?v`rqR-tquPJ|Ci~U
z`R8!@U)bq?#o1Stnf~-2OV3RF*1z#h{^n!<trP#;&*gkOT{NwJLhAqM)BnAvukvMk
z?QdddEt*!pA+>(@)BnpK{@Se4<^TN9oBy{q{h#~v|D}RII-QS{xi;6YNv&^B{r~gA
z|B#gZ-ihDZBfjn5{^mUQ^L%fS|1OE&+M~XeM|~^L<$h}~dP`sb%s+$E|M;i<eZA<c
zZI}P`LvPHZzSVDeW8ZjOY1{wWjQ!Dx-}*PddB5q{^J6Fel`s7JuJtC!tJ|OcS6}$I
zT=};x*Jk@Wo90JNdiVeK#J_JB)@q&k$8q{!<+Q)*hd-a(eeq{X|DiYiTi*ElZlC{4
zVUzsV{PTz2^ly6Ozxhr5_f3)Wr@MUnu5;$!$7z3GPWyZQ(2wPde!K~t@mE;qx3SLe
z^)BDaTfTkQJM*t|+TWSe{$5}7QNDM6E!XDyNvZYIOzZY>pKte8`u$rh?f>>^e{W9v
zyXA9w%Kk+Lzt!Epsov4sQFnILTiN7;=9eZtO8M0ozG%fPt?)u)Sq>l1wtXf75|jB@
z;?$huB{=^0urozZTDYEv<=PVFM(&dru1f{Y@nC1VJaJ(@56iK|yqa>#&a-)0>=rXO
zE<JH!+J(+*0u~)Sxuya;I`~-H6rFD$O1uAM(lw(AkNAzgti8z8f1yppdcwlDY)sx4
z8V~$Ueqo*bx_yHivu2vSvvrS{M4j1^ifW$Pe1#9+WjNN@w3SJ5ys?oEnq%EoXCN@|
zh%85sRa>3OwgYR56+S$bxRuJ)Wd7VC;jrPB+YSjwZ%$aW%X5Wn@B<0v=Vy-|NJ-}5
zF*jrPn%%6qNGe%j!$vuYY`$d`89j~jk4bQ3@-53q>uIbzR`p=^PKk(=p2pZ?sgCN!
zh7+nKm@9qQ-`fP69Xi06%yY-!m;+m}f$PU4o-6v-n6IBcDAL?*Xs}y?dFttdB97gL
z0^1|_eRRc{|92UF*tA2ZBZ6<)i_o6NvZE3eVR~%eM3NIi4cVlocDsIL&g?SWuq1}(
zj{30!ok=_@8sf}bI}HQoNiZKjdGtU`5>JoXF^9w=16Q9Uo)*<>%+4ndioEYI{4i01
znepU7k+mI$7kVX__n$Z@a`D7f=Eo<lGJidBm6`qIQ3tmo!wr*Sc)qA?=ld`v##Ufj
z49^)=apu;OM-QYH85T^IU{3EeoG?YkXXDfu+Y8fTc>btv*Xi(CyP@EZYpP>zkzv7{
z7@ib$apu=2*D`-m59hxlf9wEf5|4_4IJ5MLqYm6fh98<^cw7|4nUhZ(b&xGGEa-^g
zNl{`CUZWJw|3yihIkVMpLZk$9_0gja$%O_-(+j^k<P;hj#KiD~h>IV7I-|Ss&!eP-
zgF7T%80fLZ^}IHIk=4_9?3hGFwjLYP?C!>s&l0*WJ$u!7`&p90&YcoB^7Pnl&F*ge
z|13%2&`t@7LOr&uIo*xQ&$luEkw12TJIPj{F@~o{L7dt2#L)wSMTQevWqdZa#n@hG
zkI6}uXy#yEc;e^*og%}4o;y_sO^d!dSQQy==#SwsQ4wdBKY7%_r|31S^U0$Qp+$xT
z(_(m@sERXppIj?mq9)F~{^U`If}*#LFP|hWu-PfG!(We0Xi9e@@6&7CQB%4b<)2Pt
z4Lo&~Ir-F8=F(F~4=gM)oUk&6=a15{1J;kM988J~j#?Cbb+9cmESPX-lY;V2i3rcU
z$P1HWY!OkjU1yzGEDw+Ou>*TjctX6znV(-e>aefGaDzcCPl=B>v;O6y4i`!cj$SD-
z3^2R9=|SGEDuu#bRSOOqvCYy+esFY`1ji;lwq<LYHBYTs&HippccaVeq=d`6Br>+@
zvDK~ZZp?W7i|N?f?#7~H5-T!#8mpfrDJ-;{k+gVc)q<rvC4OY;u`QaF&EI1h&VR*J
z{P5FV-Hm6SB_(X#DWMVD)A;JB#Ew`!wn@{w8{a-n==%2bRpbAsNeWFnB_tB{*s^AH
zH!43%Qs~~fufg=$t46zLNe?FOl;B9!V@sRa-I(+&>A|d>`x<UNPD<b~Vtb}Aoi+5r
z(F2kt2Ci}?h8sBUZdxF`E289Cmw^CJY;}UvE(wkHyvPFnSRNG@ac1d@M;*LNUNa>3
z7#f_6;J;!h&fI?HsDoOu!BMSZ!waYHY<e(rXGF=eJ_CdEF+3tB;>_Y_k2-i28*aE9
z!((D9&K!R>m%Srfk8RqF?#5Hkk{)c?S=w;t*{jB<&t5gYd-kfa?ubN&XHR4MlOzR|
zof0!V_1L;5bvLellBA%!Q{slV9-GwU?#A;^k`&A=XCztdtXg2TQ^LYuPi*aZK{h7M
zWQA!)Y-%gJ8-rdYJ(#vj!ef~p+qRY6jb*Qr9?ah*(Xm30&23e8<E&Rn4_54wII&8P
z?b@pD#(l36x{kkk)p+66tHztJk{0aPC9z|j9^0kW(d;+Y>#<!^NKOzoV*9nAyV3nc
zl7fiUj3lvLRSP6`N$hCTW4pAlyRr5~(gMX@5<fch*pe1?H!i$!>iLHA%C<Es2PN$0
zCD_*JK1_JEnN$4mcVWFYe$5!3_>di?4=!_s^WTryQT5}-p{s|(#r4|G>)+Y*gYWQF
zX32?$6GSALwJ#nNvAuZoKyb<FrVTG%HST<ow7_7O#EzbIwiBdcdEU5*U!JZl#<p*9
zcjJ#2NeWU%Y)neY2{KkQ7Rl|ZdZ4gN;zWlYTiBv({x2@!{5G!Q%!wCuxt}fSZanm2
z8te3nSG&&@EXXxxo42jIal_lBi}Pd>7Zg~}cvQ4o!sD(UTiN#R#%FJn9#rrC+VtaX
zQbNOSi4_m@*!*@xv!8gV$2M(8ccb3BqzApbB{-hs?M;}pTSDWh9$Vhd?#7gNNeQ!e
ze{Cvz_o}h}-K)lqcdr^JzDs(r$%w6Q^&yd!R}U?D@Is@>AX$O0cy6F&@6X2fFEsMB
zlOOES@|hT;dxk$o_Gn}(PfXacMMYAdnZw-+10|W=r=D)Sl9pH(@aR=T@+pZO%ipM<
z=n#9x{#HizsB9|FoS<V4LYocjGv2>y5I!ZbW06%@qGj(-#|N((UZ0e(Sa2t8f}QRe
zek%*BuEQrKcFetFH^EZ(4F99PzDH?BZ0FRjiyw7OuDfvYV&EL_V+W2t`L(F-!VLK-
zR#si!CnYSV#qcdL<^J4k<nCUmBFS7Hl-Q+{nOJw><i)@{?#B*vJ}H_wU+Q!7xxT(f
zCPr-Ql&5$9xiUkZ!`;15RFb)U;>Hzoj{RBCy_%U%DfxlgruTurO`frHy1N&0OWu~8
z#(eU^p+5`mTnwD!c<g{G)TniReUF%o*!mQvGp{^-=+A=LtC{=clOOyuWHXxA`qQ!8
z-M#R;1oLwL#I7UhiFFqaUksdMbL_y?$3+V2ku&5cEMB~$tf#U0_|rwD0m*e6+80k|
zYVR{Fc)cS=M7;N>qrba*;bRHrbic$dmGs2A4a*lVw#e^k<UStpWcjf_3HsseF=odO
z6eja5G2{N+yf;?1;EDuud2h1l(ct8|4gIT`-$^DvSW@^K6hF<iva&}tlX+r{zbR@h
zH?&`|A=TlP`oE?{We1O+Up@cF>JHWjE0t#}|7tHQn<}*R+V*hm0`{$XkKB2$9=hzQ
z%kJcRsb!W`?A3;M>)Iq&o_oJ?SBk#qirOi^MVr*C7Tx{vEZBdYZ0tkMI75jSYZ*+B
zO1xOju=Sf^fNG=Oo}DVUm_5{Pb~sJ(GL`OZu;U7lR$!hQqr#N6V-`ok;;i0;1%6jo
zn@Ps%uX=gt_EfhU=35OjSl+%o6urVNpj7?d5#giDedZagI`sNjdZUZGrrDg*Zy%m{
zo}H|{r|8{Dmv+tN{gUgVL(eT%Iuej**}p-c`FU)mnEE53*XIA;q=oL#)>jo=VVXO^
zchy0;SuN-9Rvc2b@_I9)^XysSDQV$x*A_imv+7yNEUm0p$BgxPTZ8BRyfalp(&XhG
z&Sb^apF<WsshGC=<E}|zT&5)tW24(e=7yho|9*wUezV9^Z><09$kX3H<^H5VYt18G
zGebSzE)9q|Ro*VLVL_Z*5PL4e8r`mJ(+ip_7K?a!{j8kowY6dTp^#;~56%jfUP}EC
zHN|SP=}}pQgYQ;|b6;uYT@h{Im65%}X+e?hgSlp<Q=N7PJ=+^-p4U`W?Rj@=ri|!{
zc9C1tKke-B;8wVJLGG4RnaZn`#Ra0S)mi)n<sQ|UjMJBF*{;_dI^o;J)D2rM?$cRO
z+pJZ>XC{BkpF5&3vwm&c+aA6PO!r=2YCQJ3e`!Gg>v7&Y{#G+sUEeQo*4lA%hyQ}O
zTe2GzUaCj&s`yxKY5Fa)McB%R%Td$b`|`u61Kx^OPS=}nds$8LU7hV^<;7j`Z0RX3
zIlUbMOPA<1FOOMu$+DtlS(fgDnKQDsFfd7ez4or*uj|%Z3~`kK@^AU>Ef1J0=J0Fb
zz9<Iowz^fU0Y_h?-!j=z{H0j;fhzA`r`3%so!zq;uc-c7Dt6%Y!uU*nhp5F>Z>1x0
zulPqZ*Y-<oVUUyldOfS+pc}JRMZkk^J#1N*7c9DH7{z;jgNX;1tk=(jli0Eu{il4%
z)_o8-sqZS&JjKiou@4FE&RZLnn@cTYwaE)su3jLqw?t;UyWzx_=1~j}XS8KA>cmSe
zWHp$ZxkRjhVM(B<joZg7j>oeZ-My?FxhwuH6yC}ZXEHbB;AAoXf-ljUN4$Uj@KWDA
z%gXxl`w~fkDVbYVnryXm+kc(yK=3lPxy<vp{w{2iZf_ToTFl$9$#;2%sf>N*nsWz@
z#pbSJmD#g0lDk4{>E$g9ugvDI<9)ER_1pzZg^tS>bDO2Pe<ic(mo4zVFu#QF-Pep7
zR)2>*35)Hs-3z7Ng-iI>g}(5~W%!;@GR>@k+w)g<81wH&wO29$9hpI`QXa2%&QS|r
zaW71t`(@5t=4V>ID<AA=taGowYFY7Yd2xxPfZB_gTq&Q(U(u}k6BcM(y1(`Mg9jJw
zw=l*qT>77J|AW|+e=Ca%j(Yy@o@4ZEd%&sYR-=7q7kyT!Og-bZY~_Tc)H8X@GbeN{
zWf3y=HLpxCzsQr!Y9l`@Q)SQ9Wsz=&s!L|&s@yTSD5Lz)ult<2&<qh1^ELdJY=Y%q
zye?@x$-IBAo{3Q2{fvDZo2)~k5>z%cXDqzPqTeAV$=Rc@>x9Pxri(}2n3Oy98bziU
zrgw@-bDq)Mb;aXB*u_*grrERf%;TDmdxblEIz8jBSNJTO50NjmCbNeb#pZrGkh(0}
zM{dU9lC>)tV??8p{v7dTj%DYp?cU9?E6%&i(!<<g(!_v0F1s#zB;35{>&7HMInPY!
zMs-Q|!~^<EqkCD{?C&ni4*wIjEB5!wh&3hcdCiYbTnc)-HR<*xqsi=kx_3D}`>c-6
zS^CsX_)qMv(;h$8HM}$X`fxJSuH#P{6}`VpX+Jo2i7S{{VqwYrk32OIyY71=Y`(<o
z#x#AB+?7dHryckmv*M50=vLjh!uQQ)t;y2^j*CLo_<Jm(a#cQDc_H|Ac~aTM#a8~c
z5e~Y`c)hC5Okv(XNzUB#L0R)W1EG6=FH1dE&+B;U>5;K}(mbPC3AL9lyD^=gGSASo
z;i~8PSvCc3nQJE=xUl4T4@;h@ZT_i;&${CpS|+mA>HIyN(R9>PUyAcjV%5nl{5&<k
zwr^xwr@J@j(}7*f!+qrT2`&itmCF;$oV$|0Ty4v}Cyf_f*3bNPpmNdmUY0PCy@@J!
zR$rLCOy<UsFViL-FkiO4m!(ZF*FdPk>r3Cn57XxVOU`M!?)P45{l`+Cy~{s~yl=eM
z-m;Z_`_(Uv6APw$%S&<UDEvC%v19du{$9}yKVAw4&!1#xTA5&UG2M+xf0|u;%T0ba
zom>;49UH#1PAvHC5ii9V6aMRzN5alaYRZlGz2c=g&xHLt=J8<tr8MQnonFyBEc0}8
zO@$=ZY&q-kpy}fF2?G8b)?`?#Y>}N)_{;CPCY#{fhL)X7=VWU0*F12WUG~bRbic2?
zg!Ycu1@gX@1&o=~CmvX`=<YJMg2ft7vpLsjSe^Iyv9EcVlT*pTzD1d8{CBix<*68a
z&tOxjXxnW%EAvx9u*>Ei(SqF>+fFhEcRqVzb2NI<XT^oQJGL&~85R7IA#>iz!@M%F
z32Pp_>(y&%`Swt)^V#fQ1=n4;ds=2IMP;0N_^w?{lGEI+Wa3JG8?IdvLSZ5sT3!e#
zH%|BLR!lT|v|44Ch)`HmO~1=@Nly9ji%*x8-HE*@x{OUVHZ!Vax9F}@KboRNcir;X
z;lD7ur)9Rtu4^7Uj2Ef89m*AqO;@=ieDSN>p||396SBfD^)7QwSa`wrSoVjI7ir3k
zcb&FN_<nGF5#}LLnh<p%cY*+Cf#Hj?$?VJ6?<T5zu*_IF@xZJlsS_@|f6#eht{Q)i
zPgLHg17DZy?qN|=xtps}VDeH<`60K(uIpR)=Lkh5<}@wz_&!st@wn&r*+oBm`s56R
zEV{ldxX98yQO-zcNB@@%6A!FeGIfH0c!7TA)QJa{ExGC@9OGMc#3SM6g~i8=YmD4=
z)%bm6tIj-W^mgl)=3FCP#Uzx(`;Tv}VP(Rii<8|BnU{Z=vXN8F%$Aq4kkNnkJVT*<
z>`T&pEeql^)=oT7u<&^g%Q-IFd=-PF7p%&SyPf_U2}NjsSv2uL_`>NuEMZ)KZ+kpg
zeBrbklW=RCp^$~fmsJxFyjfm4;X>JmjhTBhnI&$2nKkji%VpPlS+1GyO;jm3|MILs
z{_ZLFnp!5yyqonhSNY+!S!NQPcX+Fgdn9CBs8?9H{NUFG<%)@48Wf%0&y+e~y70T=
zLiqz43;BI43+!JwPi7b6tW7!9c-l$6{cZD?&XtTY0>7?IVZPmHXI%Lp`@(ZKrrC{V
zQk*qRznFxSI`maudV6%}S+IPWdhy8g-Yq9Retes_&rB$9&r4|!*#P+^XWb6*TIL!G
zy<42At#X7Xp5c<&Wu=<u?)Rl;Crr6eHo5)%-xt=G?P^}STlc8#`?&ah>AYRG%jPa)
z`yl#~-J?TqhR~PA=Yq>uZ{ZHw({q2x<vb6I%a3YpmL^3panC>3+*0(zuWy;tq^+y<
ztumxkB#pn?viY{NtUoBUOw#SpcR`b2?^oS|vr<nrPWIfqb;*o5CdZ#N&h~und`OQ=
z&Eo9UeJkE`WX#iSkCxnZpn~_Gcx=WVw#D<G%`W=!Z;IFqsRL4rTHS=pA8+x94m39_
znYfYjo?dKD4WsfzJ;SF5VwWC0CaxNr_34ACX)GIOF1z+rJ@d*RY}3~nR3==zFm=L(
z?GN}bg&xbklXdy(G2;)xFQt-MbK-Yht6)2)qieYDL3HLWJ|Q=beSa6e_O1PqF)PpD
zYh$>#`KzKXPG57$*$*-<pFJjS@Z*J8u=T#GWzkzjk6v1K+sCqC<I6JThung@4qsvT
zXR2#j`D0VZw3#+{WG~O1a3N~Lip-rCS<L6WGZM->{vvNNr=02C<WC0@mR2i1yvtg#
zWs8$%-O}rN5ysVDzxDl)Y!tnHs!q^<9&|;jNsnZ;+vkU(yy^2w-yJDjKHqTXqE(w#
zZCX_K=LeUn->MlmY*to2n({2T|IIUTQPH=$|FyHkZR&qA-#&F^>ZMa}zvpXrvz8yP
zI^I90;#&*nSz&4apUIzZoOGXC`fSNE<Iqhn?<_l+tTykS&CXSsZ)S7~pU=(mGV9G=
zC3^Hz&)gn)Gr7v%<vz1)(%$@d_9f~0izM~$vbtX<`}$5@)S@OD<ZpVav+UE68kav4
zHm3K<+vi={VXXcof1b|P)JH1>vZSmNKb<sPC3SJ$FL{~!*H<OS1n+tt`axyF@heU-
zE2pr&c47bdqc~*G^(FTGlJ@)7s?EP`lJ@4w=Hor~J15n4Ue?}t_%Zu!#Yu7VEd!?|
z7_M?GSz<3IWq-iz-m*;^Q!g*js*BKjKl#?T9n0=5@_Dy!(}^jUkMqnk*jf1~Gx?a+
zyyA(swkXZbNc5E3Izy}{`<1fQ>F1|T{qSEC^+xSV%PrH4%?sqazW0=Gm0lZt)79_A
z;m7QeU(I`G7eswN(s_2dndw)**Vnx!E?B<T`H|=}qw8lTtP7uVIrm=U$Gyfq{aPi_
zQ(tKDce)?rKY8`j<m`)QuP&NxBPeP#!+`5|$GcBIw^qmWOwY}HW1jWkWay<EEuNEI
z`K@-j@A2kPUv26avbTIsyX~|^-`+buu0FQ=)oxq8PREWW+m{z|CK@(p`A0oY<t#6a
znz8C=TuJ@DQ=S{m*M9icG5xdX(zmzmN;XWMe8uwjCC9vG9~G?^0_LY#^6g)3P2V$n
zXXBRcxBl%rkNTvtU-0L8>9B^a_DJKGz&LK<n#q9{9lxF~=FMOF?ckLFS$n11rvm4`
z>y3)zUs%lF@qTJzhV$z6FI=-XHl@aFIV`%S@9q!I*lG8b&ac+rV=?95q*_jczSm2C
z7+9@eVpUfm#~LEND)3oB)&7e475uAxwruE7e$kz@lQZIGnaY%@$}bPgWSu&=_(k(0
z<wxx4g$7Yqs=B>??TGm1blWYoW0I2RXRl9NBXn22*u3ZUd*xLxKkwO^?ZTU@Gwt-k
z#kFRae1p$Kyz#v*cKYdspi>rUt6X(s!{^kpxJ0)}8P>j-CZp%hTGef}%P{}#k{90Q
zPcQVfB?tYPl6P+Z(!EE|XH@=sW%}rON%g(Pm97bOj;BAB?b~iKYud95e)m_^dx}51
z(3f+)EB<1W&f_Kg{gbP9nRHLOr89YvyzaS0OU`wLE^5>}zcfVm*JaU}>9eeC!a5nZ
zsFp?iIbar*Da#%5hwW0>9CMw!nz?W6S4kefuzjPC+`MZh8-JFp)MdM<ZaF2(t^44Y
zOunV}79U;za<h!r*2PCReyQYJYPa~Pz^xh1EAzHUc*P&#>v})gRWs5uif8fqq`i-R
zr(O(t{y^)ZNBr?mH-CSzu<GlxG`gp~yew$`k9z0BpA66SD<)a+o^y|WU$2}I5V!7u
zg5p*8OB-LhTS*-Jm?UyF(%q^!%5q-TrK=Zf4yXsjd*79r=C|b5o;feietxVXrFh;c
z(`W0lgO_Ax|I&RsJ<j-<+Jnh2m%lV%;`vej<#SEavRA%c7flrE&8xfbU;a?j^ZM!H
zPPS7&bLJMZnY}Qa{-*fUj+1Hs)Q`$%%uGLe(IlNG^y$+Dc01-eIbUjSDrC`4UfG{|
zcaEz6(t9soWvD(#`xUvpBK_#pV9B0&Zc{6FE-HU?Idetma>F_{)z3zY=X)Q&xSrec
z-J_K&{QgYbR(W&&nyYF5)Mq_TuR9Qwe$~rxp4```&n6$=tmpG@X5NuWQx7g#wKK?P
z!BkfJmaCn{nTw|%H_rQ_603Y}(bs=<ALr#(8}s$|*Do}!d)92RC{kDLl1}`*s?X~q
z%nF6xek)pY>g2^Fquj34`!^ORJ505h{Z40^fBUp;N)>L+AzQ1s?#_L@V?tS(VEs~S
zqj&e;WZu8{NamN(G+Q6fLcOyeHorSrl^b%Zeld5~Q{lMi{u7Vhe_390cfHvE1wRYy
zXQxknRR6-!{{H0{d7j7hmk#NKT|MK`^?#|DrSYe#4eLZS``>+CRm^|?%R0;Ri@!fn
zPMD`}zUoLwf84Rt6Yg|#uh+e7v+DfuJ$AzW-(RTSJp6Obi>XO%?%sQyAOC*d*Ei$k
zMcbk@`B|s=KOgemRx-`KTs%ZYGp)KL+|G4TsQa0ZFBUd+g>-$kt-4^X^169d)tWi4
zFE&kTHuBkReCB4=Ja_G`)t@StJ(p``7q2KOi*v1LcAUs<J8RByiwkE(KHF9uur_#{
z)o^v!`iKV?izBB9bVzLsa65Q@U5Kt&rHOre(9|6#7IPPCs2)8k7dyduW&aKrzg;q3
zrAZsz-=~F5a#wBn8r1)9vahGDdEB#$)<wCe{w%sxnX9ZfU0Azp$!0shjrSJ4eB2wf
z|IlLDqW+Vcbe3nwzr0v(+4GutW6=Ini{EdS?!Wd&t8nX_=SOEA-&3_{h0yhilK8@T
zX4yx!7Deiwv%8;VaDUo~b^0rN<uhMyH9WT1)XlPZu6r@JUYPE>?=Nchn4Wq+cfpQ>
zi;eku<tMan+i`L6<zfl5^CvHT@Rgf8?eK<~SInzT^x9Wd>eqL>pZ%RuwRf(__Np(>
zPu1LuekJ@aS1wk|fA#Mzx%xH9RlD7bjaDaK4Akd(KVjkdHDdexV_sd<dEfA3Uhb-w
zQqwC<ly_^E>K83{H{QH@W%%UNwzK9gb$fa>R?heHrlWc>JYODvzbof^bfr=`W1WYa
z>i3G0xU~Ai+1sn1UN|mjwt3d3ZHE@ut37T{lQzG2TC;T9uJeoQpJ)imHoXdXRM-D+
zS~=%cb^eMk-(^%6UH4qo$8Kx2-2K+(1v@({PAra3i8&l9k#*#-+}YFlyw}gSY1{5{
zwo^;3kYC2;9JFTA?>Nt6t4j6Pe#;1aX6x3w@7^Q9e!CNkmOSgL2>G^s{&7arsxvRO
zqik+HRoyJNW-WK4*2fv{(cPP;cUZY?{ZP15RdjcB(6V#uh5akGShiQ0$h|%y)xS;T
zy4VMuQ-ZaZ7N0LNvB_$irS4z(CHEe0xO(&Qr{`j0CjZav?z(0yx76#?TDP^9=TF(%
z<R8Dn68HMzIhj7!>IsE!Ec?sE-^;(x6y<um%JcUgcl$;9T(@$vk8l?ypSbvB#qrR|
z|5c~ooWvxx!0Lmm<+b7?no{kr{(PV0e%ZU~X-&d=-G92~i}yq?aQeFG&a9}JWpNRT
zpB{<swA>n$^VWH4MQEPC>ebc_e3O#qy1)L!(>d?oYul>TKR)Q_|Gey^5%>AUR-38W
zM_<eBowvM5{$rba{u7CV(;jCr?9udp)w;z@^WLWnXT_^4IzMf5&wsw+*uE4|=_kV8
z9|O<b4U6`@p0`(dzITz%#LVvLKl<I}w|2dmVj|^Ga{W^H9&P!aPt{4G>!*Zv-~XK9
zCD%W{>apPa>Mg4lEdP}CvC&<7@2`sccU%{Vi%flad&Q3ni^bk=_`x`>^^3%E+2{73
zUU2H)|8cFW-}cuQi|-<9#pYL()E|4kT(fQWhn4Qvx4c@n&F1K>pEKQGN89FX{prPi
zYku_?uYI?!CM|Z?f4(|ab>D{<_gVG-d462#zFzF~;_!D~KMv^a`}E={6IV#LuiW3&
z4NRervisvS7B~deJihq3QtZLvTWS6Cv==x`z47Sct(x6Rn%k<r1Tj9FoHX10{ImTG
zp`H1*Rl>@4*HeUU+g8~eH`I>0b~WnL+!_U~lh3;LZtRwoTdR4lLg@O#-*LMpZms=R
z=>BF3x5LN(i90>J<ZY_XoHn`Y|2W)n@6moa+sHlfHT~P>wyW=cvT16mVTafvyQJ;z
z{m)j$C<ocuPFh~H)#Ci}t81t2xORMMmf_Xq?Vq+psV@7u#mN6@l;Pdwp_W!wnrT12
zM9iyRZ}<4@mzM|ke7~e+czU`2r;?q1XTH5`l)2~f>eOsYyWHaq8`$D*HN4P1_x<Hf
z8Dp(pZ>HJBwZGW1+f$8mA1o`jo}4t#|NN61zm<N6K4O}`@7%>B9&_)9{@$YL&Y9kI
z{`<?*PF^9Mtai0i3m4n=-jBZ8)oK?z>7(erYpcY%Yoexjuin1n#PV+MO(ik%g&Y0X
zKQFEqv7L9l%ey9O{!>|-;%(x;xA57fY5H!A`?)OsPVB48-t($CO_rK!hG@n=yR5xd
zuQ4KHR>89;%RVNn&CxpT__b($+=)$(R$6=&4F6G5`m9{(mCuFnn3tD7NBU$s-3Yad
zow_vn%iQZ%?P6zoua1g&d|7nomQ_c#+QrUu_11l5n$+n({pqDuV%L9`Wa_QeD%|Ve
zy*Xp$f@>YpHM=<UcNX)mEf9B~k$tq+?(GLI-Dx7`KesG>khJ&cS-V`l9TD<{-7$j3
z{*0v=9$nF?27jH4KB`W7WM=7I{6e#O`|px?G27C0E%#*Y?#_1hUt8&2yKDE=quIaK
z3CcE2N}B0k{><Ri`n9!D6PI6<+IVOA(n_uCox5vxDX%X2#l2&9ZPc_-%S|!5?>@d<
z7Z(05H`*_(tGafVt-DG{`PvUJ<?h!q<epwtr?lhz^4XtDc6!};fBDmzQxi|u9%Z(>
zJ8i$Z=f?&9+n=nDSC#wp^4OoR*+&lB-JP=h^WLLg^VgjZ-Wj&$)5}{nQ&%6&n!oO3
z@Xr1Umps9`X=}H**=Sw=5*C<|tZA74I=Uum_SvMh6+Z7&TlZS~cO8FfHUH<*J@bD0
zpXgPMzPk6Q)XpQ~VWnFug6741;%ZR)WUKo9*_m~#O4o<kyuEzfa>}oc^qOB9(Py7q
zlsBLM^(EGBZTCH|YaLsQPU^4mnObpfd2XHDZtox88lNBkY?rIMW>wb5Cja|lF`-+3
zOuu8strw;mH|2KP!K0HNsaC$wUm+3S@;6%UIjFvho0k5osXMeY{E6Y-2T7hESJlX!
zFkAlWh}HakCyb{2bl7xnd9US^tfSd>wey@;_E+3pe!fWKYNvV4FOzPeS=XZXXv*vV
zJ-n%YM#jChd!Ak{T~L*Bxp!Xok=u5<olgzcUOmdTbI0*-z27rl2Rq)Va?e|^k@->e
zwz=o${+9o#Ff+2<{pD^u+o`e3qxL+%JS)O=&aEqr6TjD1+3cPu^|8_4Kjqp($3F}G
z{nM{Ky!m6IzkgccvZ$Ij!QNu6S|2<8`%~Aovj13ax3=q~t66mT()Tmw|NP>h_xH=;
z2hZ(nr+C|MidQ!K^(A4AR$YeOuU+Z;KfHVx5oi8t)~O#$Z4N*Er1|&msc^fy^W#~6
zy>9aT^kQZ_<GvdL@uv^h>O9^o9^ByGo&Th5hV$yIEjM(&Uz3TNy7;Kre7l~%DzDw;
zW;t&Q)q5}bc5Y(QuCvQ6CF7gtB)*g0_cgPu@49xTdxg*cw|2UxlEUZj+V?p#OicGL
z<6*w}X(<;kvCnv4J1cIEt<kR8<){7{O*`H<@86!!&HmCK*i>)d=svdnN8r54$#);k
z{a}1FbAIKUPnrMcefz$(t$EY!<;-(*K1X_Kxv}r!cgfU$e#!Dg=p&myda-F-ld2l+
zME7r*ky`H4mH8ud`59|LwSu)X`s9;OzIROzI@59SaE1BogGymrtqg_jA5IGN<X;>4
zBXp%rAIme7HRiK#{wSQ~)b&xzlzo3eu!mvX{PV~A@2Bkc?pf!3B<9bRxMN|fH#<(9
z(H_rm`}E&ww`#gd9DDlCJl<tu#n{FDadyYM?A>PZKf8YZ__#mk^rGKWKQ&40o%J(k
zf(UnViT9f7J)4UewZx9TSP|xHa{ptD$YN!_Qy$mD1J?_QKMr5?iNoa!n`cbZ`~;mP
z%|CZ+kk~&_WxDk0h-mSQM?rtGQsb^a-E(VExnB3hnUyBWpFCsw?zf-0=IN*Vs7vDR
zylivddWZc+ZrhLc^<97VY2{mP{X)^Y#YS^$(%ar$HT)H-=<C~+{o(QSne9zgtTRQf
zKWab5_4az!Mwb0$PtSF4%&pO!E^_^$`+1{t(Y{M|$?dOxy6#@^t$#gRqwX}s`)OZ!
zsa2?4H$}VH<%(CBYThCLg{je#FUhgy9phi0R@qS=CQ`^<S76FtYy2zk==mjY=Re)N
zHmgv&u2FZkSJ0h4`GV6on6p%_bXb4*-C@}jtUK+|^oK^XF1-(){&4xdOY9pX=e+B=
zsBav;P;7bDMj894>1!QLAL;!$7qeRRf(*;MzKahnvpl=1KWwh7<XKq2`Q-Si>W|iQ
zT16fkAK>~kB_q>D!Z5Gs^NHf~Sz@Y3KPm}MKJh@Y_c%|UM1ZQ7)e&zt^KYxyiS28V
z=8ci*-!5(FqSnr}zIe~$ABD?JO#0>5=Nw55bN>6`q59R1NeXc`8|n`;Ty#_`m>^U*
zQ^F`KC1}gzmMup*vjhtkx&4^)LN{s73ekB!3ey(-{m+cLi<<qr<OV%P28L-&3=F~y
z$UE?hOG=aU$}&=NrcR9aKV%?s^!|6vcZ*dv8H6l)!}+{PMdFOhquZDAu3x;c#k;!u
z&F$M~TkPF@Dt5npKWAQb{_k3klDiUtYdIIS{|>CTkl=gh?6I)yx<Rljhp?t%HmjJ?
zmW*u?ZH*##3@#tg@x5$OAhuhpCfe@l=c6Ulo&=ig;#L1#DZ{eDBY@dEcK?e@o-B#X
z4dJ;Q>kfo7wB9)&(bBZn{@pvvl}pNUwdOv4U*D8_)JVtnQXijA{L+&}6MtTj*7!PI
ziI@4sTiO0g4_l|LbPSnZF)w#<*Zns6Emr+c>NmTTyKBbXdmR=h_wDWZGa}iJ5fh9#
zrpd7Xt^B3fbAsdDiN|hFV>TsCF5dfjV@!6Z&r$bDw&$|Lo^8ztPp}QSy7AQ)Mfn@7
z{STK&CN}nbwk=xIk|o{WoFCxL$RxsyIO1Ua+LR^B7#SEWSQr@C86bd>fq`L5BZ!@n
zT%cExn}e?DFGJtW90mr4WekwL?I5KfyruCpBT^jc6<6k!WT0zKpLSBMoQ;9ulL!L?
zFGv>@Z)r?nLe^ZAl8CPL_qCD*f0!5;!gLT?A&zC^MAllKl7_A|@VM#XU<L+;J_ZH`
zKB$clt-3tOS~K&Ki_rBhUOz!H<_H6W#}Nhw9;jXrwWV<lir$Jmbe*d-Pux^zWMB|r
zVqg#eX<=YsfY@qu5y{qgNMc6U{d$_l<*OSQ7#3=9!b1w8yZsW9ZoO1Y@1mbv0kRva
zwV4siITq+vi=mxf0MZY_TN)3yBI$>mWq__3J=20TLM-PzjHDUr>x`6~0B=?{kRomd
zZicB$3=A1Z7#Z{!TuqA8!c2mFi%ncjlRS*F3UadyOiTmQOe-ASv`zCe(yP2(BK(}R
KJ%Ws*(rp1?TGE36

literal 0
HcmV?d00001

diff --git a/game.xpr b/game.xpr
new file mode 100644
index 0000000..f0c9509
--- /dev/null
+++ b/game.xpr
@@ -0,0 +1,346 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2022.2 (64-bit)              -->
+<!--                                                         -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.   -->
+
+<Project Version="7" Minor="61" Path="/home/prasic/game/game.xpr">
+  <DefaultLaunch Dir="$PRUNDIR"/>
+  <Configuration>
+    <Option Name="Id" Val="f61f24e91dfd467ea269621e05d60a9e"/>
+    <Option Name="Part" Val="xc7a100tcsg324-1"/>
+    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
+    <Option Name="CompiledLibDirXSim" Val=""/>
+    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
+    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
+    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
+    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
+    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
+    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
+    <Option Name="SimulatorInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorInstallDirVCS" Val=""/>
+    <Option Name="SimulatorInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
+    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
+    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
+    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
+    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
+    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
+    <Option Name="SimulatorVersionXsim" Val="2022.2"/>
+    <Option Name="SimulatorVersionModelSim" Val="2022.2"/>
+    <Option Name="SimulatorVersionQuesta" Val="2022.2"/>
+    <Option Name="SimulatorVersionXcelium" Val="21.09.009"/>
+    <Option Name="SimulatorVersionVCS" Val="S-2021.09"/>
+    <Option Name="SimulatorVersionRiviera" Val="2022.04"/>
+    <Option Name="SimulatorVersionActiveHdl" Val="13.0"/>
+    <Option Name="SimulatorGccVersionXsim" Val="6.2.0"/>
+    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
+    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
+    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
+    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
+    <Option Name="TargetLanguage" Val="VHDL"/>
+    <Option Name="SimulatorLanguage" Val="VHDL"/>
+    <Option Name="BoardPart" Val=""/>
+    <Option Name="ActiveSimSet" Val="sim_1"/>
+    <Option Name="DefaultLib" Val="xil_defaultlib"/>
+    <Option Name="ProjectType" Val="Default"/>
+    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
+    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
+    <Option Name="IPCachePermission" Val="read"/>
+    <Option Name="IPCachePermission" Val="write"/>
+    <Option Name="EnableCoreContainer" Val="FALSE"/>
+    <Option Name="EnableResourceEstimation" Val="FALSE"/>
+    <Option Name="SimCompileState" Val="TRUE"/>
+    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
+    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
+    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
+    <Option Name="EnableBDX" Val="FALSE"/>
+    <Option Name="WTXSimLaunchSim" Val="32"/>
+    <Option Name="WTModelSimLaunchSim" Val="0"/>
+    <Option Name="WTQuestaLaunchSim" Val="0"/>
+    <Option Name="WTIesLaunchSim" Val="0"/>
+    <Option Name="WTVcsLaunchSim" Val="0"/>
+    <Option Name="WTRivieraLaunchSim" Val="0"/>
+    <Option Name="WTActivehdlLaunchSim" Val="0"/>
+    <Option Name="WTXSimExportSim" Val="2"/>
+    <Option Name="WTModelSimExportSim" Val="2"/>
+    <Option Name="WTQuestaExportSim" Val="2"/>
+    <Option Name="WTIesExportSim" Val="0"/>
+    <Option Name="WTVcsExportSim" Val="2"/>
+    <Option Name="WTRivieraExportSim" Val="2"/>
+    <Option Name="WTActivehdlExportSim" Val="2"/>
+    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
+    <Option Name="XSimRadix" Val="hex"/>
+    <Option Name="XSimTimeUnit" Val="ns"/>
+    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
+    <Option Name="XSimTraceLimit" Val="65536"/>
+    <Option Name="SimTypes" Val="rtl"/>
+    <Option Name="SimTypes" Val="bfm"/>
+    <Option Name="SimTypes" Val="tlm"/>
+    <Option Name="SimTypes" Val="tlm_dpi"/>
+    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
+    <Option Name="DcpsUptoDate" Val="TRUE"/>
+    <Option Name="ClassicSocBoot" Val="FALSE"/>
+    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
+  </Configuration>
+  <FileSets Version="1" Minor="31">
+    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PSRCDIR/sources_1/new/header.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/background.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/collision.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/obstacle.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/obstacle2.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/priority.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/runner.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/vga.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/new/TopModule.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sources_1/ip/clk_wiz_1/clk_wiz_1.xci">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="TopModule"/>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+      <Filter Type="Constrs"/>
+      <File Path="$PSRCDIR/constrs_1/imports/PrASIC_Data/Nexys4_Master.xdc">
+        <FileInfo>
+          <Attr Name="ImportPath" Val="$PPRDIR/../Schreibtisch/PrASIC_Data/Nexys4_Master.xdc"/>
+          <Attr Name="ImportTime" Val="1378293982"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="ConstrsType" Val="XDC"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
+      <Filter Type="Srcs"/>
+      <File Path="$PSRCDIR/sim_1/new/TopModule_tb.vhd">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PSRCDIR/sim_1/new/vga_tb.vhd">
+        <FileInfo>
+          <Attr Name="AutoDisabled" Val="1"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <File Path="$PPRDIR/TopModule_tb_behav.wcfg">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="DesignMode" Val="RTL"/>
+        <Option Name="TopModule" Val="TopModule_tb"/>
+        <Option Name="TopLib" Val="xil_defaultlib"/>
+        <Option Name="TransportPathDelay" Val="0"/>
+        <Option Name="TransportIntDelay" Val="0"/>
+        <Option Name="SelectedSimModel" Val="rtl"/>
+        <Option Name="PamDesignTestbench" Val=""/>
+        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
+        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
+        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
+        <Option Name="SrcSet" Val="sources_1"/>
+        <Option Name="XSimWcfgFile" Val="$PPRDIR/TopModule_tb_behav.wcfg"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+      <Filter Type="Utils"/>
+      <File Path="$PSRCDIR/utils_1/imports/synth_1/TopModule.dcp">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+          <Attr Name="UsedInSteps" Val="synth_1"/>
+          <Attr Name="AutoDcp" Val="1"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="TopAutoSet" Val="TRUE"/>
+      </Config>
+    </FileSet>
+    <FileSet Name="clk_wiz_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0" RelGenDir="$PGENDIR/clk_wiz_0">
+      <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci">
+        <FileInfo>
+          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UsedIn" Val="implementation"/>
+          <Attr Name="UsedIn" Val="simulation"/>
+        </FileInfo>
+      </File>
+      <Config>
+        <Option Name="TopModule" Val="clk_wiz_0"/>
+        <Option Name="UseBlackboxStub" Val="1"/>
+      </Config>
+    </FileSet>
+  </FileSets>
+  <Simulators>
+    <Simulator Name="XSim">
+      <Option Name="Description" Val="Vivado Simulator"/>
+      <Option Name="CompiledLib" Val="0"/>
+    </Simulator>
+    <Simulator Name="ModelSim">
+      <Option Name="Description" Val="ModelSim Simulator"/>
+    </Simulator>
+    <Simulator Name="Questa">
+      <Option Name="Description" Val="Questa Advanced Simulator"/>
+    </Simulator>
+    <Simulator Name="Xcelium">
+      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
+    </Simulator>
+    <Simulator Name="VCS">
+      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
+    </Simulator>
+    <Simulator Name="Riviera">
+      <Option Name="Description" Val="Riviera-PRO Simulator"/>
+    </Simulator>
+  </Simulators>
+  <Runs Version="1" Minor="19">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/TopModule.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="clk_wiz_0_synth_1" Type="Ft3:Synth" SrcSet="clk_wiz_0" Part="xc7a100tcsg324-1" ConstrsSet="clk_wiz_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/clk_wiz_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/clk_wiz_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/clk_wiz_0_synth_1">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2022"/>
+        <Step Id="synth_design"/>
+      </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
+      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2022"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+    <Run Id="clk_wiz_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a100tcsg324-1" ConstrsSet="clk_wiz_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="clk_wiz_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/clk_wiz_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/clk_wiz_0_impl_1">
+      <Strategy Version="1" Minor="2">
+        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2022"/>
+        <Step Id="init_design"/>
+        <Step Id="opt_design"/>
+        <Step Id="power_opt_design"/>
+        <Step Id="place_design"/>
+        <Step Id="post_place_power_opt_design"/>
+        <Step Id="phys_opt_design"/>
+        <Step Id="route_design"/>
+        <Step Id="post_route_phys_opt_design"/>
+        <Step Id="write_bitstream"/>
+      </Strategy>
+      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2022"/>
+      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
+      <RQSFiles/>
+    </Run>
+  </Runs>
+  <Board/>
+  <DashboardSummary Version="1" Minor="0">
+    <Dashboards>
+      <Dashboard Name="default_dashboard">
+        <Gadgets>
+          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
+          </Gadget>
+          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
+          </Gadget>
+          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
+          </Gadget>
+          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
+          </Gadget>
+          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
+            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
+            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
+          </Gadget>
+          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
+            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
+          </Gadget>
+        </Gadgets>
+      </Dashboard>
+      <CurrentDashboard>default_dashboard</CurrentDashboard>
+    </Dashboards>
+  </DashboardSummary>
+</Project>
-- 
GitLab